updated gl netlist for 1KB DFFRAM
diff --git a/verilog/gl/azadi_soc_top_caravel.v b/verilog/gl/azadi_soc_top_caravel.v
deleted file mode 100644
index 1155b50..0000000
--- a/verilog/gl/azadi_soc_top_caravel.v
+++ /dev/null
@@ -1,288932 +0,0 @@
-module azadi_soc_top_caravel (
-	wb_clk_i, 
-	wb_rst_i, 
-	wbs_stb_i, 
-	wbs_cyc_i, 
-	wbs_we_i, 
-	wbs_sel_i, 
-	wbs_dat_i, 
-	wbs_adr_i, 
-	wbs_ack_o, 
-	wbs_dat_o, 
-	la_data_in, 
-	la_data_out, 
-	la_oenb, 
-	io_in, 
-	io_out, 
-	io_oeb, 
-	analog_io, 
-	user_clock2, 
-	user_irq, 
-	vssd1, 
-	vccd1);
-   input wb_clk_i;
-   input wb_rst_i;
-   input wbs_stb_i;
-   input wbs_cyc_i;
-   input wbs_we_i;
-   input [3:0] wbs_sel_i;
-   input [31:0] wbs_dat_i;
-   input [31:0] wbs_adr_i;
-   output wbs_ack_o;
-   output [31:0] wbs_dat_o;
-   input [127:0] la_data_in;
-   output [127:0] la_data_out;
-   input [127:0] la_oenb;
-   input [37:0] io_in;
-   output [37:0] io_out;
-   output [37:0] io_oeb;
-   inout [28:0] analog_io;
-   input user_clock2;
-   output [2:0] user_irq;
-   inout vssd1;
-   inout vccd1;
-
-   // Internal wires
-   wire CTS_91;
-   wire CTS_90;
-   wire CTS_94;
-   wire CTS_114;
-   wire CTS_87;
-   wire CTS_86;
-   wire CTS_85;
-   wire CTS_84;
-   wire CTS_83;
-   wire CTS_82;
-   wire CTS_81;
-   wire CTS_80;
-   wire CTS_79;
-   wire CTS_78;
-   wire CTS_77;
-   wire CTS_76;
-   wire CTS_113;
-   wire CTS_64;
-   wire CTS_112;
-   wire CTS_111;
-   wire CTS_110;
-   wire CTS_109;
-   wire CTS_108;
-   wire CTS_107;
-   wire CTS_106;
-   wire CTS_105;
-   wire CTS_104;
-   wire CTS_63;
-   wire CTS_47;
-   wire CTS_103;
-   wire CTS_102;
-   wire CTS_101;
-   wire CTS_100;
-   wire CTS_99;
-   wire CTS_98;
-   wire CTS_97;
-   wire CTS_96;
-   wire CTS_95;
-   wire CTS_93;
-   wire CTS_46;
-   wire CTS_45;
-   wire CTS_44;
-   wire CTS_43;
-   wire CTS_42;
-   wire CTS_41;
-   wire CTS_40;
-   wire CTS_39;
-   wire CTS_38;
-   wire CTS_37;
-   wire CTS_36;
-   wire CTS_89;
-   wire CTS_88;
-   wire CTS_33;
-   wire CTS_32;
-   wire CTS_31;
-   wire CTS_30;
-   wire CTS_29;
-   wire CTS_28;
-   wire CTS_27;
-   wire CTS_26;
-   wire CTS_25;
-   wire CTS_24;
-   wire CTS_23;
-   wire CTS_22;
-   wire CTS_21;
-   wire CTS_20;
-   wire CTS_19;
-   wire CTS_18;
-   wire CTS_17;
-   wire CTS_16;
-   wire CTS_15;
-   wire CTS_35;
-   wire CTS_34;
-   wire CTS_75;
-   wire CTS_74;
-   wire CTS_73;
-   wire CTS_72;
-   wire CTS_71;
-   wire CTS_70;
-   wire CTS_69;
-   wire CTS_68;
-   wire CTS_67;
-   wire CTS_66;
-   wire CTS_65;
-   wire CTS_62;
-   wire CTS_61;
-   wire CTS_60;
-   wire CTS_59;
-   wire CTS_58;
-   wire CTS_57;
-   wire CTS_56;
-   wire CTS_55;
-   wire CTS_54;
-   wire CTS_53;
-   wire CTS_52;
-   wire CTS_51;
-   wire CTS_50;
-   wire CTS_49;
-   wire CTS_48;
-   wire CTS_14;
-   wire CTS_13;
-   wire CTS_12;
-   wire CTS_11;
-   wire CTS_10;
-   wire CTS_9;
-   wire CTS_8;
-   wire CTS_7;
-   wire CTS_6;
-   wire CTS_5;
-   wire CTS_4;
-   wire CTS_3;
-   wire CTS_2;
-   wire CTS_1;
-   wire FE_DBTN27_soc_top_u_dccm_n_65;
-   wire FE_DBTN26_soc_top_lsu_to_xbar_a_address_31;
-   wire FE_DBTN25_soc_top_lsu_to_xbar_a_address_30;
-   wire FE_DBTN24_soc_top_u_top_u_core_fp_operands_0_21;
-   wire FE_DBTN23_soc_top_u_top_u_core_fp_operands_0_18;
-   wire FE_DBTN22_soc_top_u_top_u_core_fp_operands_0_14;
-   wire FE_DBTN21_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_0_value_mantissa_22;
-   wire FE_DBTN20_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_0_value_mantissa_3;
-   wire FE_DBTN19_soc_top_u_top_u_core_lsu_wdata_30;
-   wire FE_DBTN18_soc_top_u_top_u_core_lsu_wdata_29;
-   wire FE_DBTN17_soc_top_u_top_u_core_lsu_wdata_27;
-   wire FE_DBTN16_soc_top_u_top_u_core_lsu_wdata_26;
-   wire FE_DBTN15_soc_top_u_top_u_core_lsu_wdata_24;
-   wire FE_DBTN14_soc_top_u_top_u_core_lsu_wdata_23;
-   wire FE_DBTN13_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_mantissa_22;
-   wire FE_DBTN12_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_mantissa_18;
-   wire FE_DBTN11_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_mantissa_14;
-   wire FE_DBTN10_soc_top_u_spi_host_spi_host_ctrl_0;
-   wire FE_DBTN9_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b_4;
-   wire FE_DBTN8_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a_4;
-   wire FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4;
-   wire FE_DBTN6_soc_top_reset_manager_n_13;
-   wire FE_DBTN5_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_exponent_5;
-   wire FE_DBTN4_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_exponent_2;
-   wire FE_DBTN3_soc_top_prog_rst_ni;
-   wire FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S;
-   wire FE_DBTN1_n_14791;
-   wire FE_DBTN0_soc_top_u_spi_host_spi_host_tip;
-   wire [31:0] soc_top_data_wdata;
-   wire [11:0] soc_top_data_addr;
-   wire [31:0] soc_top_u_dccm_dout_1;
-   wire [31:0] soc_top_u_dccm_dout_2;
-   wire [31:0] soc_top_instr_wdata;
-   wire [11:0] soc_top_instr_addr;
-   wire [31:0] soc_top_u_iccm_dout_1;
-   wire [31:0] soc_top_u_iccm_dout_2;
-   wire [31:0] \soc_top_lsu_to_xbar[a_address] ;
-   wire [1:0] soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q;
-   wire [75:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum ;
-   wire [4:0] soc_top_u_top_u_core_cs_registers_i_fflag_wdata;
-   wire [30:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs ;
-   wire [5:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count ;
-   wire [31:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs ;
-   wire [31:0] soc_top_u_top_u_core_alu_operand_b_ex;
-   wire [32:0] \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q ;
-   wire [11:0] soc_top_u_top_u_core_csr_addr;
-   wire [33:0] \soc_top_u_top_u_core_imd_val_q_ex[0] ;
-   wire [31:0] soc_top_u_top_u_core_instr_rdata_alu_id;
-   wire [31:0] soc_top_u_top_u_core_pc_id;
-   wire [31:0] soc_top_u_top_u_core_lsu_addr_last;
-   wire [4:0] soc_top_u_top_u_core_rf_raddr_b;
-   wire [31:0] soc_top_u_top_u_core_multdiv_operand_b_ex;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] ;
-   wire [2:0] soc_top_main_swith_host_lsu_dev_select_outstanding;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] ;
-   wire [31:0] soc_top_u_top_u_core_rf_wdata_fwd_wb;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] ;
-   wire [5:0] soc_top_u_top_u_core_alu_operator_ex;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] ;
-   wire [32:0] \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] ;
-   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] ;
-   wire [12:0] \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0] ;
-   wire [12:0] \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1] ;
-   wire [12:0] \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2] ;
-   wire [12:0] \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3] ;
-   wire [2:0] \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr ;
-   wire [2:0] \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q ;
-   wire [2:0] soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs;
-   wire [4:0] soc_top_u_top_u_core_rf_waddr_wb;
-   wire [2:0] \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr ;
-   wire [2:0] \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr ;
-   wire [2:0] \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr ;
-   wire [1:0] \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q ;
-   wire [56:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP ;
-   wire [52:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D ;
-   wire [57:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] ;
-   wire [31:0] \soc_top_u_top_u_core_fp_operands[2] ;
-   wire [31:0] \soc_top_u_top_u_core_fp_operands[0] ;
-   wire [31:0] soc_top_u_top_u_core_fp_rf_wdata_wb;
-   wire [22:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] ;
-   wire [31:0] soc_top_u_top_u_core_lsu_wdata;
-   wire [31:0] \soc_top_xbar_to_lsu[d_data] ;
-   wire [2:0] soc_top_main_swith_host_lsu_dev_select_t;
-   wire [32:0] \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] ;
-   wire [31:0] \soc_top_spi_to_xbar[d_data] ;
-   wire [32:0] \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] ;
-   wire [32:0] \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] ;
-   wire [31:0] \soc_top_timer_to_xbar[d_data] ;
-   wire [32:0] \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] ;
-   wire [31:0] \soc_top_plic_resp[d_data] ;
-   wire [4:0] \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] ;
-   wire [4:0] \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] ;
-   wire [31:0] \soc_top_gpio_to_xbarp[d_data] ;
-   wire [4:0] \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] ;
-   wire [4:0] \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] ;
-   wire [31:0] \soc_top_uart_to_xbar[d_data] ;
-   wire [2:0] \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr ;
-   wire [31:0] \soc_top_pwm_to_xbar[d_data] ;
-   wire [31:8] soc_top_u_top_u_core_load_store_unit_i_rdata_q;
-   wire [16:0] soc_top_main_swith_host_lsu_num_req_outstanding;
-   wire [1:0] soc_top_u_top_u_core_load_store_unit_i_data_type_q;
-   wire [2:0] \soc_top_uart_to_xbar[d_opcode] ;
-   wire [2:0] \soc_top_gpio_to_xbarp[d_opcode] ;
-   wire [2:0] \soc_top_timer_to_xbar[d_opcode] ;
-   wire [2:0] \soc_top_spi_to_xbar[d_opcode] ;
-   wire [2:0] \soc_top_plic_resp[d_opcode] ;
-   wire [2:0] \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr ;
-   wire [1:0] soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q;
-   wire [2:0] \soc_top_pwm_to_xbar[d_opcode] ;
-   wire [31:0] \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] ;
-   wire [31:0] soc_top_u_top_u_core_cs_registers_i_tmatch_control_rdata;
-   wire [31:0] soc_top_u_top_u_core_pc_if;
-   wire [17:0] soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q;
-   wire [2:0] \soc_top_xbar_to_timer[a_opcode] ;
-   wire [8:0] soc_top_timer0_u_reg_addr_hit;
-   wire [1:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[1] ;
-   wire [3:0] \soc_top_xbar_to_timer[a_mask] ;
-   wire [52:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D ;
-   wire [31:0] soc_top_u_top_u_core_alu_adder_result_ex;
-   wire [11:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D ;
-   wire [31:0] \soc_top_xbar_to_timer[a_address] ;
-   wire [5:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S ;
-   wire [57:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP ;
-   wire [31:0] \soc_top_xbar_to_timer[a_data] ;
-   wire [22:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] ;
-   wire [0:0] soc_top_timer0_intr_timer_set;
-   wire [31:0] soc_top_GPIO_data_in_d;
-   wire [31:0] soc_top_GPIO_data_in_q;
-   wire [31:0] soc_top_intr_gpio;
-   wire [35:0] soc_top_intr_controller_u_gateway_src_q;
-   wire [31:0] soc_top_u_spi_host_rdata;
-   wire [1:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_fpu_norm_U0_Mant_lower_D ;
-   wire [31:0] soc_top_u_top_u_core_cs_registers_i_csr_rdata_int;
-   wire [1:0] soc_top_u_top_u_core_priv_mode_id;
-   wire [2:0] soc_top_u_top_u_core_cs_registers_i_frmd;
-   wire [1:0] \soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] ;
-   wire [2:0] soc_top_u_top_u_core_fp_frm_csr;
-   wire [0:0] \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_control_we ;
-   wire [0:0] \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we ;
-   wire [31:0] soc_top_u_top_u_core_pc_wb;
-   wire [31:0] soc_top_u_top_u_core_cs_registers_i_depc_d;
-   wire [5:0] soc_top_u_top_u_core_exc_cause;
-   wire [5:0] soc_top_u_top_u_core_cs_registers_i_mcause_d;
-   wire [31:0] soc_top_u_top_u_core_cs_registers_i_mepc_d;
-   wire [31:0] soc_top_u_top_u_core_csr_mtval;
-   wire [31:0] soc_top_u_top_u_core_cs_registers_i_mtval_d;
-   wire [31:0] soc_top_u_top_u_core_cs_registers_i_mtvec_d;
-   wire [12:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D ;
-   wire [31:0] soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata;
-   wire [63:0] \soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] ;
-   wire [63:0] \soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] ;
-   wire [1:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q ;
-   wire [31:0] soc_top_u_top_u_core_cs_registers_i_mscratch_q;
-   wire [31:0] soc_top_u_top_u_core_cs_registers_i_dscratch1_q;
-   wire [31:0] soc_top_u_top_u_core_csr_depc;
-   wire [31:0] soc_top_u_top_u_core_csr_mepc;
-   wire [31:0] soc_top_u_top_u_core_csr_mtvec;
-   wire [1:0] \soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q ;
-   wire [31:0] soc_top_u_top_u_core_cs_registers_i_dscratch0_q;
-   wire [31:0] soc_top_u_top_u_core_cs_registers_i_mtval_q;
-   wire [31:0] \soc_top_iccm_to_xbar[d_data] ;
-   wire [2:0] soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q;
-   wire [57:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] ;
-   wire [31:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant ;
-   wire [2:0] \soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] ;
-   wire [5:0] soc_top_u_top_u_core_cs_registers_i_mcause_q;
-   wire [15:0] soc_top_u_spi_host_spi_host_ctrl;
-   wire [31:0] \soc_top_ifu_to_xbar[a_address] ;
-   wire [11:0] soc_top_iccm_ctrl_addr_o;
-   wire [31:0] soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q;
-   wire [31:0] soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q;
-   wire [32:0] \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] ;
-   wire [32:0] \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] ;
-   wire [15:0] soc_top_u_spi_host_spi_host_divider;
-   wire [3:0] soc_top_u_spi_host_spi_host_ss;
-   wire [31:0] soc_top_u_spi_host_spi_host_rx;
-   wire [1:0] \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr ;
-   wire [1:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[2] ;
-   wire [4:0] \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] ;
-   wire [2:0] soc_top_u_top_u_core_fp_frm_fpnew;
-   wire [1:0] \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr ;
-   wire [31:0] gpio_o;
-   wire [0:0] soc_top_timer0_intr_timer_state_de;
-   wire [0:0] soc_top_timer0_intr_timer_state_d;
-   wire [31:0] soc_top_GPIO_cio_gpio_en_q;
-   wire [1:0] soc_top_u_top_u_core_exc_pc_mux_id;
-   wire [7:0] soc_top_u_pwm_pwm_core_ctrl_2;
-   wire [7:0] soc_top_u_pwm_pwm_core_ctrl;
-   wire [2:0] soc_top_u_top_u_core_debug_cause;
-   wire [1:0] soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy;
-   wire [2:0] soc_top_u_top_u_core_pc_mux_id;
-   wire [11:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D ;
-   wire [31:0] \soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] ;
-   wire [1:0] \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr ;
-   wire [1:0] \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr ;
-   wire [1:0] \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr ;
-   wire [1:0] \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr ;
-   wire [12:0] \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0] ;
-   wire [12:0] \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1] ;
-   wire [31:0] \soc_top_GPIO_reg2hw[intr_enable][q] ;
-   wire [31:0] \soc_top_GPIO_reg2hw[intr_state][q] ;
-   wire [31:0] soc_top_iccm_ctrl_data;
-   wire [3:0] soc_top_data_wmask;
-   wire [14:0] soc_top_GPIO_u_reg_addr_hit;
-   wire [4:0] \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] ;
-   wire [31:0] \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] ;
-   wire [2:0] soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q;
-   wire [31:0] soc_top_GPIO_cio_gpio_q;
-   wire [3:0] \soc_top_GPIO_gen_filter[0].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[1].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[2].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[3].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[4].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[5].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[6].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[7].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[8].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[9].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[10].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[11].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[12].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[13].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[14].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[15].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[16].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[17].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[19].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[20].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[21].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[22].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[23].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[24].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[25].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[26].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[27].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[28].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[29].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[30].filter_diff_ctr_q ;
-   wire [3:0] \soc_top_GPIO_gen_filter[31].filter_diff_ctr_q ;
-   wire [31:0] soc_top_GPIO_u_reg_ctrl_en_input_filter_qs;
-   wire [31:0] \soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] ;
-   wire [31:0] \soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] ;
-   wire [31:0] \soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] ;
-   wire [31:0] \soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] ;
-   wire [35:0] soc_top_intr_controller_u_gateway_ia;
-   wire [35:0] soc_top_intr_controller_ip;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio0][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio1][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio2][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio3][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio4][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio5][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio6][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio7][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio8][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio9][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio10][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio11][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio12][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio13][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio14][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio15][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio16][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio17][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio18][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio19][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio20][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio21][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio22][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio23][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio24][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio25][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio26][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio27][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio28][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio29][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio30][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio31][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio32][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio33][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio34][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[prio35][q] ;
-   wire [1:0] \soc_top_intr_controller_reg2hw[threshold0][q] ;
-   wire [11:0] \soc_top_timer0_gen_harts[0].u_core_tick_count ;
-   wire [11:0] \soc_top_timer0_reg2hw[cfg0][prescale][q] ;
-   wire [7:0] \soc_top_timer0_reg2hw[cfg0][step][q] ;
-   wire [31:0] \soc_top_timer0_reg2hw[compare_lower0_0][q] ;
-   wire [31:0] \soc_top_timer0_reg2hw[compare_upper0_0][q] ;
-   wire [31:0] \soc_top_timer0_reg2hw[timer_v_lower0][q] ;
-   wire [31:0] \soc_top_timer0_reg2hw[timer_v_upper0][q] ;
-   wire [15:0] soc_top_u_pwm_pwm_core_DC_1;
-   wire [15:0] soc_top_u_pwm_pwm_core_DC_2;
-   wire [15:0] soc_top_u_pwm_pwm_core_counter_p1;
-   wire [15:0] soc_top_u_pwm_pwm_core_counter_p2;
-   wire [15:0] soc_top_u_pwm_pwm_core_divisor_2;
-   wire [15:0] soc_top_u_pwm_pwm_core_divisor;
-   wire [15:0] soc_top_u_pwm_pwm_core_period_2;
-   wire [15:0] soc_top_u_pwm_pwm_core_period_counter1;
-   wire [15:0] soc_top_u_pwm_pwm_core_period_counter2;
-   wire [15:0] soc_top_u_pwm_pwm_core_period;
-   wire [4:0] \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q ;
-   wire [31:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q ;
-   wire [2:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S ;
-   wire [33:0] \soc_top_u_top_u_core_imd_val_q_ex[1] ;
-   wire [1:0] soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_branch_discard_q;
-   wire [31:0] \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] ;
-   wire [31:0] \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] ;
-   wire [15:0] soc_top_u_top_u_core_instr_rdata_c_id;
-   wire [18:0] soc_top_u_uart_u_uart_core_control;
-   wire [7:0] soc_top_u_uart_u_uart_core_tx;
-   wire [6:0] \soc_top_intr_controller_irq_id_o[0] ;
-   wire [57:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] ;
-   wire [31:0] soc_top_intr_controller_u_reg_reg_rdata_next;
-   wire [7:0] soc_top_u_uart_u_uart_core_rx;
-   wire [31:0] soc_top_GPIO_u_reg_data_in_qs;
-   wire [5:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b ;
-   wire [5:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a ;
-   wire [4:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt ;
-   wire [50:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_gen_lzc.in_tmp ;
-   wire [1:0] soc_top_reset_manager_rst_fsm_cs;
-   wire [0:0] soc_top_timer0_u_reg_u_intr_state0_wr_data;
-   wire [1:0] soc_top_u_dut_ctrl_fsm_cs;
-   wire [7:0] soc_top_rx_byte_i;
-   wire [1:0] soc_top_u_dut_byte_count;
-   wire [15:0] soc_top_u_spi_host_spi_host_clgen_cnt;
-   wire [5:0] soc_top_u_spi_host_spi_host_shift_cnt;
-   wire [31:0] soc_top_u_spi_host_spi_host_shift_data;
-   wire [3:0] soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs;
-   wire [2:0] soc_top_u_uart_rx_prog_r_Bit_Index;
-   wire [15:0] soc_top_u_uart_rx_prog_r_Clock_Count;
-   wire [2:0] soc_top_u_uart_rx_prog_r_SM_Main;
-   wire [2:0] soc_top_u_uart_u_uart_core_u_rx_r_Bit_Index;
-   wire [15:0] soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count;
-   wire [2:0] soc_top_u_uart_u_uart_core_u_rx_r_SM_Main;
-   wire [2:0] soc_top_u_uart_u_uart_core_u_tx_r_Bit_Index;
-   wire [15:0] soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count;
-   wire [2:0] soc_top_u_uart_u_uart_core_u_tx_r_SM_Main;
-   wire [7:0] soc_top_u_uart_u_uart_core_u_tx_r_TX_Data;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_0 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_1 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_2 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_6 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_8 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_10 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_11 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_12 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_13 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_14 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_15 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_16 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_17 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_18 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_20 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_21 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_22 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_23 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_24 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_26 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_29 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_30 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_31 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_32 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_33 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_34 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_36 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_37 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_38 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_39 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_41 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_45 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_47 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_48 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_49 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_51 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_52 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_53 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_54 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_55 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_56 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_57 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_58 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_59 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_60 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_61 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_62 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_63 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_64 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_65 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_66 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_67 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_68 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_69 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_70 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_71 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_80 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_81 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_83 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_85 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_86 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_88 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_89 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_91 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_92 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_94 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_95 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_97 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_98 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_100 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_101 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_103 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_104 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_106 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_107 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_109 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_110 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_112 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_113 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_115 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_116 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_118 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_119 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_121 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_122 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_124 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_125 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_127 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_128 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_130 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_131 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_133 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_134 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_136 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_137 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_139 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_142 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_143 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_145 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_164 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_166 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_175 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_177 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_179 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_181 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_183 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_185 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_187 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_189 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_191 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_193 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_195 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_197 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_199 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_201 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_203 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_205 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_207 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_209 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_211 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_213 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_215 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_217 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_219 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_221 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_223 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_225 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_0 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_1 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_2 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_3 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_4 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_5 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_6 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_7 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_8 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_9 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_10 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_11 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_12 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_13 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_14 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_15 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_16 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_17 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_18 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_19 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_20 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_21 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_22 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_23 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_24 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_25 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_26 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_27 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_28 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_29 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_30 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_31 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_32 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_33 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_34 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_35 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_36 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_37 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_38 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_39 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_40 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_41 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_42 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_43 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_44 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_45 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_46 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_47 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_48 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_49 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_50 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_51 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_52 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_53 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_54 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_55 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_56 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_58 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_59 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_60 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_61 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_62 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_63 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_64 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_65 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_66 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_67 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_68 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_71 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_72 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_74 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_75 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_77 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_78 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_80 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_81 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_83 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_84 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_86 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_87 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_89 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_90 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_92 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_93 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_95 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_96 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_98 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_99 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_101 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_102 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_104 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_105 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_107 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_108 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_110 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_111 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_113 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_114 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_116 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_117 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_119 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_120 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_122 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_123 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_125 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_126 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_128 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_129 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_131 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_132 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_134 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_135 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_137 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_138 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_140 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_141 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_143 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_144 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_147 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_148 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_151 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_152 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_154 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_156 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_158 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_160 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_162 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_164 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_166 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_168 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_170 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_172 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_174 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_176 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_178 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_180 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_182 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_184 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_186 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_188 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_190 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_192 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_194 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_196 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_198 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_200 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_202 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_204 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_206 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_0 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_2 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_4 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_6 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_8 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_10 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_12 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_14 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_16 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_18 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_20 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_22 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_24 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_26 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_28 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_30 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_32 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_34 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_36 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_38 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_40 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_42 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_44 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_46 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_48 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_50 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_52 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_54 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_56 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_58 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_60 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_62 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_64 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_66 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_68 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_70 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_72 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_74 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_76 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_78 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_80 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_82 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_84 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_86 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_88 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_90 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_92 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_94 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_96 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_98 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_100 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_102 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_104 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_106 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_108 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_110 ;
-   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_112 ;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_0;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_3;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_4;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_5;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_6;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_7;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_8;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_9;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_10;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_11;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_12;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_14;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_15;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_16;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_17;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_18;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_19;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_20;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_21;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_23;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_24;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_25;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_26;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_27;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_28;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_30;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_31;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_32;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_34;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_35;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_37;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_38;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_39;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_40;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_41;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_42;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_43;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_44;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_45;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_46;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_47;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_48;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_49;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_50;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_51;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_52;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_53;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_54;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_55;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_56;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_57;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_58;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_59;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_60;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_61;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_62;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_63;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_64;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_65;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_66;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_68;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_70;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_73;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_76;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_77;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_81;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_83;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_84;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_86;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_87;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_89;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_90;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_91;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_92;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_93;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_94;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_96;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_97;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_98;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_99;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_100;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_101;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_102;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_103;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_104;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_105;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_106;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_107;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_108;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_109;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_114;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_115;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_116;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_117;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_118;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_119;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_120;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_121;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_122;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_123;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_124;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_125;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_126;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_127;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_128;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_129;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_130;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_131;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_132;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_133;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_134;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_135;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_136;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_137;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_138;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_139;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_140;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_141;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_143;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_144;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_145;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_146;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_147;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_148;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_151;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_152;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_153;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_154;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_155;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_156;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_157;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_158;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_159;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_160;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_161;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_162;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_163;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_164;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_165;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_166;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_167;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_168;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_169;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_170;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_171;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_172;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_173;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_174;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_175;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_176;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_177;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_179;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_180;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_181;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_182;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_183;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_184;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_185;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_186;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_187;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_188;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_189;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_190;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_192;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_193;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_194;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_196;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_201;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_202;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_203;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_204;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_205;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_207;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_210;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_211;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_212;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_213;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_214;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_215;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_216;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_217;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_218;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_219;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_220;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_221;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_222;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_223;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_224;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_225;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_226;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_227;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_228;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_229;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_230;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_231;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_232;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_233;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_234;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_235;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_236;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_237;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_238;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_239;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_240;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_241;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_242;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_243;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_244;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_245;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_246;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_247;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_248;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_249;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_250;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_251;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_252;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_253;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_254;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_255;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_256;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_257;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_258;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_259;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_260;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_261;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_262;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_263;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_264;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_265;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_266;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_267;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_268;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_269;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_270;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_271;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_272;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_273;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_275;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_276;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_277;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_278;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_279;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_280;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_281;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_282;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_283;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_284;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_285;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_286;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_287;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_288;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_289;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_290;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_291;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_292;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_293;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_294;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_295;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_296;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_297;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_298;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_299;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_300;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_301;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_302;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_303;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_304;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_305;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_306;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_307;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_308;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_309;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_310;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_311;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_312;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_313;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_314;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_315;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_316;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_317;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_318;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_319;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_320;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_321;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_322;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_323;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_324;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_325;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_326;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_327;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_328;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_329;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_330;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_331;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_332;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_333;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_334;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_335;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_336;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_337;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_338;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_339;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_340;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_341;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_342;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_343;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_344;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_345;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_346;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_347;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_348;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_349;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_350;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_351;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_352;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_353;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_354;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_355;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_356;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_357;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_358;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_359;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_360;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_361;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_362;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_363;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_364;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_365;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_366;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_367;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_368;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_369;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_370;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_371;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_372;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_373;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_374;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_375;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_376;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_377;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_378;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_380;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_381;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_382;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_383;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_384;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_385;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_386;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_387;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_388;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_389;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_390;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_391;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_393;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_394;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_395;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_396;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_397;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_398;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_399;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_400;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_401;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_402;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_403;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_404;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_405;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_406;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_407;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_408;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_409;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_410;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_411;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_412;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_413;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_414;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_415;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_416;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_417;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_418;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_419;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_420;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_421;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_422;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_423;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_424;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_425;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_426;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_427;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_428;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_429;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_430;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_431;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_432;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_433;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_434;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_436;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_437;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_438;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_439;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_440;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_441;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_442;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_443;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_444;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_445;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_446;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_447;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_448;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_451;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_452;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_453;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_454;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_455;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_456;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_457;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_458;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_459;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_460;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_461;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_462;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_463;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_464;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_465;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_467;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_468;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_469;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_470;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_471;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_472;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_473;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_474;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_475;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_476;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_477;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_478;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_479;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_480;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_481;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_482;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_483;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_484;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_485;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_486;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_487;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_488;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_489;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_490;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_491;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_492;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_493;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_494;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_495;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_497;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_498;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_499;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_500;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_501;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_502;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_503;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_504;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_505;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_506;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_507;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_508;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_509;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_510;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_511;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_512;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_513;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_514;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_515;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_516;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_517;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_518;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_519;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_520;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_521;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_522;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_523;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_524;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_525;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_526;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_527;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_528;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_529;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_530;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_531;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_532;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_533;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_534;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_535;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_536;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_537;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_538;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_539;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_540;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_541;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_542;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_543;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_544;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_545;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_546;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_547;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_548;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_549;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_550;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_551;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_552;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_553;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_554;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_555;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_556;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_557;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_558;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_559;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_560;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_561;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_562;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_563;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_564;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_565;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_566;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_567;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_568;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_569;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_570;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_571;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_572;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_573;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_574;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_575;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_576;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_577;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_578;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_579;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_580;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_581;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_582;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_583;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_584;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_585;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_586;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_587;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_588;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_589;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_590;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_591;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_592;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_593;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_594;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_595;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_596;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_597;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_598;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_599;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_600;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_601;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_602;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_603;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_604;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_605;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_606;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_607;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_608;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_609;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_610;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_611;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_612;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_613;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_614;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_615;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_616;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_617;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_618;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_619;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_620;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_621;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_622;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_623;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_624;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_625;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_626;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_627;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_628;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_629;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_630;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_631;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_632;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_633;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_634;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_635;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_636;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_637;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_638;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_639;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_640;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_641;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_642;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_643;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_644;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_645;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_646;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_647;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_648;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_649;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_650;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_651;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_652;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_653;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_654;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_655;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_656;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_657;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_658;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_659;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_660;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_661;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_662;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_663;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_664;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_665;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_666;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_667;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_668;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_669;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_670;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_671;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_672;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_673;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_674;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_675;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_676;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_677;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_678;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_679;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_680;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_681;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_682;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_683;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_684;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_685;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_686;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_687;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_688;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_689;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_690;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_691;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_692;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_693;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_694;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_695;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_696;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_697;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_698;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_699;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_700;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_701;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_702;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_703;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_704;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_705;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_706;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_707;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_708;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_709;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_710;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_711;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_712;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_713;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_714;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_715;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_716;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_717;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_718;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_719;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_720;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_721;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_722;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_723;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_724;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_725;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_726;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_727;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_728;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_729;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_730;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_731;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_732;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_733;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_734;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_735;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_736;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_737;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_738;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_739;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_740;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_741;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_742;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_743;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_744;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_745;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_746;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_747;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_748;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_750;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_751;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_752;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_753;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_754;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_755;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_756;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_757;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_758;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_759;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_760;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_761;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_762;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_763;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_764;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_765;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_766;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_768;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_769;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_770;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_771;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_772;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_773;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_774;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_775;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_776;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_777;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_778;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_779;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_780;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_781;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_782;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_783;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_784;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_785;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_786;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_787;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_788;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_789;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_790;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_791;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_792;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_793;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_794;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_795;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_796;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_797;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_798;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_799;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_800;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_801;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_802;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_803;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_804;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_805;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_806;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_807;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_808;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_809;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_810;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_811;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_812;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_813;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_814;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_815;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_816;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_817;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_818;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_819;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_820;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_821;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_822;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_823;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_824;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_825;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_826;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_827;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_828;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_829;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_830;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_831;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_832;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_834;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_835;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_836;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_837;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_838;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_839;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_840;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_843;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_844;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_845;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_846;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_847;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_848;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_849;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_850;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_851;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_852;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_853;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_854;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_855;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_856;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_858;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_859;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_860;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_861;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_862;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_863;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_865;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_866;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_867;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_868;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_869;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_870;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_872;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_873;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_874;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_875;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_876;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_877;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_878;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_879;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_880;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_882;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_883;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_885;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_886;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_887;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_888;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_889;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_890;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_891;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_892;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_893;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_894;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_895;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_896;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_897;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_898;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_899;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_900;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_901;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_902;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_903;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_904;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_905;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_906;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_907;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_908;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_909;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_910;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_911;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_913;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_914;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_915;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_916;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_918;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_919;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_920;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_921;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_922;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_923;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_924;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_925;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_926;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_927;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_928;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_929;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_930;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_931;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_932;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_934;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_935;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_936;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_937;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_938;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_939;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_940;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_941;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_942;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_943;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_944;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_945;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_946;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_947;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_948;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_949;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_950;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_951;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_953;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_954;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_955;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_956;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_957;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_958;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_960;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_961;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_962;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_963;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_965;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_966;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_967;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_968;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_969;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_970;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_971;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_972;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_973;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_974;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_975;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_976;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_977;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_978;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_979;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_980;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_982;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_983;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_984;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_985;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_986;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_987;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_988;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_989;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_990;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_991;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_992;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_993;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_994;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_995;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_996;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_997;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_998;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_999;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1000;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1001;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1002;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1003;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1004;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1005;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1006;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1007;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1008;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1009;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1010;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1011;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1012;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1013;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1014;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1017;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1019;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1020;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1021;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1023;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1024;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1025;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1026;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1028;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1030;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1033;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1036;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1040;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1041;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1042;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1043;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1044;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1045;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1046;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1047;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1048;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1050;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1051;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1052;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1053;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1054;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1055;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1056;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1057;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1058;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1059;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1060;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1061;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1062;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1063;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1064;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1065;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1066;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1067;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1068;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1069;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1070;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1071;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1072;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1074;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1075;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1076;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1080;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1081;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1082;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1083;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1084;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1085;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1086;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1087;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1089;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1090;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1091;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1093;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1094;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1095;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1096;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1097;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1098;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1099;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1100;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1101;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1102;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1104;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1105;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1106;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1107;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1108;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1109;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1110;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1111;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1112;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1113;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1114;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1115;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1116;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1117;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1118;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1119;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1120;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1122;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1124;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1125;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1126;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1127;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1128;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1129;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1131;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1132;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1133;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1134;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1135;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1136;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1137;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1138;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1139;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1140;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1141;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1142;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1143;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1145;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1146;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1147;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1148;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1150;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1151;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1152;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1153;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1154;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1155;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1159;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1160;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1161;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1162;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1167;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1168;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1170;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1171;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1175;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1179;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1185;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1186;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1190;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1191;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1192;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1193;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1194;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1196;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1197;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1198;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1199;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1200;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1201;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1202;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1203;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1204;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1205;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1206;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1208;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1210;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1211;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1212;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1213;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1214;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1215;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1218;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1219;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1220;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1221;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1225;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1229;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1230;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1238;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1241;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1242;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1243;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1244;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1246;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1247;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1248;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1251;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1252;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1253;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1254;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1255;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1256;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1257;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1259;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1260;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1261;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1262;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1263;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1266;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1267;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1268;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1269;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1270;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1271;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1272;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1273;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1274;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1275;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1276;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1277;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1278;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1280;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1282;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1283;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1284;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1285;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1286;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1288;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1289;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1292;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1293;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1295;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1296;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1297;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1298;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1299;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1300;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1303;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1304;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1305;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1306;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1307;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1308;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1309;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1310;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1311;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1312;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1313;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1314;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1315;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1317;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1318;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1319;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1320;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1321;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1322;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1323;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1324;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1326;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1327;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1328;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1329;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1330;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1331;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1332;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1333;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1334;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1335;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1336;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1339;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1340;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1341;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1342;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1346;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1349;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1350;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1351;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1352;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1353;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1355;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1356;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1357;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1358;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1360;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1361;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1363;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1364;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1365;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1366;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1368;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1370;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1371;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1372;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1373;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1374;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1375;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1377;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1378;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1379;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1380;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1384;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1386;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1387;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1388;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1389;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1390;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1392;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1393;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1394;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1395;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1396;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1397;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1398;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1399;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1400;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1401;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1402;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1403;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1404;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1405;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1406;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1407;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1408;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1409;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1411;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1413;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1414;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1415;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1416;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1417;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1418;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1419;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1420;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1421;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1423;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1425;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1427;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1428;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1429;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1430;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1431;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1432;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1433;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1434;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1437;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1440;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1441;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1442;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1445;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1446;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1447;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1449;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1451;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1452;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1453;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1454;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1456;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1458;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1459;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1460;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1463;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1464;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1465;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1466;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1467;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1468;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1469;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1470;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1471;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1472;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1473;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1474;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1475;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1479;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1480;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1481;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1482;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1485;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1486;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1487;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1488;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1490;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1491;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1492;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1493;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1494;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1495;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1496;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1497;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1498;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1499;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1500;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1501;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1502;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1505;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1506;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1507;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1508;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1509;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1510;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1511;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1512;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1513;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1514;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1515;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1516;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1517;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1518;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1519;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1520;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1521;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1522;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1523;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1524;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1525;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1526;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1527;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1529;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1530;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1532;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1533;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1534;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1535;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1536;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1537;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1538;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1539;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1540;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1541;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1542;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1543;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1544;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1545;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1546;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1547;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1548;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1549;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1550;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1551;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1552;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1553;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1554;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1555;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1556;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1558;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1559;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1560;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1562;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1563;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1565;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1566;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1567;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1568;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1569;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1570;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1571;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1572;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1573;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1574;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1575;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1577;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1578;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1579;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1580;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1582;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1583;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1584;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1585;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1586;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1587;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1588;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1589;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1590;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1591;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1592;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1594;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1595;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1596;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1597;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1598;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1600;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1601;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1603;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1605;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1608;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1609;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1611;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1612;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1613;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1614;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1615;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1616;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1617;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1618;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1619;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1620;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1621;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1622;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1623;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1624;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1625;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1626;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1627;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1628;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1629;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1630;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1631;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1632;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1633;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1634;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1635;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1636;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1637;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1638;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1641;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1642;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1646;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1647;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1649;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1650;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1651;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1652;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1653;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1654;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1655;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1656;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1658;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1659;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1660;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1661;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1662;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1663;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1665;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1666;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1667;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1668;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1669;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1670;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1671;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1673;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1674;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1675;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1676;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1678;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1679;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1680;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1681;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1683;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1684;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1685;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1686;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1687;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1688;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1689;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1690;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1691;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1692;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1693;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1694;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1695;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1696;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1697;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1698;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1699;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1700;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1701;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1702;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1703;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1704;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1705;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1706;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1707;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1708;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1709;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1711;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1712;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1714;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1715;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1716;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1717;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1718;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1720;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1721;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1722;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1723;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1724;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1725;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1726;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1727;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1729;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1730;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1731;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1732;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1733;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1734;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1735;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1736;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1738;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1739;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1741;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1742;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1743;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1744;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1745;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1746;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1747;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1748;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1749;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1750;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1752;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1754;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1756;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1757;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1758;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1760;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1761;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1763;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1764;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1767;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1768;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1769;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1770;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1774;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1775;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1777;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1778;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1780;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1781;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1782;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1783;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1784;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1785;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1786;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1787;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1788;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1789;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1790;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1791;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1792;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1793;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1794;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1795;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1796;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1797;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1798;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1799;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1800;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1801;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1804;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1805;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1806;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1807;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1808;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1813;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1815;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1816;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1817;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1818;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1819;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1820;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1821;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1822;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1823;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1824;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1825;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1826;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1827;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1828;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1830;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1831;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1832;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1834;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1835;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1836;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1837;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1838;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1839;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1840;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1842;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1843;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1844;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1845;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1846;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1848;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1849;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1850;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1852;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1853;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1854;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1855;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1856;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1857;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1858;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1859;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1860;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1861;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1862;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1863;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1864;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1866;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1868;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1869;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1870;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1871;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1873;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1875;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1876;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1877;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1878;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1879;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1882;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1883;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1884;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1885;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1886;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1888;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1889;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1891;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1893;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1894;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1895;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1896;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1897;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1898;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1899;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1900;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1901;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1902;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1903;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1904;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1905;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1906;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1907;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1908;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1913;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1914;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1915;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1916;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1917;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1918;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1919;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1920;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1921;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1922;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1923;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1924;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1925;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1926;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1927;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1928;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1934;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1939;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1940;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1941;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1942;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1945;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1946;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1947;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1948;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1949;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1950;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1951;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1952;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1953;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1954;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1955;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1956;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1957;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1958;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1959;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1960;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1961;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1962;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1964;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1965;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1966;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1968;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1969;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1970;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1972;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1973;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1974;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1975;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1977;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1978;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1980;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1981;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1982;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1983;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1984;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1986;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1987;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1988;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1992;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1993;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1994;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1997;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1998;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1999;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2000;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2002;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2004;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2005;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2007;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2008;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2009;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2010;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2011;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2012;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2013;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2014;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2015;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2016;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2017;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2018;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2019;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2020;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2023;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2024;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2025;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2026;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2027;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2028;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2029;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2032;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2033;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2034;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2035;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2036;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2037;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2038;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2039;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2040;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2041;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2043;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2044;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2045;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2047;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2048;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2049;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2050;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2051;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2053;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2054;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2055;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2056;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2057;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2058;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2059;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2060;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2061;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2062;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2063;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2064;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2065;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2066;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2067;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2068;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2069;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2070;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2071;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2072;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2073;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2074;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2075;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2076;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2077;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2078;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2079;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2080;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2081;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2082;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2083;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2084;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2085;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2086;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2088;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2089;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2091;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2092;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2094;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2095;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2097;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2098;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2100;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2101;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2103;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2104;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2106;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2107;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2109;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2110;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2112;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2113;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2115;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2116;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2118;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2119;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2121;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2122;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2124;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2125;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2127;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2128;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2130;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2131;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2133;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2134;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2136;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2137;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2139;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2140;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2142;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2143;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2145;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2146;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2148;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2149;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2151;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2152;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2154;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2155;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2157;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2164;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2166;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2167;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2169;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2173;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2174;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2176;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2178;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2179;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2181;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2182;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2184;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2185;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2187;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2188;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2190;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2191;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2193;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2194;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2196;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2197;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2199;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2201;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2203;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2205;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2207;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2209;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2211;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2213;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2215;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2217;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2219;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2221;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2223;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2225;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2227;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2229;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2231;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2233;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2235;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2237;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2239;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2241;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2243;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2245;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2247;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2248;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2249;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2251;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_0;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_1;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_2;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_3;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_4;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_5;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_6;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_7;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_8;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_9;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_10;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_11;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_12;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_13;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_15;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_16;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_17;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_18;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_19;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_20;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_21;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_22;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_23;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_24;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_25;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_27;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_29;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_31;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_33;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_35;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_37;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_39;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_41;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_43;
-   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_45;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_0 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_2 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_4 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_6 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_8 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_10 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_12 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_14 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_16 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_18 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_20 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_22 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_24 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_26 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_28 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_30 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_32 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_34 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_36 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_38 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_40 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_42 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_44 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_46 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_48 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_50 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_52 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_54 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_56 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_59 ;
-   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_60 ;
-   wire inc_ADD_UNS_OP8_n_0;
-   wire inc_ADD_UNS_OP8_n_2;
-   wire inc_ADD_UNS_OP8_n_4;
-   wire inc_ADD_UNS_OP8_n_6;
-   wire inc_ADD_UNS_OP8_n_8;
-   wire inc_ADD_UNS_OP8_n_10;
-   wire inc_ADD_UNS_OP8_n_12;
-   wire inc_ADD_UNS_OP8_n_14;
-   wire inc_ADD_UNS_OP8_n_16;
-   wire inc_ADD_UNS_OP8_n_18;
-   wire inc_ADD_UNS_OP8_n_20;
-   wire inc_ADD_UNS_OP8_n_22;
-   wire inc_ADD_UNS_OP8_n_24;
-   wire inc_ADD_UNS_OP8_n_26;
-   wire inc_ADD_UNS_OP8_n_28;
-   wire inc_ADD_UNS_OP8_n_30;
-   wire inc_ADD_UNS_OP8_n_32;
-   wire inc_ADD_UNS_OP8_n_34;
-   wire inc_ADD_UNS_OP8_n_36;
-   wire inc_ADD_UNS_OP8_n_38;
-   wire inc_ADD_UNS_OP8_n_40;
-   wire inc_ADD_UNS_OP8_n_42;
-   wire inc_ADD_UNS_OP8_n_44;
-   wire inc_ADD_UNS_OP8_n_46;
-   wire inc_ADD_UNS_OP8_n_48;
-   wire inc_ADD_UNS_OP8_n_50;
-   wire inc_ADD_UNS_OP8_n_52;
-   wire inc_ADD_UNS_OP8_n_54;
-   wire inc_ADD_UNS_OP8_n_56;
-   wire inc_ADD_UNS_OP8_n_58;
-   wire inc_ADD_UNS_OP8_n_60;
-   wire inc_ADD_UNS_OP8_n_62;
-   wire inc_ADD_UNS_OP8_n_64;
-   wire inc_ADD_UNS_OP8_n_66;
-   wire inc_ADD_UNS_OP8_n_68;
-   wire inc_ADD_UNS_OP8_n_70;
-   wire inc_ADD_UNS_OP8_n_72;
-   wire inc_ADD_UNS_OP8_n_74;
-   wire inc_ADD_UNS_OP8_n_76;
-   wire inc_ADD_UNS_OP8_n_78;
-   wire inc_ADD_UNS_OP8_n_80;
-   wire inc_ADD_UNS_OP8_n_82;
-   wire inc_ADD_UNS_OP8_n_84;
-   wire inc_ADD_UNS_OP8_n_86;
-   wire inc_ADD_UNS_OP8_n_88;
-   wire inc_ADD_UNS_OP8_n_90;
-   wire inc_ADD_UNS_OP8_n_92;
-   wire inc_ADD_UNS_OP8_n_94;
-   wire inc_ADD_UNS_OP8_n_96;
-   wire inc_ADD_UNS_OP8_n_98;
-   wire inc_ADD_UNS_OP8_n_100;
-   wire inc_ADD_UNS_OP8_n_102;
-   wire inc_ADD_UNS_OP8_n_104;
-   wire inc_ADD_UNS_OP8_n_106;
-   wire inc_ADD_UNS_OP8_n_108;
-   wire inc_ADD_UNS_OP8_n_110;
-   wire inc_ADD_UNS_OP8_n_112;
-   wire inc_ADD_UNS_OP8_n_114;
-   wire inc_ADD_UNS_OP8_n_116;
-   wire inc_ADD_UNS_OP8_n_118;
-   wire inc_ADD_UNS_OP8_n_120;
-   wire inc_ADD_UNS_OP8_n_123;
-   wire inc_ADD_UNS_OP8_n_124;
-   wire inc_ADD_UNS_OP_n_0;
-   wire inc_ADD_UNS_OP_n_2;
-   wire inc_ADD_UNS_OP_n_4;
-   wire inc_ADD_UNS_OP_n_6;
-   wire inc_ADD_UNS_OP_n_8;
-   wire inc_ADD_UNS_OP_n_10;
-   wire inc_ADD_UNS_OP_n_12;
-   wire inc_ADD_UNS_OP_n_14;
-   wire inc_ADD_UNS_OP_n_16;
-   wire inc_ADD_UNS_OP_n_18;
-   wire inc_ADD_UNS_OP_n_20;
-   wire inc_ADD_UNS_OP_n_22;
-   wire inc_ADD_UNS_OP_n_24;
-   wire inc_ADD_UNS_OP_n_26;
-   wire inc_ADD_UNS_OP_n_28;
-   wire inc_ADD_UNS_OP_n_30;
-   wire inc_ADD_UNS_OP_n_32;
-   wire inc_ADD_UNS_OP_n_34;
-   wire inc_ADD_UNS_OP_n_36;
-   wire inc_ADD_UNS_OP_n_38;
-   wire inc_ADD_UNS_OP_n_40;
-   wire inc_ADD_UNS_OP_n_42;
-   wire inc_ADD_UNS_OP_n_44;
-   wire inc_ADD_UNS_OP_n_46;
-   wire inc_ADD_UNS_OP_n_48;
-   wire inc_ADD_UNS_OP_n_50;
-   wire inc_ADD_UNS_OP_n_52;
-   wire inc_ADD_UNS_OP_n_54;
-   wire inc_ADD_UNS_OP_n_56;
-   wire inc_ADD_UNS_OP_n_58;
-   wire inc_ADD_UNS_OP_n_60;
-   wire inc_ADD_UNS_OP_n_62;
-   wire inc_ADD_UNS_OP_n_64;
-   wire inc_ADD_UNS_OP_n_66;
-   wire inc_ADD_UNS_OP_n_68;
-   wire inc_ADD_UNS_OP_n_70;
-   wire inc_ADD_UNS_OP_n_72;
-   wire inc_ADD_UNS_OP_n_74;
-   wire inc_ADD_UNS_OP_n_76;
-   wire inc_ADD_UNS_OP_n_78;
-   wire inc_ADD_UNS_OP_n_80;
-   wire inc_ADD_UNS_OP_n_82;
-   wire inc_ADD_UNS_OP_n_84;
-   wire inc_ADD_UNS_OP_n_86;
-   wire inc_ADD_UNS_OP_n_88;
-   wire inc_ADD_UNS_OP_n_90;
-   wire inc_ADD_UNS_OP_n_92;
-   wire inc_ADD_UNS_OP_n_94;
-   wire inc_ADD_UNS_OP_n_96;
-   wire inc_ADD_UNS_OP_n_98;
-   wire inc_ADD_UNS_OP_n_100;
-   wire inc_ADD_UNS_OP_n_102;
-   wire inc_ADD_UNS_OP_n_104;
-   wire inc_ADD_UNS_OP_n_106;
-   wire inc_ADD_UNS_OP_n_108;
-   wire inc_ADD_UNS_OP_n_110;
-   wire inc_ADD_UNS_OP_n_112;
-   wire inc_ADD_UNS_OP_n_114;
-   wire inc_ADD_UNS_OP_n_116;
-   wire inc_ADD_UNS_OP_n_118;
-   wire inc_ADD_UNS_OP_n_120;
-   wire inc_ADD_UNS_OP_n_123;
-   wire inc_ADD_UNS_OP_n_124;
-   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_0;
-   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_2;
-   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_4;
-   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_6;
-   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_8;
-   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_10;
-   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_12;
-   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_14;
-   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_16;
-   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_18;
-   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_20;
-   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_22;
-   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_24;
-   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_26;
-   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_28;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_0 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_2 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_4 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_6 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_8 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_10 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_12 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_14 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_16 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_18 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_20 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_22 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_24 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_26 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_28 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_30 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_32 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_34 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_36 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_38 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_40 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_42 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_44 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_46 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_48 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_50 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_52 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_54 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_56 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_58 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_0 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_2 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_4 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_6 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_8 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_10 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_12 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_14 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_16 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_18 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_20 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_22 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_24 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_26 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_28 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_30 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_32 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_34 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_36 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_38 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_40 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_42 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_44 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_46 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_48 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_50 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_52 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_54 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_56 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_59 ;
-   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_60 ;
-   wire n_0;
-   wire n_3;
-   wire n_4;
-   wire n_5;
-   wire n_6;
-   wire n_7;
-   wire n_8;
-   wire n_9;
-   wire n_10;
-   wire n_11;
-   wire n_12;
-   wire n_13;
-   wire n_14;
-   wire n_15;
-   wire n_16;
-   wire n_17;
-   wire n_18;
-   wire n_19;
-   wire n_20;
-   wire n_21;
-   wire n_22;
-   wire n_23;
-   wire n_24;
-   wire n_25;
-   wire n_26;
-   wire n_27;
-   wire n_28;
-   wire n_29;
-   wire n_30;
-   wire n_31;
-   wire n_32;
-   wire n_33;
-   wire n_34;
-   wire n_35;
-   wire n_36;
-   wire n_37;
-   wire n_38;
-   wire n_39;
-   wire n_40;
-   wire n_41;
-   wire n_42;
-   wire n_43;
-   wire n_44;
-   wire n_45;
-   wire n_46;
-   wire n_47;
-   wire n_209;
-   wire n_210;
-   wire n_211;
-   wire n_212;
-   wire n_213;
-   wire n_214;
-   wire n_215;
-   wire n_216;
-   wire n_217;
-   wire n_218;
-   wire n_219;
-   wire n_220;
-   wire n_221;
-   wire n_222;
-   wire n_223;
-   wire n_224;
-   wire n_226;
-   wire n_227;
-   wire n_228;
-   wire n_229;
-   wire n_230;
-   wire n_231;
-   wire n_232;
-   wire n_233;
-   wire n_234;
-   wire n_235;
-   wire n_236;
-   wire n_237;
-   wire n_238;
-   wire n_239;
-   wire n_240;
-   wire n_241;
-   wire n_242;
-   wire n_243;
-   wire n_244;
-   wire n_245;
-   wire n_246;
-   wire n_250;
-   wire n_251;
-   wire n_252;
-   wire n_253;
-   wire n_254;
-   wire n_255;
-   wire n_256;
-   wire n_257;
-   wire n_258;
-   wire n_259;
-   wire n_260;
-   wire n_261;
-   wire n_262;
-   wire n_263;
-   wire n_264;
-   wire n_265;
-   wire n_266;
-   wire n_267;
-   wire n_268;
-   wire n_269;
-   wire n_270;
-   wire n_271;
-   wire n_272;
-   wire n_273;
-   wire n_274;
-   wire n_275;
-   wire n_276;
-   wire n_277;
-   wire n_278;
-   wire n_279;
-   wire n_280;
-   wire n_281;
-   wire n_282;
-   wire n_283;
-   wire n_284;
-   wire n_287;
-   wire n_288;
-   wire n_289;
-   wire n_290;
-   wire n_291;
-   wire n_292;
-   wire n_293;
-   wire n_294;
-   wire n_295;
-   wire n_296;
-   wire n_297;
-   wire n_298;
-   wire n_299;
-   wire n_300;
-   wire n_301;
-   wire n_302;
-   wire n_303;
-   wire n_304;
-   wire n_305;
-   wire n_306;
-   wire n_307;
-   wire n_308;
-   wire n_309;
-   wire n_310;
-   wire n_311;
-   wire n_312;
-   wire n_313;
-   wire n_314;
-   wire n_315;
-   wire n_316;
-   wire n_317;
-   wire n_318;
-   wire n_319;
-   wire n_320;
-   wire n_321;
-   wire n_322;
-   wire n_323;
-   wire n_324;
-   wire n_325;
-   wire n_326;
-   wire n_327;
-   wire n_328;
-   wire n_329;
-   wire n_330;
-   wire n_331;
-   wire n_332;
-   wire n_333;
-   wire n_334;
-   wire n_335;
-   wire n_336;
-   wire n_337;
-   wire n_338;
-   wire n_339;
-   wire n_340;
-   wire n_341;
-   wire n_342;
-   wire n_343;
-   wire n_344;
-   wire n_345;
-   wire n_346;
-   wire n_347;
-   wire n_348;
-   wire n_349;
-   wire n_350;
-   wire n_351;
-   wire n_352;
-   wire n_353;
-   wire n_354;
-   wire n_355;
-   wire n_356;
-   wire n_357;
-   wire n_358;
-   wire n_359;
-   wire n_360;
-   wire n_361;
-   wire n_362;
-   wire n_363;
-   wire n_364;
-   wire n_365;
-   wire n_366;
-   wire n_367;
-   wire n_368;
-   wire n_369;
-   wire n_370;
-   wire n_371;
-   wire n_372;
-   wire n_373;
-   wire n_374;
-   wire n_375;
-   wire n_376;
-   wire n_377;
-   wire n_379;
-   wire n_381;
-   wire n_382;
-   wire n_383;
-   wire n_384;
-   wire n_386;
-   wire n_387;
-   wire n_388;
-   wire n_389;
-   wire n_391;
-   wire n_392;
-   wire n_393;
-   wire n_394;
-   wire n_395;
-   wire n_396;
-   wire n_397;
-   wire n_398;
-   wire n_399;
-   wire n_400;
-   wire n_401;
-   wire n_402;
-   wire n_403;
-   wire n_405;
-   wire n_406;
-   wire n_408;
-   wire n_409;
-   wire n_410;
-   wire n_413;
-   wire n_414;
-   wire n_415;
-   wire n_416;
-   wire n_417;
-   wire n_418;
-   wire n_419;
-   wire n_420;
-   wire n_421;
-   wire n_422;
-   wire n_423;
-   wire n_425;
-   wire n_432;
-   wire n_433;
-   wire n_434;
-   wire n_435;
-   wire n_436;
-   wire n_437;
-   wire n_440;
-   wire n_441;
-   wire n_442;
-   wire n_444;
-   wire n_445;
-   wire n_446;
-   wire n_447;
-   wire n_448;
-   wire n_449;
-   wire n_450;
-   wire n_452;
-   wire n_453;
-   wire n_454;
-   wire n_456;
-   wire n_457;
-   wire n_458;
-   wire n_459;
-   wire n_460;
-   wire n_461;
-   wire n_462;
-   wire n_463;
-   wire n_464;
-   wire n_465;
-   wire n_466;
-   wire n_467;
-   wire n_468;
-   wire n_469;
-   wire n_470;
-   wire n_471;
-   wire n_472;
-   wire n_473;
-   wire n_474;
-   wire n_475;
-   wire n_476;
-   wire n_477;
-   wire n_478;
-   wire n_479;
-   wire n_480;
-   wire n_481;
-   wire n_482;
-   wire n_483;
-   wire n_484;
-   wire n_485;
-   wire n_486;
-   wire n_489;
-   wire n_490;
-   wire n_491;
-   wire n_492;
-   wire n_493;
-   wire n_494;
-   wire n_496;
-   wire n_497;
-   wire n_498;
-   wire n_499;
-   wire n_500;
-   wire n_501;
-   wire n_502;
-   wire n_503;
-   wire n_504;
-   wire n_505;
-   wire n_506;
-   wire n_508;
-   wire n_509;
-   wire n_510;
-   wire n_512;
-   wire n_513;
-   wire n_516;
-   wire n_520;
-   wire n_521;
-   wire n_522;
-   wire n_523;
-   wire n_525;
-   wire n_526;
-   wire n_527;
-   wire n_528;
-   wire n_529;
-   wire n_530;
-   wire n_531;
-   wire n_532;
-   wire n_533;
-   wire n_535;
-   wire n_540;
-   wire n_541;
-   wire n_543;
-   wire n_544;
-   wire n_546;
-   wire n_547;
-   wire n_548;
-   wire n_549;
-   wire n_550;
-   wire n_551;
-   wire n_552;
-   wire n_553;
-   wire n_554;
-   wire n_555;
-   wire n_556;
-   wire n_557;
-   wire n_558;
-   wire n_559;
-   wire n_560;
-   wire n_561;
-   wire n_562;
-   wire n_563;
-   wire n_564;
-   wire n_565;
-   wire n_566;
-   wire n_567;
-   wire n_568;
-   wire n_569;
-   wire n_570;
-   wire n_571;
-   wire n_572;
-   wire n_573;
-   wire n_574;
-   wire n_575;
-   wire n_576;
-   wire n_577;
-   wire n_578;
-   wire n_579;
-   wire n_580;
-   wire n_581;
-   wire n_582;
-   wire n_583;
-   wire n_584;
-   wire n_585;
-   wire n_586;
-   wire n_587;
-   wire n_588;
-   wire n_589;
-   wire n_590;
-   wire n_591;
-   wire n_592;
-   wire n_593;
-   wire n_594;
-   wire n_595;
-   wire n_596;
-   wire n_597;
-   wire n_598;
-   wire n_599;
-   wire n_600;
-   wire n_601;
-   wire n_602;
-   wire n_603;
-   wire n_604;
-   wire n_605;
-   wire n_606;
-   wire n_607;
-   wire n_608;
-   wire n_609;
-   wire n_610;
-   wire n_611;
-   wire n_612;
-   wire n_613;
-   wire n_616;
-   wire n_617;
-   wire n_618;
-   wire n_619;
-   wire n_620;
-   wire n_621;
-   wire n_622;
-   wire n_623;
-   wire n_624;
-   wire n_625;
-   wire n_626;
-   wire n_627;
-   wire n_628;
-   wire n_629;
-   wire n_630;
-   wire n_631;
-   wire n_632;
-   wire n_633;
-   wire n_634;
-   wire n_635;
-   wire n_636;
-   wire n_637;
-   wire n_638;
-   wire n_639;
-   wire n_640;
-   wire n_641;
-   wire n_642;
-   wire n_643;
-   wire n_644;
-   wire n_645;
-   wire n_646;
-   wire n_647;
-   wire n_648;
-   wire n_649;
-   wire n_650;
-   wire n_651;
-   wire n_652;
-   wire n_653;
-   wire n_654;
-   wire n_655;
-   wire n_656;
-   wire n_657;
-   wire n_658;
-   wire n_659;
-   wire n_660;
-   wire n_661;
-   wire n_662;
-   wire n_663;
-   wire n_664;
-   wire n_665;
-   wire n_666;
-   wire n_667;
-   wire n_668;
-   wire n_669;
-   wire n_670;
-   wire n_671;
-   wire n_672;
-   wire n_673;
-   wire n_674;
-   wire n_675;
-   wire n_676;
-   wire n_677;
-   wire n_678;
-   wire n_679;
-   wire n_680;
-   wire n_681;
-   wire n_682;
-   wire n_683;
-   wire n_684;
-   wire n_685;
-   wire n_686;
-   wire n_687;
-   wire n_688;
-   wire n_689;
-   wire n_690;
-   wire n_691;
-   wire n_692;
-   wire n_693;
-   wire n_694;
-   wire n_695;
-   wire n_696;
-   wire n_697;
-   wire n_698;
-   wire n_699;
-   wire n_700;
-   wire n_701;
-   wire n_702;
-   wire n_703;
-   wire n_704;
-   wire n_705;
-   wire n_706;
-   wire n_707;
-   wire n_708;
-   wire n_709;
-   wire n_710;
-   wire n_711;
-   wire n_712;
-   wire n_713;
-   wire n_714;
-   wire n_715;
-   wire n_716;
-   wire n_717;
-   wire n_718;
-   wire n_719;
-   wire n_720;
-   wire n_721;
-   wire n_722;
-   wire n_723;
-   wire n_724;
-   wire n_725;
-   wire n_726;
-   wire n_727;
-   wire n_728;
-   wire n_729;
-   wire n_730;
-   wire n_731;
-   wire n_732;
-   wire n_733;
-   wire n_734;
-   wire n_735;
-   wire n_736;
-   wire n_737;
-   wire n_738;
-   wire n_739;
-   wire n_740;
-   wire n_741;
-   wire n_742;
-   wire n_743;
-   wire n_744;
-   wire n_745;
-   wire n_746;
-   wire n_748;
-   wire n_749;
-   wire n_750;
-   wire n_751;
-   wire n_752;
-   wire n_753;
-   wire n_754;
-   wire n_755;
-   wire n_756;
-   wire n_757;
-   wire n_758;
-   wire n_759;
-   wire n_761;
-   wire n_762;
-   wire n_763;
-   wire n_764;
-   wire n_765;
-   wire n_766;
-   wire n_767;
-   wire n_768;
-   wire n_769;
-   wire n_770;
-   wire n_771;
-   wire n_772;
-   wire n_773;
-   wire n_774;
-   wire n_775;
-   wire n_776;
-   wire n_777;
-   wire n_778;
-   wire n_779;
-   wire n_780;
-   wire n_781;
-   wire n_782;
-   wire n_783;
-   wire n_784;
-   wire n_785;
-   wire n_786;
-   wire n_787;
-   wire n_788;
-   wire n_789;
-   wire n_791;
-   wire n_792;
-   wire n_793;
-   wire n_795;
-   wire n_796;
-   wire n_797;
-   wire n_798;
-   wire n_799;
-   wire n_800;
-   wire n_801;
-   wire n_802;
-   wire n_803;
-   wire n_804;
-   wire n_805;
-   wire n_806;
-   wire n_808;
-   wire n_809;
-   wire n_810;
-   wire n_811;
-   wire n_812;
-   wire n_813;
-   wire n_814;
-   wire n_815;
-   wire n_816;
-   wire n_817;
-   wire n_818;
-   wire n_819;
-   wire n_820;
-   wire n_821;
-   wire n_822;
-   wire n_823;
-   wire n_824;
-   wire n_825;
-   wire n_826;
-   wire n_827;
-   wire n_828;
-   wire n_829;
-   wire n_830;
-   wire n_831;
-   wire n_832;
-   wire n_833;
-   wire n_834;
-   wire n_835;
-   wire n_836;
-   wire n_837;
-   wire n_838;
-   wire n_839;
-   wire n_840;
-   wire n_841;
-   wire n_842;
-   wire n_843;
-   wire n_844;
-   wire n_845;
-   wire n_846;
-   wire n_847;
-   wire n_848;
-   wire n_849;
-   wire n_850;
-   wire n_851;
-   wire n_852;
-   wire n_853;
-   wire n_854;
-   wire n_855;
-   wire n_856;
-   wire n_857;
-   wire n_858;
-   wire n_859;
-   wire n_860;
-   wire n_861;
-   wire n_862;
-   wire n_863;
-   wire n_864;
-   wire n_865;
-   wire n_867;
-   wire n_868;
-   wire n_871;
-   wire n_872;
-   wire n_873;
-   wire n_874;
-   wire n_875;
-   wire n_876;
-   wire n_877;
-   wire n_878;
-   wire n_879;
-   wire n_880;
-   wire n_881;
-   wire n_882;
-   wire n_883;
-   wire n_884;
-   wire n_885;
-   wire n_886;
-   wire n_887;
-   wire n_888;
-   wire n_889;
-   wire n_890;
-   wire n_891;
-   wire n_892;
-   wire n_893;
-   wire n_894;
-   wire n_895;
-   wire n_896;
-   wire n_897;
-   wire n_898;
-   wire n_899;
-   wire n_900;
-   wire n_901;
-   wire n_902;
-   wire n_903;
-   wire n_904;
-   wire n_905;
-   wire n_906;
-   wire n_907;
-   wire n_908;
-   wire n_909;
-   wire n_910;
-   wire n_911;
-   wire n_912;
-   wire n_913;
-   wire n_914;
-   wire n_915;
-   wire n_916;
-   wire n_917;
-   wire n_918;
-   wire n_919;
-   wire n_920;
-   wire n_921;
-   wire n_922;
-   wire n_923;
-   wire n_924;
-   wire n_925;
-   wire n_926;
-   wire n_927;
-   wire n_928;
-   wire n_929;
-   wire n_930;
-   wire n_931;
-   wire n_932;
-   wire n_933;
-   wire n_934;
-   wire n_935;
-   wire n_936;
-   wire n_937;
-   wire n_938;
-   wire n_939;
-   wire n_940;
-   wire n_941;
-   wire n_942;
-   wire n_943;
-   wire n_944;
-   wire n_945;
-   wire n_946;
-   wire n_947;
-   wire n_948;
-   wire n_949;
-   wire n_950;
-   wire n_951;
-   wire n_952;
-   wire n_955;
-   wire n_956;
-   wire n_957;
-   wire n_958;
-   wire n_959;
-   wire n_960;
-   wire n_962;
-   wire n_963;
-   wire n_964;
-   wire n_965;
-   wire n_966;
-   wire n_967;
-   wire n_968;
-   wire n_969;
-   wire n_970;
-   wire n_971;
-   wire n_972;
-   wire n_973;
-   wire n_974;
-   wire n_975;
-   wire n_976;
-   wire n_977;
-   wire n_978;
-   wire n_979;
-   wire n_980;
-   wire n_981;
-   wire n_982;
-   wire n_983;
-   wire n_984;
-   wire n_985;
-   wire n_986;
-   wire n_987;
-   wire n_988;
-   wire n_989;
-   wire n_990;
-   wire n_991;
-   wire n_992;
-   wire n_993;
-   wire n_994;
-   wire n_995;
-   wire n_996;
-   wire n_997;
-   wire n_998;
-   wire n_999;
-   wire n_1000;
-   wire n_1001;
-   wire n_1002;
-   wire n_1003;
-   wire n_1004;
-   wire n_1005;
-   wire n_1006;
-   wire n_1007;
-   wire n_1008;
-   wire n_1009;
-   wire n_1010;
-   wire n_1011;
-   wire n_1012;
-   wire n_1013;
-   wire n_1014;
-   wire n_1015;
-   wire n_1016;
-   wire n_1017;
-   wire n_1018;
-   wire n_1019;
-   wire n_1020;
-   wire n_1021;
-   wire n_1022;
-   wire n_1023;
-   wire n_1024;
-   wire n_1025;
-   wire n_1026;
-   wire n_1027;
-   wire n_1028;
-   wire n_1029;
-   wire n_1030;
-   wire n_1031;
-   wire n_1032;
-   wire n_1033;
-   wire n_1034;
-   wire n_1035;
-   wire n_1036;
-   wire n_1037;
-   wire n_1038;
-   wire n_1039;
-   wire n_1040;
-   wire n_1041;
-   wire n_1042;
-   wire n_1043;
-   wire n_1044;
-   wire n_1045;
-   wire n_1046;
-   wire n_1047;
-   wire n_1048;
-   wire n_1049;
-   wire n_1050;
-   wire n_1051;
-   wire n_1052;
-   wire n_1053;
-   wire n_1054;
-   wire n_1055;
-   wire n_1056;
-   wire n_1057;
-   wire n_1058;
-   wire n_1059;
-   wire n_1060;
-   wire n_1061;
-   wire n_1062;
-   wire n_1063;
-   wire n_1064;
-   wire n_1065;
-   wire n_1066;
-   wire n_1067;
-   wire n_1068;
-   wire n_1069;
-   wire n_1070;
-   wire n_1071;
-   wire n_1072;
-   wire n_1073;
-   wire n_1074;
-   wire n_1075;
-   wire n_1076;
-   wire n_1077;
-   wire n_1078;
-   wire n_1079;
-   wire n_1080;
-   wire n_1081;
-   wire n_1082;
-   wire n_1083;
-   wire n_1084;
-   wire n_1085;
-   wire n_1086;
-   wire n_1087;
-   wire n_1088;
-   wire n_1089;
-   wire n_1090;
-   wire n_1091;
-   wire n_1092;
-   wire n_1093;
-   wire n_1094;
-   wire n_1096;
-   wire n_1097;
-   wire n_1098;
-   wire n_1099;
-   wire n_1100;
-   wire n_1101;
-   wire n_1102;
-   wire n_1103;
-   wire n_1104;
-   wire n_1105;
-   wire n_1106;
-   wire n_1107;
-   wire n_1108;
-   wire n_1109;
-   wire n_1110;
-   wire n_1111;
-   wire n_1112;
-   wire n_1113;
-   wire n_1114;
-   wire n_1115;
-   wire n_1116;
-   wire n_1117;
-   wire n_1118;
-   wire n_1119;
-   wire n_1120;
-   wire n_1121;
-   wire n_1122;
-   wire n_1123;
-   wire n_1124;
-   wire n_1125;
-   wire n_1126;
-   wire n_1127;
-   wire n_1128;
-   wire n_1129;
-   wire n_1130;
-   wire n_1131;
-   wire n_1132;
-   wire n_1133;
-   wire n_1134;
-   wire n_1135;
-   wire n_1136;
-   wire n_1137;
-   wire n_1138;
-   wire n_1139;
-   wire n_1140;
-   wire n_1141;
-   wire n_1142;
-   wire n_1143;
-   wire n_1144;
-   wire n_1145;
-   wire n_1146;
-   wire n_1147;
-   wire n_1148;
-   wire n_1149;
-   wire n_1150;
-   wire n_1151;
-   wire n_1152;
-   wire n_1153;
-   wire n_1154;
-   wire n_1155;
-   wire n_1156;
-   wire n_1157;
-   wire n_1158;
-   wire n_1159;
-   wire n_1160;
-   wire n_1161;
-   wire n_1162;
-   wire n_1163;
-   wire n_1164;
-   wire n_1165;
-   wire n_1166;
-   wire n_1167;
-   wire n_1168;
-   wire n_1169;
-   wire n_1170;
-   wire n_1171;
-   wire n_1172;
-   wire n_1173;
-   wire n_1174;
-   wire n_1175;
-   wire n_1176;
-   wire n_1177;
-   wire n_1178;
-   wire n_1179;
-   wire n_1180;
-   wire n_1181;
-   wire n_1182;
-   wire n_1183;
-   wire n_1184;
-   wire n_1185;
-   wire n_1186;
-   wire n_1187;
-   wire n_1188;
-   wire n_1189;
-   wire n_1190;
-   wire n_1191;
-   wire n_1192;
-   wire n_1193;
-   wire n_1194;
-   wire n_1195;
-   wire n_1196;
-   wire n_1197;
-   wire n_1198;
-   wire n_1199;
-   wire n_1200;
-   wire n_1201;
-   wire n_1202;
-   wire n_1203;
-   wire n_1204;
-   wire n_1205;
-   wire n_1206;
-   wire n_1207;
-   wire n_1208;
-   wire n_1209;
-   wire n_1210;
-   wire n_1211;
-   wire n_1212;
-   wire n_1213;
-   wire n_1214;
-   wire n_1215;
-   wire n_1216;
-   wire n_1217;
-   wire n_1218;
-   wire n_1219;
-   wire n_1220;
-   wire n_1221;
-   wire n_1222;
-   wire n_1223;
-   wire n_1224;
-   wire n_1225;
-   wire n_1226;
-   wire n_1227;
-   wire n_1228;
-   wire n_1229;
-   wire n_1230;
-   wire n_1231;
-   wire n_1232;
-   wire n_1233;
-   wire n_1234;
-   wire n_1235;
-   wire n_1236;
-   wire n_1237;
-   wire n_1238;
-   wire n_1239;
-   wire n_1240;
-   wire n_1241;
-   wire n_1242;
-   wire n_1243;
-   wire n_1244;
-   wire n_1245;
-   wire n_1246;
-   wire n_1247;
-   wire n_1248;
-   wire n_1249;
-   wire n_1250;
-   wire n_1251;
-   wire n_1252;
-   wire n_1254;
-   wire n_1255;
-   wire n_1256;
-   wire n_1257;
-   wire n_1258;
-   wire n_1259;
-   wire n_1261;
-   wire n_1262;
-   wire n_1263;
-   wire n_1264;
-   wire n_1265;
-   wire n_1266;
-   wire n_1267;
-   wire n_1268;
-   wire n_1269;
-   wire n_1270;
-   wire n_1271;
-   wire n_1272;
-   wire n_1273;
-   wire n_1274;
-   wire n_1275;
-   wire n_1276;
-   wire n_1277;
-   wire n_1278;
-   wire n_1279;
-   wire n_1280;
-   wire n_1281;
-   wire n_1282;
-   wire n_1283;
-   wire n_1284;
-   wire n_1285;
-   wire n_1286;
-   wire n_1287;
-   wire n_1288;
-   wire n_1289;
-   wire n_1290;
-   wire n_1291;
-   wire n_1292;
-   wire n_1293;
-   wire n_1294;
-   wire n_1295;
-   wire n_1296;
-   wire n_1297;
-   wire n_1298;
-   wire n_1299;
-   wire n_1300;
-   wire n_1301;
-   wire n_1302;
-   wire n_1303;
-   wire n_1305;
-   wire n_1306;
-   wire n_1307;
-   wire n_1308;
-   wire n_1309;
-   wire n_1310;
-   wire n_1311;
-   wire n_1312;
-   wire n_1313;
-   wire n_1314;
-   wire n_1315;
-   wire n_1316;
-   wire n_1317;
-   wire n_1319;
-   wire n_1320;
-   wire n_1321;
-   wire n_1322;
-   wire n_1323;
-   wire n_1324;
-   wire n_1325;
-   wire n_1326;
-   wire n_1327;
-   wire n_1328;
-   wire n_1329;
-   wire n_1330;
-   wire n_1331;
-   wire n_1332;
-   wire n_1333;
-   wire n_1334;
-   wire n_1335;
-   wire n_1336;
-   wire n_1337;
-   wire n_1338;
-   wire n_1339;
-   wire n_1340;
-   wire n_1341;
-   wire n_1342;
-   wire n_1343;
-   wire n_1344;
-   wire n_1345;
-   wire n_1346;
-   wire n_1347;
-   wire n_1348;
-   wire n_1349;
-   wire n_1350;
-   wire n_1351;
-   wire n_1352;
-   wire n_1353;
-   wire n_1354;
-   wire n_1355;
-   wire n_1356;
-   wire n_1357;
-   wire n_1358;
-   wire n_1359;
-   wire n_1361;
-   wire n_1362;
-   wire n_1363;
-   wire n_1364;
-   wire n_1365;
-   wire n_1366;
-   wire n_1367;
-   wire n_1368;
-   wire n_1369;
-   wire n_1370;
-   wire n_1371;
-   wire n_1372;
-   wire n_1373;
-   wire n_1374;
-   wire n_1375;
-   wire n_1376;
-   wire n_1377;
-   wire n_1378;
-   wire n_1379;
-   wire n_1380;
-   wire n_1381;
-   wire n_1382;
-   wire n_1383;
-   wire n_1384;
-   wire n_1385;
-   wire n_1386;
-   wire n_1387;
-   wire n_1388;
-   wire n_1389;
-   wire n_1390;
-   wire n_1391;
-   wire n_1393;
-   wire n_1394;
-   wire n_1395;
-   wire n_1396;
-   wire n_1397;
-   wire n_1398;
-   wire n_1399;
-   wire n_1400;
-   wire n_1401;
-   wire n_1402;
-   wire n_1403;
-   wire n_1404;
-   wire n_1405;
-   wire n_1406;
-   wire n_1407;
-   wire n_1408;
-   wire n_1409;
-   wire n_1410;
-   wire n_1411;
-   wire n_1412;
-   wire n_1413;
-   wire n_1414;
-   wire n_1415;
-   wire n_1416;
-   wire n_1417;
-   wire n_1418;
-   wire n_1419;
-   wire n_1420;
-   wire n_1421;
-   wire n_1422;
-   wire n_1423;
-   wire n_1424;
-   wire n_1425;
-   wire n_1426;
-   wire n_1427;
-   wire n_1428;
-   wire n_1429;
-   wire n_1430;
-   wire n_1431;
-   wire n_1432;
-   wire n_1433;
-   wire n_1434;
-   wire n_1435;
-   wire n_1436;
-   wire n_1437;
-   wire n_1438;
-   wire n_1439;
-   wire n_1440;
-   wire n_1441;
-   wire n_1442;
-   wire n_1443;
-   wire n_1444;
-   wire n_1445;
-   wire n_1446;
-   wire n_1447;
-   wire n_1448;
-   wire n_1449;
-   wire n_1450;
-   wire n_1451;
-   wire n_1452;
-   wire n_1453;
-   wire n_1454;
-   wire n_1455;
-   wire n_1457;
-   wire n_1458;
-   wire n_1459;
-   wire n_1460;
-   wire n_1461;
-   wire n_1462;
-   wire n_1463;
-   wire n_1464;
-   wire n_1465;
-   wire n_1466;
-   wire n_1467;
-   wire n_1468;
-   wire n_1469;
-   wire n_1470;
-   wire n_1471;
-   wire n_1472;
-   wire n_1473;
-   wire n_1474;
-   wire n_1475;
-   wire n_1476;
-   wire n_1477;
-   wire n_1478;
-   wire n_1479;
-   wire n_1480;
-   wire n_1481;
-   wire n_1482;
-   wire n_1483;
-   wire n_1484;
-   wire n_1485;
-   wire n_1486;
-   wire n_1487;
-   wire n_1488;
-   wire n_1489;
-   wire n_1490;
-   wire n_1491;
-   wire n_1492;
-   wire n_1493;
-   wire n_1494;
-   wire n_1495;
-   wire n_1496;
-   wire n_1498;
-   wire n_1499;
-   wire n_1500;
-   wire n_1501;
-   wire n_1502;
-   wire n_1503;
-   wire n_1504;
-   wire n_1505;
-   wire n_1506;
-   wire n_1507;
-   wire n_1508;
-   wire n_1509;
-   wire n_1510;
-   wire n_1511;
-   wire n_1512;
-   wire n_1513;
-   wire n_1514;
-   wire n_1515;
-   wire n_1516;
-   wire n_1517;
-   wire n_1518;
-   wire n_1519;
-   wire n_1520;
-   wire n_1521;
-   wire n_1522;
-   wire n_1523;
-   wire n_1524;
-   wire n_1525;
-   wire n_1526;
-   wire n_1527;
-   wire n_1528;
-   wire n_1529;
-   wire n_1530;
-   wire n_1531;
-   wire n_1532;
-   wire n_1533;
-   wire n_1534;
-   wire n_1535;
-   wire n_1536;
-   wire n_1537;
-   wire n_1538;
-   wire n_1539;
-   wire n_1540;
-   wire n_1541;
-   wire n_1542;
-   wire n_1543;
-   wire n_1544;
-   wire n_1545;
-   wire n_1546;
-   wire n_1547;
-   wire n_1548;
-   wire n_1549;
-   wire n_1550;
-   wire n_1551;
-   wire n_1552;
-   wire n_1553;
-   wire n_1554;
-   wire n_1555;
-   wire n_1556;
-   wire n_1557;
-   wire n_1558;
-   wire n_1559;
-   wire n_1560;
-   wire n_1561;
-   wire n_1562;
-   wire n_1563;
-   wire n_1564;
-   wire n_1565;
-   wire n_1566;
-   wire n_1567;
-   wire n_1568;
-   wire n_1569;
-   wire n_1570;
-   wire n_1571;
-   wire n_1572;
-   wire n_1573;
-   wire n_1574;
-   wire n_1575;
-   wire n_1576;
-   wire n_1577;
-   wire n_1578;
-   wire n_1579;
-   wire n_1580;
-   wire n_1581;
-   wire n_1582;
-   wire n_1583;
-   wire n_1584;
-   wire n_1585;
-   wire n_1586;
-   wire n_1587;
-   wire n_1588;
-   wire n_1589;
-   wire n_1590;
-   wire n_1591;
-   wire n_1592;
-   wire n_1593;
-   wire n_1594;
-   wire n_1595;
-   wire n_1596;
-   wire n_1597;
-   wire n_1598;
-   wire n_1599;
-   wire n_1600;
-   wire n_1601;
-   wire n_1602;
-   wire n_1603;
-   wire n_1604;
-   wire n_1605;
-   wire n_1606;
-   wire n_1607;
-   wire n_1608;
-   wire n_1609;
-   wire n_1610;
-   wire n_1611;
-   wire n_1612;
-   wire n_1613;
-   wire n_1614;
-   wire n_1615;
-   wire n_1616;
-   wire n_1617;
-   wire n_1618;
-   wire n_1619;
-   wire n_1620;
-   wire n_1621;
-   wire n_1622;
-   wire n_1623;
-   wire n_1624;
-   wire n_1625;
-   wire n_1626;
-   wire n_1627;
-   wire n_1628;
-   wire n_1629;
-   wire n_1630;
-   wire n_1631;
-   wire n_1632;
-   wire n_1633;
-   wire n_1634;
-   wire n_1635;
-   wire n_1636;
-   wire n_1637;
-   wire n_1638;
-   wire n_1639;
-   wire n_1640;
-   wire n_1641;
-   wire n_1642;
-   wire n_1643;
-   wire n_1644;
-   wire n_1645;
-   wire n_1646;
-   wire n_1647;
-   wire n_1649;
-   wire n_1650;
-   wire n_1651;
-   wire n_1652;
-   wire n_1653;
-   wire n_1654;
-   wire n_1655;
-   wire n_1656;
-   wire n_1657;
-   wire n_1658;
-   wire n_1659;
-   wire n_1660;
-   wire n_1661;
-   wire n_1662;
-   wire n_1663;
-   wire n_1664;
-   wire n_1665;
-   wire n_1666;
-   wire n_1667;
-   wire n_1668;
-   wire n_1669;
-   wire n_1670;
-   wire n_1671;
-   wire n_1672;
-   wire n_1673;
-   wire n_1674;
-   wire n_1675;
-   wire n_1676;
-   wire n_1677;
-   wire n_1678;
-   wire n_1679;
-   wire n_1680;
-   wire n_1681;
-   wire n_1682;
-   wire n_1683;
-   wire n_1684;
-   wire n_1685;
-   wire n_1686;
-   wire n_1687;
-   wire n_1688;
-   wire n_1689;
-   wire n_1690;
-   wire n_1691;
-   wire n_1692;
-   wire n_1693;
-   wire n_1694;
-   wire n_1695;
-   wire n_1696;
-   wire n_1697;
-   wire n_1698;
-   wire n_1699;
-   wire n_1700;
-   wire n_1701;
-   wire n_1702;
-   wire n_1703;
-   wire n_1704;
-   wire n_1705;
-   wire n_1706;
-   wire n_1707;
-   wire n_1708;
-   wire n_1709;
-   wire n_1710;
-   wire n_1711;
-   wire n_1712;
-   wire n_1713;
-   wire n_1714;
-   wire n_1715;
-   wire n_1716;
-   wire n_1717;
-   wire n_1718;
-   wire n_1719;
-   wire n_1720;
-   wire n_1721;
-   wire n_1722;
-   wire n_1723;
-   wire n_1724;
-   wire n_1725;
-   wire n_1726;
-   wire n_1727;
-   wire n_1728;
-   wire n_1729;
-   wire n_1730;
-   wire n_1731;
-   wire n_1732;
-   wire n_1733;
-   wire n_1734;
-   wire n_1735;
-   wire n_1736;
-   wire n_1737;
-   wire n_1738;
-   wire n_1739;
-   wire n_1740;
-   wire n_1741;
-   wire n_1742;
-   wire n_1743;
-   wire n_1744;
-   wire n_1745;
-   wire n_1746;
-   wire n_1747;
-   wire n_1748;
-   wire n_1749;
-   wire n_1750;
-   wire n_1751;
-   wire n_1752;
-   wire n_1753;
-   wire n_1755;
-   wire n_1756;
-   wire n_1757;
-   wire n_1758;
-   wire n_1759;
-   wire n_1760;
-   wire n_1761;
-   wire n_1762;
-   wire n_1763;
-   wire n_1764;
-   wire n_1765;
-   wire n_1766;
-   wire n_1767;
-   wire n_1768;
-   wire n_1769;
-   wire n_1770;
-   wire n_1771;
-   wire n_1772;
-   wire n_1773;
-   wire n_1774;
-   wire n_1775;
-   wire n_1776;
-   wire n_1777;
-   wire n_1778;
-   wire n_1779;
-   wire n_1780;
-   wire n_1781;
-   wire n_1782;
-   wire n_1783;
-   wire n_1784;
-   wire n_1785;
-   wire n_1786;
-   wire n_1787;
-   wire n_1788;
-   wire n_1789;
-   wire n_1790;
-   wire n_1791;
-   wire n_1792;
-   wire n_1793;
-   wire n_1794;
-   wire n_1795;
-   wire n_1796;
-   wire n_1797;
-   wire n_1798;
-   wire n_1799;
-   wire n_1800;
-   wire n_1801;
-   wire n_1802;
-   wire n_1803;
-   wire n_1804;
-   wire n_1805;
-   wire n_1806;
-   wire n_1807;
-   wire n_1808;
-   wire n_1809;
-   wire n_1810;
-   wire n_1811;
-   wire n_1812;
-   wire n_1813;
-   wire n_1814;
-   wire n_1815;
-   wire n_1816;
-   wire n_1817;
-   wire n_1818;
-   wire n_1819;
-   wire n_1820;
-   wire n_1821;
-   wire n_1822;
-   wire n_1823;
-   wire n_1824;
-   wire n_1825;
-   wire n_1826;
-   wire n_1827;
-   wire n_1828;
-   wire n_1829;
-   wire n_1830;
-   wire n_1831;
-   wire n_1832;
-   wire n_1833;
-   wire n_1834;
-   wire n_1835;
-   wire n_1836;
-   wire n_1837;
-   wire n_1838;
-   wire n_1839;
-   wire n_1840;
-   wire n_1841;
-   wire n_1842;
-   wire n_1843;
-   wire n_1844;
-   wire n_1845;
-   wire n_1846;
-   wire n_1847;
-   wire n_1848;
-   wire n_1849;
-   wire n_1850;
-   wire n_1851;
-   wire n_1852;
-   wire n_1853;
-   wire n_1854;
-   wire n_1855;
-   wire n_1856;
-   wire n_1857;
-   wire n_1858;
-   wire n_1859;
-   wire n_1860;
-   wire n_1861;
-   wire n_1862;
-   wire n_1863;
-   wire n_1864;
-   wire n_1865;
-   wire n_1866;
-   wire n_1867;
-   wire n_1868;
-   wire n_1869;
-   wire n_1870;
-   wire n_1871;
-   wire n_1872;
-   wire n_1873;
-   wire n_1874;
-   wire n_1875;
-   wire n_1876;
-   wire n_1877;
-   wire n_1878;
-   wire n_1879;
-   wire n_1880;
-   wire n_1881;
-   wire n_1882;
-   wire n_1883;
-   wire n_1884;
-   wire n_1885;
-   wire n_1886;
-   wire n_1887;
-   wire n_1888;
-   wire n_1889;
-   wire n_1890;
-   wire n_1891;
-   wire n_1892;
-   wire n_1893;
-   wire n_1894;
-   wire n_1895;
-   wire n_1896;
-   wire n_1897;
-   wire n_1898;
-   wire n_1899;
-   wire n_1900;
-   wire n_1901;
-   wire n_1902;
-   wire n_1903;
-   wire n_1904;
-   wire n_1905;
-   wire n_1906;
-   wire n_1907;
-   wire n_1908;
-   wire n_1909;
-   wire n_1910;
-   wire n_1911;
-   wire n_1912;
-   wire n_1913;
-   wire n_1914;
-   wire n_1915;
-   wire n_1916;
-   wire n_1917;
-   wire n_1918;
-   wire n_1919;
-   wire n_1920;
-   wire n_1921;
-   wire n_1922;
-   wire n_1923;
-   wire n_1924;
-   wire n_1925;
-   wire n_1926;
-   wire n_1927;
-   wire n_1928;
-   wire n_1929;
-   wire n_1930;
-   wire n_1931;
-   wire n_1933;
-   wire n_1934;
-   wire n_1935;
-   wire n_1936;
-   wire n_1937;
-   wire n_1938;
-   wire n_1939;
-   wire n_1940;
-   wire n_1941;
-   wire n_1942;
-   wire n_1943;
-   wire n_1944;
-   wire n_1945;
-   wire n_1946;
-   wire n_1947;
-   wire n_1948;
-   wire n_1949;
-   wire n_1950;
-   wire n_1951;
-   wire n_1952;
-   wire n_1953;
-   wire n_1954;
-   wire n_1955;
-   wire n_1956;
-   wire n_1957;
-   wire n_1958;
-   wire n_1959;
-   wire n_1960;
-   wire n_1961;
-   wire n_1962;
-   wire n_1963;
-   wire n_1964;
-   wire n_1965;
-   wire n_1966;
-   wire n_1967;
-   wire n_1968;
-   wire n_1969;
-   wire n_1970;
-   wire n_1972;
-   wire n_1973;
-   wire n_1974;
-   wire n_1975;
-   wire n_1976;
-   wire n_1977;
-   wire n_1978;
-   wire n_1979;
-   wire n_1980;
-   wire n_1981;
-   wire n_1982;
-   wire n_1983;
-   wire n_1984;
-   wire n_1985;
-   wire n_1986;
-   wire n_1987;
-   wire n_1988;
-   wire n_1989;
-   wire n_1990;
-   wire n_1991;
-   wire n_1992;
-   wire n_1993;
-   wire n_1994;
-   wire n_1995;
-   wire n_1996;
-   wire n_1997;
-   wire n_1998;
-   wire n_1999;
-   wire n_2000;
-   wire n_2001;
-   wire n_2002;
-   wire n_2003;
-   wire n_2004;
-   wire n_2005;
-   wire n_2006;
-   wire n_2007;
-   wire n_2008;
-   wire n_2010;
-   wire n_2011;
-   wire n_2012;
-   wire n_2013;
-   wire n_2014;
-   wire n_2015;
-   wire n_2016;
-   wire n_2017;
-   wire n_2018;
-   wire n_2019;
-   wire n_2020;
-   wire n_2021;
-   wire n_2022;
-   wire n_2023;
-   wire n_2024;
-   wire n_2025;
-   wire n_2026;
-   wire n_2027;
-   wire n_2028;
-   wire n_2029;
-   wire n_2030;
-   wire n_2031;
-   wire n_2032;
-   wire n_2033;
-   wire n_2034;
-   wire n_2035;
-   wire n_2036;
-   wire n_2037;
-   wire n_2038;
-   wire n_2039;
-   wire n_2040;
-   wire n_2041;
-   wire n_2042;
-   wire n_2043;
-   wire n_2044;
-   wire n_2045;
-   wire n_2046;
-   wire n_2047;
-   wire n_2048;
-   wire n_2049;
-   wire n_2050;
-   wire n_2051;
-   wire n_2052;
-   wire n_2053;
-   wire n_2055;
-   wire n_2056;
-   wire n_2057;
-   wire n_2058;
-   wire n_2059;
-   wire n_2060;
-   wire n_2061;
-   wire n_2062;
-   wire n_2063;
-   wire n_2064;
-   wire n_2065;
-   wire n_2066;
-   wire n_2067;
-   wire n_2068;
-   wire n_2069;
-   wire n_2070;
-   wire n_2071;
-   wire n_2072;
-   wire n_2073;
-   wire n_2074;
-   wire n_2075;
-   wire n_2076;
-   wire n_2077;
-   wire n_2078;
-   wire n_2079;
-   wire n_2080;
-   wire n_2081;
-   wire n_2082;
-   wire n_2083;
-   wire n_2084;
-   wire n_2085;
-   wire n_2086;
-   wire n_2087;
-   wire n_2088;
-   wire n_2089;
-   wire n_2090;
-   wire n_2091;
-   wire n_2092;
-   wire n_2093;
-   wire n_2094;
-   wire n_2095;
-   wire n_2096;
-   wire n_2097;
-   wire n_2098;
-   wire n_2099;
-   wire n_2100;
-   wire n_2101;
-   wire n_2102;
-   wire n_2103;
-   wire n_2104;
-   wire n_2105;
-   wire n_2106;
-   wire n_2107;
-   wire n_2108;
-   wire n_2109;
-   wire n_2110;
-   wire n_2111;
-   wire n_2112;
-   wire n_2113;
-   wire n_2114;
-   wire n_2115;
-   wire n_2116;
-   wire n_2117;
-   wire n_2118;
-   wire n_2119;
-   wire n_2120;
-   wire n_2121;
-   wire n_2122;
-   wire n_2123;
-   wire n_2124;
-   wire n_2125;
-   wire n_2126;
-   wire n_2127;
-   wire n_2128;
-   wire n_2129;
-   wire n_2130;
-   wire n_2131;
-   wire n_2132;
-   wire n_2133;
-   wire n_2134;
-   wire n_2135;
-   wire n_2136;
-   wire n_2137;
-   wire n_2138;
-   wire n_2139;
-   wire n_2140;
-   wire n_2141;
-   wire n_2142;
-   wire n_2144;
-   wire n_2145;
-   wire n_2146;
-   wire n_2147;
-   wire n_2148;
-   wire n_2149;
-   wire n_2150;
-   wire n_2151;
-   wire n_2152;
-   wire n_2153;
-   wire n_2154;
-   wire n_2155;
-   wire n_2156;
-   wire n_2157;
-   wire n_2158;
-   wire n_2159;
-   wire n_2160;
-   wire n_2161;
-   wire n_2162;
-   wire n_2163;
-   wire n_2164;
-   wire n_2165;
-   wire n_2166;
-   wire n_2167;
-   wire n_2168;
-   wire n_2169;
-   wire n_2170;
-   wire n_2171;
-   wire n_2172;
-   wire n_2173;
-   wire n_2174;
-   wire n_2175;
-   wire n_2176;
-   wire n_2177;
-   wire n_2178;
-   wire n_2179;
-   wire n_2180;
-   wire n_2181;
-   wire n_2182;
-   wire n_2183;
-   wire n_2184;
-   wire n_2185;
-   wire n_2186;
-   wire n_2187;
-   wire n_2188;
-   wire n_2189;
-   wire n_2190;
-   wire n_2191;
-   wire n_2192;
-   wire n_2193;
-   wire n_2194;
-   wire n_2195;
-   wire n_2196;
-   wire n_2197;
-   wire n_2198;
-   wire n_2199;
-   wire n_2200;
-   wire n_2201;
-   wire n_2202;
-   wire n_2203;
-   wire n_2204;
-   wire n_2205;
-   wire n_2206;
-   wire n_2207;
-   wire n_2208;
-   wire n_2209;
-   wire n_2210;
-   wire n_2211;
-   wire n_2212;
-   wire n_2213;
-   wire n_2214;
-   wire n_2215;
-   wire n_2216;
-   wire n_2217;
-   wire n_2218;
-   wire n_2219;
-   wire n_2220;
-   wire n_2221;
-   wire n_2222;
-   wire n_2223;
-   wire n_2224;
-   wire n_2225;
-   wire n_2226;
-   wire n_2227;
-   wire n_2228;
-   wire n_2229;
-   wire n_2230;
-   wire n_2231;
-   wire n_2232;
-   wire n_2233;
-   wire n_2234;
-   wire n_2235;
-   wire n_2236;
-   wire n_2237;
-   wire n_2238;
-   wire n_2239;
-   wire n_2240;
-   wire n_2241;
-   wire n_2242;
-   wire n_2243;
-   wire n_2244;
-   wire n_2245;
-   wire n_2246;
-   wire n_2247;
-   wire n_2248;
-   wire n_2249;
-   wire n_2250;
-   wire n_2251;
-   wire n_2252;
-   wire n_2253;
-   wire n_2254;
-   wire n_2255;
-   wire n_2256;
-   wire n_2257;
-   wire n_2258;
-   wire n_2259;
-   wire n_2260;
-   wire n_2261;
-   wire n_2262;
-   wire n_2263;
-   wire n_2264;
-   wire n_2265;
-   wire n_2266;
-   wire n_2267;
-   wire n_2268;
-   wire n_2269;
-   wire n_2270;
-   wire n_2271;
-   wire n_2272;
-   wire n_2273;
-   wire n_2274;
-   wire n_2275;
-   wire n_2276;
-   wire n_2277;
-   wire n_2278;
-   wire n_2279;
-   wire n_2280;
-   wire n_2281;
-   wire n_2282;
-   wire n_2283;
-   wire n_2284;
-   wire n_2285;
-   wire n_2286;
-   wire n_2287;
-   wire n_2288;
-   wire n_2289;
-   wire n_2290;
-   wire n_2291;
-   wire n_2292;
-   wire n_2293;
-   wire n_2294;
-   wire n_2295;
-   wire n_2296;
-   wire n_2297;
-   wire n_2298;
-   wire n_2299;
-   wire n_2300;
-   wire n_2301;
-   wire n_2302;
-   wire n_2303;
-   wire n_2304;
-   wire n_2305;
-   wire n_2306;
-   wire n_2307;
-   wire n_2308;
-   wire n_2309;
-   wire n_2310;
-   wire n_2311;
-   wire n_2312;
-   wire n_2313;
-   wire n_2314;
-   wire n_2315;
-   wire n_2316;
-   wire n_2317;
-   wire n_2318;
-   wire n_2319;
-   wire n_2320;
-   wire n_2321;
-   wire n_2322;
-   wire n_2323;
-   wire n_2324;
-   wire n_2325;
-   wire n_2326;
-   wire n_2327;
-   wire n_2328;
-   wire n_2329;
-   wire n_2330;
-   wire n_2331;
-   wire n_2332;
-   wire n_2333;
-   wire n_2334;
-   wire n_2335;
-   wire n_2336;
-   wire n_2337;
-   wire n_2338;
-   wire n_2339;
-   wire n_2340;
-   wire n_2341;
-   wire n_2342;
-   wire n_2343;
-   wire n_2344;
-   wire n_2345;
-   wire n_2346;
-   wire n_2347;
-   wire n_2348;
-   wire n_2349;
-   wire n_2350;
-   wire n_2351;
-   wire n_2352;
-   wire n_2353;
-   wire n_2354;
-   wire n_2355;
-   wire n_2356;
-   wire n_2357;
-   wire n_2358;
-   wire n_2359;
-   wire n_2360;
-   wire n_2361;
-   wire n_2362;
-   wire n_2363;
-   wire n_2364;
-   wire n_2365;
-   wire n_2366;
-   wire n_2367;
-   wire n_2368;
-   wire n_2369;
-   wire n_2370;
-   wire n_2371;
-   wire n_2372;
-   wire n_2373;
-   wire n_2374;
-   wire n_2375;
-   wire n_2376;
-   wire n_2377;
-   wire n_2378;
-   wire n_2379;
-   wire n_2380;
-   wire n_2381;
-   wire n_2382;
-   wire n_2383;
-   wire n_2384;
-   wire n_2385;
-   wire n_2386;
-   wire n_2387;
-   wire n_2388;
-   wire n_2389;
-   wire n_2390;
-   wire n_2391;
-   wire n_2392;
-   wire n_2393;
-   wire n_2394;
-   wire n_2395;
-   wire n_2396;
-   wire n_2397;
-   wire n_2398;
-   wire n_2399;
-   wire n_2400;
-   wire n_2401;
-   wire n_2402;
-   wire n_2403;
-   wire n_2404;
-   wire n_2405;
-   wire n_2406;
-   wire n_2407;
-   wire n_2408;
-   wire n_2409;
-   wire n_2410;
-   wire n_2411;
-   wire n_2412;
-   wire n_2413;
-   wire n_2414;
-   wire n_2415;
-   wire n_2416;
-   wire n_2417;
-   wire n_2418;
-   wire n_2419;
-   wire n_2420;
-   wire n_2421;
-   wire n_2422;
-   wire n_2423;
-   wire n_2424;
-   wire n_2425;
-   wire n_2426;
-   wire n_2427;
-   wire n_2428;
-   wire n_2429;
-   wire n_2430;
-   wire n_2431;
-   wire n_2432;
-   wire n_2433;
-   wire n_2434;
-   wire n_2435;
-   wire n_2436;
-   wire n_2437;
-   wire n_2438;
-   wire n_2439;
-   wire n_2440;
-   wire n_2441;
-   wire n_2442;
-   wire n_2443;
-   wire n_2444;
-   wire n_2445;
-   wire n_2446;
-   wire n_2447;
-   wire n_2448;
-   wire n_2449;
-   wire n_2450;
-   wire n_2451;
-   wire n_2452;
-   wire n_2453;
-   wire n_2454;
-   wire n_2455;
-   wire n_2456;
-   wire n_2457;
-   wire n_2458;
-   wire n_2459;
-   wire n_2460;
-   wire n_2461;
-   wire n_2462;
-   wire n_2463;
-   wire n_2464;
-   wire n_2465;
-   wire n_2466;
-   wire n_2467;
-   wire n_2468;
-   wire n_2469;
-   wire n_2470;
-   wire n_2471;
-   wire n_2472;
-   wire n_2473;
-   wire n_2474;
-   wire n_2475;
-   wire n_2476;
-   wire n_2477;
-   wire n_2478;
-   wire n_2479;
-   wire n_2480;
-   wire n_2481;
-   wire n_2482;
-   wire n_2483;
-   wire n_2484;
-   wire n_2485;
-   wire n_2486;
-   wire n_2487;
-   wire n_2488;
-   wire n_2489;
-   wire n_2490;
-   wire n_2491;
-   wire n_2492;
-   wire n_2493;
-   wire n_2494;
-   wire n_2495;
-   wire n_2496;
-   wire n_2497;
-   wire n_2498;
-   wire n_2499;
-   wire n_2500;
-   wire n_2501;
-   wire n_2502;
-   wire n_2503;
-   wire n_2504;
-   wire n_2505;
-   wire n_2506;
-   wire n_2507;
-   wire n_2508;
-   wire n_2509;
-   wire n_2510;
-   wire n_2511;
-   wire n_2512;
-   wire n_2513;
-   wire n_2514;
-   wire n_2515;
-   wire n_2516;
-   wire n_2517;
-   wire n_2518;
-   wire n_2519;
-   wire n_2520;
-   wire n_2521;
-   wire n_2522;
-   wire n_2523;
-   wire n_2524;
-   wire n_2525;
-   wire n_2526;
-   wire n_2527;
-   wire n_2528;
-   wire n_2529;
-   wire n_2530;
-   wire n_2531;
-   wire n_2532;
-   wire n_2533;
-   wire n_2534;
-   wire n_2535;
-   wire n_2536;
-   wire n_2537;
-   wire n_2538;
-   wire n_2539;
-   wire n_2540;
-   wire n_2541;
-   wire n_2542;
-   wire n_2543;
-   wire n_2544;
-   wire n_2545;
-   wire n_2546;
-   wire n_2547;
-   wire n_2548;
-   wire n_2549;
-   wire n_2550;
-   wire n_2551;
-   wire n_2552;
-   wire n_2553;
-   wire n_2554;
-   wire n_2555;
-   wire n_2556;
-   wire n_2557;
-   wire n_2558;
-   wire n_2559;
-   wire n_2560;
-   wire n_2561;
-   wire n_2562;
-   wire n_2563;
-   wire n_2564;
-   wire n_2565;
-   wire n_2566;
-   wire n_2567;
-   wire n_2568;
-   wire n_2569;
-   wire n_2570;
-   wire n_2571;
-   wire n_2572;
-   wire n_2573;
-   wire n_2574;
-   wire n_2575;
-   wire n_2576;
-   wire n_2577;
-   wire n_2578;
-   wire n_2579;
-   wire n_2581;
-   wire n_2582;
-   wire n_2583;
-   wire n_2584;
-   wire n_2585;
-   wire n_2586;
-   wire n_2587;
-   wire n_2588;
-   wire n_2589;
-   wire n_2590;
-   wire n_2591;
-   wire n_2592;
-   wire n_2593;
-   wire n_2594;
-   wire n_2595;
-   wire n_2596;
-   wire n_2597;
-   wire n_2598;
-   wire n_2599;
-   wire n_2600;
-   wire n_2601;
-   wire n_2602;
-   wire n_2603;
-   wire n_2604;
-   wire n_2605;
-   wire n_2606;
-   wire n_2607;
-   wire n_2608;
-   wire n_2609;
-   wire n_2610;
-   wire n_2611;
-   wire n_2612;
-   wire n_2613;
-   wire n_2614;
-   wire n_2615;
-   wire n_2616;
-   wire n_2617;
-   wire n_2618;
-   wire n_2619;
-   wire n_2620;
-   wire n_2621;
-   wire n_2622;
-   wire n_2623;
-   wire n_2624;
-   wire n_2625;
-   wire n_2626;
-   wire n_2627;
-   wire n_2628;
-   wire n_2629;
-   wire n_2630;
-   wire n_2631;
-   wire n_2632;
-   wire n_2633;
-   wire n_2634;
-   wire n_2635;
-   wire n_2636;
-   wire n_2637;
-   wire n_2638;
-   wire n_2639;
-   wire n_2640;
-   wire n_2641;
-   wire n_2642;
-   wire n_2643;
-   wire n_2644;
-   wire n_2645;
-   wire n_2646;
-   wire n_2647;
-   wire n_2648;
-   wire n_2649;
-   wire n_2650;
-   wire n_2651;
-   wire n_2652;
-   wire n_2653;
-   wire n_2654;
-   wire n_2655;
-   wire n_2656;
-   wire n_2657;
-   wire n_2658;
-   wire n_2659;
-   wire n_2660;
-   wire n_2661;
-   wire n_2662;
-   wire n_2663;
-   wire n_2664;
-   wire n_2665;
-   wire n_2666;
-   wire n_2667;
-   wire n_2668;
-   wire n_2669;
-   wire n_2670;
-   wire n_2671;
-   wire n_2672;
-   wire n_2673;
-   wire n_2674;
-   wire n_2675;
-   wire n_2676;
-   wire n_2677;
-   wire n_2678;
-   wire n_2679;
-   wire n_2680;
-   wire n_2681;
-   wire n_2682;
-   wire n_2683;
-   wire n_2684;
-   wire n_2685;
-   wire n_2686;
-   wire n_2687;
-   wire n_2688;
-   wire n_2689;
-   wire n_2690;
-   wire n_2691;
-   wire n_2692;
-   wire n_2693;
-   wire n_2694;
-   wire n_2695;
-   wire n_2696;
-   wire n_2697;
-   wire n_2698;
-   wire n_2699;
-   wire n_2700;
-   wire n_2701;
-   wire n_2702;
-   wire n_2703;
-   wire n_2704;
-   wire n_2705;
-   wire n_2706;
-   wire n_2707;
-   wire n_2708;
-   wire n_2709;
-   wire n_2710;
-   wire n_2711;
-   wire n_2712;
-   wire n_2713;
-   wire n_2714;
-   wire n_2715;
-   wire n_2716;
-   wire n_2717;
-   wire n_2718;
-   wire n_2719;
-   wire n_2720;
-   wire n_2721;
-   wire n_2722;
-   wire n_2723;
-   wire n_2724;
-   wire n_2725;
-   wire n_2726;
-   wire n_2727;
-   wire n_2728;
-   wire n_2729;
-   wire n_2730;
-   wire n_2731;
-   wire n_2732;
-   wire n_2733;
-   wire n_2734;
-   wire n_2735;
-   wire n_2736;
-   wire n_2737;
-   wire n_2738;
-   wire n_2739;
-   wire n_2740;
-   wire n_2741;
-   wire n_2742;
-   wire n_2743;
-   wire n_2744;
-   wire n_2745;
-   wire n_2746;
-   wire n_2747;
-   wire n_2748;
-   wire n_2749;
-   wire n_2750;
-   wire n_2751;
-   wire n_2752;
-   wire n_2753;
-   wire n_2754;
-   wire n_2755;
-   wire n_2756;
-   wire n_2757;
-   wire n_2758;
-   wire n_2759;
-   wire n_2760;
-   wire n_2761;
-   wire n_2762;
-   wire n_2763;
-   wire n_2764;
-   wire n_2765;
-   wire n_2766;
-   wire n_2767;
-   wire n_2768;
-   wire n_2769;
-   wire n_2770;
-   wire n_2771;
-   wire n_2772;
-   wire n_2773;
-   wire n_2774;
-   wire n_2775;
-   wire n_2776;
-   wire n_2777;
-   wire n_2778;
-   wire n_2779;
-   wire n_2780;
-   wire n_2781;
-   wire n_2782;
-   wire n_2783;
-   wire n_2784;
-   wire n_2785;
-   wire n_2786;
-   wire n_2787;
-   wire n_2788;
-   wire n_2789;
-   wire n_2790;
-   wire n_2791;
-   wire n_2792;
-   wire n_2793;
-   wire n_2794;
-   wire n_2795;
-   wire n_2796;
-   wire n_2797;
-   wire n_2798;
-   wire n_2799;
-   wire n_2800;
-   wire n_2801;
-   wire n_2802;
-   wire n_2803;
-   wire n_2804;
-   wire n_2805;
-   wire n_2806;
-   wire n_2807;
-   wire n_2808;
-   wire n_2809;
-   wire n_2810;
-   wire n_2811;
-   wire n_2812;
-   wire n_2813;
-   wire n_2814;
-   wire n_2815;
-   wire n_2816;
-   wire n_2817;
-   wire n_2818;
-   wire n_2819;
-   wire n_2820;
-   wire n_2821;
-   wire n_2822;
-   wire n_2823;
-   wire n_2824;
-   wire n_2825;
-   wire n_2826;
-   wire n_2827;
-   wire n_2828;
-   wire n_2829;
-   wire n_2830;
-   wire n_2831;
-   wire n_2832;
-   wire n_2833;
-   wire n_2834;
-   wire n_2835;
-   wire n_2836;
-   wire n_2837;
-   wire n_2838;
-   wire n_2839;
-   wire n_2840;
-   wire n_2841;
-   wire n_2842;
-   wire n_2843;
-   wire n_2844;
-   wire n_2845;
-   wire n_2846;
-   wire n_2847;
-   wire n_2848;
-   wire n_2849;
-   wire n_2850;
-   wire n_2851;
-   wire n_2852;
-   wire n_2853;
-   wire n_2854;
-   wire n_2855;
-   wire n_2856;
-   wire n_2857;
-   wire n_2858;
-   wire n_2859;
-   wire n_2860;
-   wire n_2861;
-   wire n_2862;
-   wire n_2863;
-   wire n_2864;
-   wire n_2865;
-   wire n_2866;
-   wire n_2867;
-   wire n_2868;
-   wire n_2869;
-   wire n_2870;
-   wire n_2871;
-   wire n_2872;
-   wire n_2873;
-   wire n_2874;
-   wire n_2875;
-   wire n_2876;
-   wire n_2877;
-   wire n_2878;
-   wire n_2879;
-   wire n_2880;
-   wire n_2881;
-   wire n_2882;
-   wire n_2883;
-   wire n_2884;
-   wire n_2885;
-   wire n_2886;
-   wire n_2887;
-   wire n_2888;
-   wire n_2889;
-   wire n_2890;
-   wire n_2891;
-   wire n_2892;
-   wire n_2893;
-   wire n_2894;
-   wire n_2895;
-   wire n_2896;
-   wire n_2897;
-   wire n_2898;
-   wire n_2899;
-   wire n_2900;
-   wire n_2901;
-   wire n_2902;
-   wire n_2903;
-   wire n_2904;
-   wire n_2905;
-   wire n_2906;
-   wire n_2907;
-   wire n_2909;
-   wire n_2910;
-   wire n_2911;
-   wire n_2913;
-   wire n_2914;
-   wire n_2915;
-   wire n_2916;
-   wire n_2917;
-   wire n_2918;
-   wire n_2919;
-   wire n_2920;
-   wire n_2921;
-   wire n_2922;
-   wire n_2923;
-   wire n_2924;
-   wire n_2925;
-   wire n_2926;
-   wire n_2927;
-   wire n_2928;
-   wire n_2929;
-   wire n_2930;
-   wire n_2931;
-   wire n_2932;
-   wire n_2933;
-   wire n_2934;
-   wire n_2935;
-   wire n_2936;
-   wire n_2937;
-   wire n_2938;
-   wire n_2939;
-   wire n_2940;
-   wire n_2941;
-   wire n_2942;
-   wire n_2943;
-   wire n_2944;
-   wire n_2945;
-   wire n_2946;
-   wire n_2947;
-   wire n_2948;
-   wire n_2949;
-   wire n_2950;
-   wire n_2951;
-   wire n_2952;
-   wire n_2953;
-   wire n_2954;
-   wire n_2955;
-   wire n_2956;
-   wire n_2957;
-   wire n_2958;
-   wire n_2959;
-   wire n_2960;
-   wire n_2961;
-   wire n_2962;
-   wire n_2963;
-   wire n_2964;
-   wire n_2965;
-   wire n_2966;
-   wire n_2967;
-   wire n_2968;
-   wire n_2969;
-   wire n_2970;
-   wire n_2971;
-   wire n_2972;
-   wire n_2973;
-   wire n_2974;
-   wire n_2975;
-   wire n_2976;
-   wire n_2977;
-   wire n_2978;
-   wire n_2979;
-   wire n_2980;
-   wire n_2981;
-   wire n_2982;
-   wire n_2983;
-   wire n_2984;
-   wire n_2985;
-   wire n_2986;
-   wire n_2987;
-   wire n_2988;
-   wire n_2989;
-   wire n_2990;
-   wire n_2991;
-   wire n_2992;
-   wire n_2993;
-   wire n_2994;
-   wire n_2995;
-   wire n_2996;
-   wire n_2997;
-   wire n_2998;
-   wire n_2999;
-   wire n_3000;
-   wire n_3001;
-   wire n_3002;
-   wire n_3003;
-   wire n_3004;
-   wire n_3005;
-   wire n_3006;
-   wire n_3007;
-   wire n_3008;
-   wire n_3009;
-   wire n_3010;
-   wire n_3011;
-   wire n_3012;
-   wire n_3013;
-   wire n_3014;
-   wire n_3015;
-   wire n_3016;
-   wire n_3017;
-   wire n_3018;
-   wire n_3019;
-   wire n_3020;
-   wire n_3021;
-   wire n_3022;
-   wire n_3023;
-   wire n_3024;
-   wire n_3025;
-   wire n_3026;
-   wire n_3027;
-   wire n_3028;
-   wire n_3029;
-   wire n_3030;
-   wire n_3031;
-   wire n_3032;
-   wire n_3033;
-   wire n_3034;
-   wire n_3035;
-   wire n_3036;
-   wire n_3037;
-   wire n_3038;
-   wire n_3039;
-   wire n_3040;
-   wire n_3041;
-   wire n_3042;
-   wire n_3043;
-   wire n_3044;
-   wire n_3045;
-   wire n_3046;
-   wire n_3047;
-   wire n_3048;
-   wire n_3049;
-   wire n_3050;
-   wire n_3051;
-   wire n_3052;
-   wire n_3053;
-   wire n_3054;
-   wire n_3055;
-   wire n_3056;
-   wire n_3057;
-   wire n_3058;
-   wire n_3059;
-   wire n_3060;
-   wire n_3061;
-   wire n_3062;
-   wire n_3063;
-   wire n_3064;
-   wire n_3065;
-   wire n_3066;
-   wire n_3067;
-   wire n_3068;
-   wire n_3069;
-   wire n_3070;
-   wire n_3071;
-   wire n_3072;
-   wire n_3073;
-   wire n_3074;
-   wire n_3075;
-   wire n_3076;
-   wire n_3077;
-   wire n_3078;
-   wire n_3079;
-   wire n_3080;
-   wire n_3081;
-   wire n_3082;
-   wire n_3083;
-   wire n_3084;
-   wire n_3085;
-   wire n_3086;
-   wire n_3087;
-   wire n_3088;
-   wire n_3089;
-   wire n_3090;
-   wire n_3091;
-   wire n_3092;
-   wire n_3093;
-   wire n_3094;
-   wire n_3095;
-   wire n_3096;
-   wire n_3097;
-   wire n_3098;
-   wire n_3099;
-   wire n_3100;
-   wire n_3101;
-   wire n_3102;
-   wire n_3103;
-   wire n_3104;
-   wire n_3105;
-   wire n_3106;
-   wire n_3107;
-   wire n_3108;
-   wire n_3109;
-   wire n_3110;
-   wire n_3111;
-   wire n_3112;
-   wire n_3113;
-   wire n_3114;
-   wire n_3115;
-   wire n_3116;
-   wire n_3117;
-   wire n_3118;
-   wire n_3119;
-   wire n_3120;
-   wire n_3122;
-   wire n_3123;
-   wire n_3124;
-   wire n_3125;
-   wire n_3126;
-   wire n_3127;
-   wire n_3128;
-   wire n_3129;
-   wire n_3130;
-   wire n_3131;
-   wire n_3132;
-   wire n_3133;
-   wire n_3134;
-   wire n_3135;
-   wire n_3136;
-   wire n_3137;
-   wire n_3138;
-   wire n_3139;
-   wire n_3140;
-   wire n_3141;
-   wire n_3142;
-   wire n_3143;
-   wire n_3144;
-   wire n_3145;
-   wire n_3146;
-   wire n_3147;
-   wire n_3148;
-   wire n_3149;
-   wire n_3150;
-   wire n_3151;
-   wire n_3152;
-   wire n_3153;
-   wire n_3154;
-   wire n_3155;
-   wire n_3156;
-   wire n_3157;
-   wire n_3158;
-   wire n_3159;
-   wire n_3160;
-   wire n_3161;
-   wire n_3162;
-   wire n_3163;
-   wire n_3164;
-   wire n_3165;
-   wire n_3166;
-   wire n_3167;
-   wire n_3168;
-   wire n_3169;
-   wire n_3170;
-   wire n_3171;
-   wire n_3172;
-   wire n_3173;
-   wire n_3174;
-   wire n_3175;
-   wire n_3176;
-   wire n_3177;
-   wire n_3178;
-   wire n_3179;
-   wire n_3180;
-   wire n_3181;
-   wire n_3182;
-   wire n_3183;
-   wire n_3184;
-   wire n_3185;
-   wire n_3186;
-   wire n_3187;
-   wire n_3188;
-   wire n_3189;
-   wire n_3190;
-   wire n_3191;
-   wire n_3192;
-   wire n_3193;
-   wire n_3194;
-   wire n_3195;
-   wire n_3196;
-   wire n_3197;
-   wire n_3198;
-   wire n_3199;
-   wire n_3200;
-   wire n_3201;
-   wire n_3202;
-   wire n_3203;
-   wire n_3204;
-   wire n_3205;
-   wire n_3206;
-   wire n_3207;
-   wire n_3208;
-   wire n_3209;
-   wire n_3210;
-   wire n_3211;
-   wire n_3212;
-   wire n_3213;
-   wire n_3214;
-   wire n_3215;
-   wire n_3216;
-   wire n_3217;
-   wire n_3218;
-   wire n_3219;
-   wire n_3220;
-   wire n_3221;
-   wire n_3222;
-   wire n_3223;
-   wire n_3224;
-   wire n_3225;
-   wire n_3226;
-   wire n_3227;
-   wire n_3229;
-   wire n_3230;
-   wire n_3231;
-   wire n_3232;
-   wire n_3233;
-   wire n_3234;
-   wire n_3235;
-   wire n_3236;
-   wire n_3237;
-   wire n_3238;
-   wire n_3239;
-   wire n_3240;
-   wire n_3241;
-   wire n_3242;
-   wire n_3243;
-   wire n_3244;
-   wire n_3245;
-   wire n_3246;
-   wire n_3247;
-   wire n_3248;
-   wire n_3249;
-   wire n_3250;
-   wire n_3251;
-   wire n_3252;
-   wire n_3253;
-   wire n_3254;
-   wire n_3255;
-   wire n_3256;
-   wire n_3257;
-   wire n_3258;
-   wire n_3259;
-   wire n_3260;
-   wire n_3261;
-   wire n_3262;
-   wire n_3263;
-   wire n_3264;
-   wire n_3265;
-   wire n_3266;
-   wire n_3268;
-   wire n_3269;
-   wire n_3270;
-   wire n_3271;
-   wire n_3272;
-   wire n_3273;
-   wire n_3274;
-   wire n_3275;
-   wire n_3276;
-   wire n_3277;
-   wire n_3279;
-   wire n_3280;
-   wire n_3281;
-   wire n_3282;
-   wire n_3283;
-   wire n_3284;
-   wire n_3285;
-   wire n_3286;
-   wire n_3287;
-   wire n_3288;
-   wire n_3289;
-   wire n_3290;
-   wire n_3291;
-   wire n_3292;
-   wire n_3293;
-   wire n_3294;
-   wire n_3295;
-   wire n_3296;
-   wire n_3297;
-   wire n_3298;
-   wire n_3299;
-   wire n_3300;
-   wire n_3301;
-   wire n_3302;
-   wire n_3303;
-   wire n_3304;
-   wire n_3305;
-   wire n_3306;
-   wire n_3307;
-   wire n_3308;
-   wire n_3309;
-   wire n_3310;
-   wire n_3311;
-   wire n_3312;
-   wire n_3313;
-   wire n_3314;
-   wire n_3315;
-   wire n_3316;
-   wire n_3317;
-   wire n_3318;
-   wire n_3319;
-   wire n_3320;
-   wire n_3321;
-   wire n_3322;
-   wire n_3323;
-   wire n_3324;
-   wire n_3325;
-   wire n_3326;
-   wire n_3327;
-   wire n_3328;
-   wire n_3329;
-   wire n_3330;
-   wire n_3331;
-   wire n_3332;
-   wire n_3333;
-   wire n_3334;
-   wire n_3335;
-   wire n_3336;
-   wire n_3337;
-   wire n_3338;
-   wire n_3339;
-   wire n_3340;
-   wire n_3341;
-   wire n_3342;
-   wire n_3343;
-   wire n_3344;
-   wire n_3346;
-   wire n_3347;
-   wire n_3348;
-   wire n_3349;
-   wire n_3350;
-   wire n_3351;
-   wire n_3352;
-   wire n_3353;
-   wire n_3354;
-   wire n_3355;
-   wire n_3356;
-   wire n_3357;
-   wire n_3358;
-   wire n_3359;
-   wire n_3360;
-   wire n_3361;
-   wire n_3362;
-   wire n_3363;
-   wire n_3364;
-   wire n_3365;
-   wire n_3366;
-   wire n_3367;
-   wire n_3368;
-   wire n_3369;
-   wire n_3370;
-   wire n_3371;
-   wire n_3372;
-   wire n_3373;
-   wire n_3374;
-   wire n_3375;
-   wire n_3376;
-   wire n_3377;
-   wire n_3378;
-   wire n_3379;
-   wire n_3380;
-   wire n_3381;
-   wire n_3382;
-   wire n_3383;
-   wire n_3384;
-   wire n_3385;
-   wire n_3386;
-   wire n_3387;
-   wire n_3388;
-   wire n_3389;
-   wire n_3390;
-   wire n_3391;
-   wire n_3392;
-   wire n_3393;
-   wire n_3394;
-   wire n_3395;
-   wire n_3396;
-   wire n_3397;
-   wire n_3398;
-   wire n_3399;
-   wire n_3400;
-   wire n_3401;
-   wire n_3402;
-   wire n_3403;
-   wire n_3404;
-   wire n_3405;
-   wire n_3406;
-   wire n_3407;
-   wire n_3408;
-   wire n_3409;
-   wire n_3410;
-   wire n_3411;
-   wire n_3412;
-   wire n_3413;
-   wire n_3414;
-   wire n_3415;
-   wire n_3416;
-   wire n_3417;
-   wire n_3418;
-   wire n_3419;
-   wire n_3420;
-   wire n_3421;
-   wire n_3422;
-   wire n_3423;
-   wire n_3424;
-   wire n_3425;
-   wire n_3426;
-   wire n_3427;
-   wire n_3428;
-   wire n_3429;
-   wire n_3430;
-   wire n_3431;
-   wire n_3432;
-   wire n_3433;
-   wire n_3434;
-   wire n_3435;
-   wire n_3436;
-   wire n_3437;
-   wire n_3438;
-   wire n_3439;
-   wire n_3440;
-   wire n_3441;
-   wire n_3442;
-   wire n_3443;
-   wire n_3444;
-   wire n_3445;
-   wire n_3446;
-   wire n_3447;
-   wire n_3448;
-   wire n_3449;
-   wire n_3450;
-   wire n_3451;
-   wire n_3452;
-   wire n_3453;
-   wire n_3454;
-   wire n_3455;
-   wire n_3456;
-   wire n_3457;
-   wire n_3458;
-   wire n_3459;
-   wire n_3460;
-   wire n_3461;
-   wire n_3462;
-   wire n_3463;
-   wire n_3464;
-   wire n_3465;
-   wire n_3466;
-   wire n_3467;
-   wire n_3468;
-   wire n_3469;
-   wire n_3470;
-   wire n_3471;
-   wire n_3472;
-   wire n_3473;
-   wire n_3474;
-   wire n_3475;
-   wire n_3476;
-   wire n_3477;
-   wire n_3478;
-   wire n_3479;
-   wire n_3480;
-   wire n_3481;
-   wire n_3482;
-   wire n_3483;
-   wire n_3484;
-   wire n_3485;
-   wire n_3486;
-   wire n_3487;
-   wire n_3488;
-   wire n_3489;
-   wire n_3490;
-   wire n_3491;
-   wire n_3492;
-   wire n_3493;
-   wire n_3494;
-   wire n_3495;
-   wire n_3496;
-   wire n_3497;
-   wire n_3498;
-   wire n_3499;
-   wire n_3500;
-   wire n_3501;
-   wire n_3502;
-   wire n_3503;
-   wire n_3504;
-   wire n_3505;
-   wire n_3506;
-   wire n_3507;
-   wire n_3508;
-   wire n_3509;
-   wire n_3510;
-   wire n_3511;
-   wire n_3512;
-   wire n_3513;
-   wire n_3514;
-   wire n_3515;
-   wire n_3516;
-   wire n_3517;
-   wire n_3518;
-   wire n_3519;
-   wire n_3520;
-   wire n_3521;
-   wire n_3522;
-   wire n_3523;
-   wire n_3524;
-   wire n_3525;
-   wire n_3526;
-   wire n_3527;
-   wire n_3528;
-   wire n_3529;
-   wire n_3530;
-   wire n_3531;
-   wire n_3532;
-   wire n_3533;
-   wire n_3534;
-   wire n_3535;
-   wire n_3536;
-   wire n_3537;
-   wire n_3538;
-   wire n_3539;
-   wire n_3540;
-   wire n_3541;
-   wire n_3542;
-   wire n_3543;
-   wire n_3544;
-   wire n_3545;
-   wire n_3546;
-   wire n_3547;
-   wire n_3548;
-   wire n_3549;
-   wire n_3550;
-   wire n_3551;
-   wire n_3552;
-   wire n_3553;
-   wire n_3554;
-   wire n_3555;
-   wire n_3556;
-   wire n_3557;
-   wire n_3558;
-   wire n_3559;
-   wire n_3560;
-   wire n_3561;
-   wire n_3562;
-   wire n_3563;
-   wire n_3564;
-   wire n_3565;
-   wire n_3566;
-   wire n_3567;
-   wire n_3568;
-   wire n_3569;
-   wire n_3570;
-   wire n_3571;
-   wire n_3572;
-   wire n_3573;
-   wire n_3574;
-   wire n_3575;
-   wire n_3576;
-   wire n_3577;
-   wire n_3578;
-   wire n_3579;
-   wire n_3580;
-   wire n_3581;
-   wire n_3582;
-   wire n_3583;
-   wire n_3584;
-   wire n_3585;
-   wire n_3586;
-   wire n_3587;
-   wire n_3588;
-   wire n_3589;
-   wire n_3590;
-   wire n_3591;
-   wire n_3592;
-   wire n_3593;
-   wire n_3594;
-   wire n_3595;
-   wire n_3596;
-   wire n_3597;
-   wire n_3598;
-   wire n_3599;
-   wire n_3600;
-   wire n_3601;
-   wire n_3602;
-   wire n_3603;
-   wire n_3604;
-   wire n_3605;
-   wire n_3606;
-   wire n_3607;
-   wire n_3608;
-   wire n_3609;
-   wire n_3610;
-   wire n_3611;
-   wire n_3612;
-   wire n_3613;
-   wire n_3614;
-   wire n_3615;
-   wire n_3616;
-   wire n_3617;
-   wire n_3618;
-   wire n_3619;
-   wire n_3620;
-   wire n_3621;
-   wire n_3622;
-   wire n_3623;
-   wire n_3624;
-   wire n_3625;
-   wire n_3626;
-   wire n_3627;
-   wire n_3628;
-   wire n_3629;
-   wire n_3630;
-   wire n_3631;
-   wire n_3632;
-   wire n_3633;
-   wire n_3634;
-   wire n_3635;
-   wire n_3636;
-   wire n_3637;
-   wire n_3638;
-   wire n_3639;
-   wire n_3640;
-   wire n_3641;
-   wire n_3642;
-   wire n_3643;
-   wire n_3644;
-   wire n_3645;
-   wire n_3646;
-   wire n_3647;
-   wire n_3648;
-   wire n_3649;
-   wire n_3650;
-   wire n_3651;
-   wire n_3652;
-   wire n_3653;
-   wire n_3654;
-   wire n_3655;
-   wire n_3656;
-   wire n_3657;
-   wire n_3658;
-   wire n_3659;
-   wire n_3660;
-   wire n_3661;
-   wire n_3662;
-   wire n_3663;
-   wire n_3664;
-   wire n_3665;
-   wire n_3666;
-   wire n_3667;
-   wire n_3668;
-   wire n_3669;
-   wire n_3670;
-   wire n_3671;
-   wire n_3672;
-   wire n_3673;
-   wire n_3674;
-   wire n_3675;
-   wire n_3676;
-   wire n_3677;
-   wire n_3678;
-   wire n_3679;
-   wire n_3680;
-   wire n_3681;
-   wire n_3682;
-   wire n_3683;
-   wire n_3684;
-   wire n_3685;
-   wire n_3686;
-   wire n_3687;
-   wire n_3688;
-   wire n_3689;
-   wire n_3690;
-   wire n_3691;
-   wire n_3692;
-   wire n_3693;
-   wire n_3694;
-   wire n_3695;
-   wire n_3696;
-   wire n_3697;
-   wire n_3698;
-   wire n_3699;
-   wire n_3700;
-   wire n_3701;
-   wire n_3702;
-   wire n_3703;
-   wire n_3704;
-   wire n_3705;
-   wire n_3706;
-   wire n_3707;
-   wire n_3708;
-   wire n_3709;
-   wire n_3710;
-   wire n_3711;
-   wire n_3712;
-   wire n_3713;
-   wire n_3714;
-   wire n_3715;
-   wire n_3716;
-   wire n_3717;
-   wire n_3718;
-   wire n_3719;
-   wire n_3720;
-   wire n_3721;
-   wire n_3722;
-   wire n_3723;
-   wire n_3724;
-   wire n_3725;
-   wire n_3726;
-   wire n_3727;
-   wire n_3728;
-   wire n_3729;
-   wire n_3730;
-   wire n_3731;
-   wire n_3732;
-   wire n_3734;
-   wire n_3735;
-   wire n_3736;
-   wire n_3737;
-   wire n_3738;
-   wire n_3739;
-   wire n_3740;
-   wire n_3741;
-   wire n_3742;
-   wire n_3743;
-   wire n_3744;
-   wire n_3745;
-   wire n_3746;
-   wire n_3747;
-   wire n_3748;
-   wire n_3749;
-   wire n_3750;
-   wire n_3751;
-   wire n_3752;
-   wire n_3753;
-   wire n_3754;
-   wire n_3755;
-   wire n_3756;
-   wire n_3757;
-   wire n_3758;
-   wire n_3759;
-   wire n_3760;
-   wire n_3761;
-   wire n_3762;
-   wire n_3763;
-   wire n_3764;
-   wire n_3765;
-   wire n_3766;
-   wire n_3767;
-   wire n_3768;
-   wire n_3769;
-   wire n_3770;
-   wire n_3771;
-   wire n_3772;
-   wire n_3773;
-   wire n_3774;
-   wire n_3775;
-   wire n_3776;
-   wire n_3777;
-   wire n_3778;
-   wire n_3779;
-   wire n_3780;
-   wire n_3781;
-   wire n_3782;
-   wire n_3783;
-   wire n_3784;
-   wire n_3785;
-   wire n_3786;
-   wire n_3787;
-   wire n_3788;
-   wire n_3789;
-   wire n_3790;
-   wire n_3791;
-   wire n_3792;
-   wire n_3793;
-   wire n_3794;
-   wire n_3795;
-   wire n_3796;
-   wire n_3797;
-   wire n_3798;
-   wire n_3799;
-   wire n_3800;
-   wire n_3801;
-   wire n_3802;
-   wire n_3803;
-   wire n_3804;
-   wire n_3805;
-   wire n_3806;
-   wire n_3807;
-   wire n_3808;
-   wire n_3809;
-   wire n_3810;
-   wire n_3811;
-   wire n_3812;
-   wire n_3813;
-   wire n_3814;
-   wire n_3815;
-   wire n_3816;
-   wire n_3817;
-   wire n_3818;
-   wire n_3819;
-   wire n_3820;
-   wire n_3821;
-   wire n_3822;
-   wire n_3823;
-   wire n_3824;
-   wire n_3825;
-   wire n_3826;
-   wire n_3827;
-   wire n_3828;
-   wire n_3829;
-   wire n_3830;
-   wire n_3831;
-   wire n_3832;
-   wire n_3833;
-   wire n_3834;
-   wire n_3835;
-   wire n_3836;
-   wire n_3837;
-   wire n_3838;
-   wire n_3839;
-   wire n_3840;
-   wire n_3841;
-   wire n_3842;
-   wire n_3843;
-   wire n_3844;
-   wire n_3845;
-   wire n_3846;
-   wire n_3847;
-   wire n_3848;
-   wire n_3849;
-   wire n_3850;
-   wire n_3851;
-   wire n_3852;
-   wire n_3853;
-   wire n_3854;
-   wire n_3855;
-   wire n_3856;
-   wire n_3857;
-   wire n_3858;
-   wire n_3859;
-   wire n_3860;
-   wire n_3861;
-   wire n_3862;
-   wire n_3863;
-   wire n_3864;
-   wire n_3865;
-   wire n_3866;
-   wire n_3867;
-   wire n_3868;
-   wire n_3869;
-   wire n_3870;
-   wire n_3871;
-   wire n_3872;
-   wire n_3873;
-   wire n_3874;
-   wire n_3875;
-   wire n_3876;
-   wire n_3877;
-   wire n_3878;
-   wire n_3879;
-   wire n_3880;
-   wire n_3881;
-   wire n_3882;
-   wire n_3883;
-   wire n_3884;
-   wire n_3885;
-   wire n_3886;
-   wire n_3887;
-   wire n_3888;
-   wire n_3889;
-   wire n_3890;
-   wire n_3891;
-   wire n_3892;
-   wire n_3893;
-   wire n_3894;
-   wire n_3895;
-   wire n_3896;
-   wire n_3897;
-   wire n_3898;
-   wire n_3899;
-   wire n_3900;
-   wire n_3901;
-   wire n_3902;
-   wire n_3903;
-   wire n_3904;
-   wire n_3905;
-   wire n_3906;
-   wire n_3907;
-   wire n_3908;
-   wire n_3909;
-   wire n_3910;
-   wire n_3911;
-   wire n_3912;
-   wire n_3913;
-   wire n_3914;
-   wire n_3915;
-   wire n_3916;
-   wire n_3917;
-   wire n_3918;
-   wire n_3919;
-   wire n_3920;
-   wire n_3921;
-   wire n_3922;
-   wire n_3923;
-   wire n_3924;
-   wire n_3925;
-   wire n_3926;
-   wire n_3927;
-   wire n_3928;
-   wire n_3929;
-   wire n_3930;
-   wire n_3931;
-   wire n_3932;
-   wire n_3933;
-   wire n_3934;
-   wire n_3935;
-   wire n_3936;
-   wire n_3937;
-   wire n_3938;
-   wire n_3939;
-   wire n_3940;
-   wire n_3941;
-   wire n_3942;
-   wire n_3943;
-   wire n_3944;
-   wire n_3945;
-   wire n_3946;
-   wire n_3947;
-   wire n_3948;
-   wire n_3949;
-   wire n_3950;
-   wire n_3951;
-   wire n_3952;
-   wire n_3953;
-   wire n_3954;
-   wire n_3955;
-   wire n_3956;
-   wire n_3957;
-   wire n_3958;
-   wire n_3959;
-   wire n_3960;
-   wire n_3961;
-   wire n_3962;
-   wire n_3963;
-   wire n_3964;
-   wire n_3965;
-   wire n_3966;
-   wire n_3967;
-   wire n_3968;
-   wire n_3969;
-   wire n_3970;
-   wire n_3971;
-   wire n_3972;
-   wire n_3973;
-   wire n_3974;
-   wire n_3975;
-   wire n_3976;
-   wire n_3977;
-   wire n_3978;
-   wire n_3979;
-   wire n_3980;
-   wire n_3981;
-   wire n_3982;
-   wire n_3983;
-   wire n_3984;
-   wire n_3985;
-   wire n_3986;
-   wire n_3987;
-   wire n_3988;
-   wire n_3989;
-   wire n_3990;
-   wire n_3991;
-   wire n_3992;
-   wire n_3993;
-   wire n_3994;
-   wire n_3995;
-   wire n_3996;
-   wire n_3997;
-   wire n_3998;
-   wire n_3999;
-   wire n_4000;
-   wire n_4001;
-   wire n_4002;
-   wire n_4003;
-   wire n_4004;
-   wire n_4005;
-   wire n_4006;
-   wire n_4007;
-   wire n_4008;
-   wire n_4009;
-   wire n_4010;
-   wire n_4011;
-   wire n_4012;
-   wire n_4013;
-   wire n_4014;
-   wire n_4015;
-   wire n_4016;
-   wire n_4017;
-   wire n_4018;
-   wire n_4019;
-   wire n_4020;
-   wire n_4021;
-   wire n_4022;
-   wire n_4023;
-   wire n_4024;
-   wire n_4025;
-   wire n_4026;
-   wire n_4027;
-   wire n_4028;
-   wire n_4029;
-   wire n_4030;
-   wire n_4031;
-   wire n_4032;
-   wire n_4033;
-   wire n_4034;
-   wire n_4035;
-   wire n_4036;
-   wire n_4037;
-   wire n_4038;
-   wire n_4039;
-   wire n_4040;
-   wire n_4041;
-   wire n_4042;
-   wire n_4043;
-   wire n_4044;
-   wire n_4045;
-   wire n_4046;
-   wire n_4047;
-   wire n_4048;
-   wire n_4049;
-   wire n_4050;
-   wire n_4051;
-   wire n_4052;
-   wire n_4053;
-   wire n_4054;
-   wire n_4055;
-   wire n_4056;
-   wire n_4057;
-   wire n_4058;
-   wire n_4059;
-   wire n_4060;
-   wire n_4061;
-   wire n_4062;
-   wire n_4063;
-   wire n_4064;
-   wire n_4065;
-   wire n_4066;
-   wire n_4067;
-   wire n_4068;
-   wire n_4069;
-   wire n_4070;
-   wire n_4071;
-   wire n_4072;
-   wire n_4073;
-   wire n_4074;
-   wire n_4075;
-   wire n_4076;
-   wire n_4077;
-   wire n_4078;
-   wire n_4079;
-   wire n_4080;
-   wire n_4081;
-   wire n_4082;
-   wire n_4083;
-   wire n_4084;
-   wire n_4085;
-   wire n_4086;
-   wire n_4087;
-   wire n_4088;
-   wire n_4089;
-   wire n_4090;
-   wire n_4091;
-   wire n_4092;
-   wire n_4093;
-   wire n_4094;
-   wire n_4095;
-   wire n_4096;
-   wire n_4097;
-   wire n_4098;
-   wire n_4099;
-   wire n_4100;
-   wire n_4101;
-   wire n_4102;
-   wire n_4103;
-   wire n_4104;
-   wire n_4105;
-   wire n_4106;
-   wire n_4107;
-   wire n_4108;
-   wire n_4109;
-   wire n_4110;
-   wire n_4111;
-   wire n_4112;
-   wire n_4113;
-   wire n_4114;
-   wire n_4115;
-   wire n_4116;
-   wire n_4117;
-   wire n_4118;
-   wire n_4119;
-   wire n_4120;
-   wire n_4121;
-   wire n_4122;
-   wire n_4123;
-   wire n_4124;
-   wire n_4125;
-   wire n_4126;
-   wire n_4127;
-   wire n_4128;
-   wire n_4129;
-   wire n_4130;
-   wire n_4131;
-   wire n_4132;
-   wire n_4133;
-   wire n_4134;
-   wire n_4135;
-   wire n_4136;
-   wire n_4137;
-   wire n_4138;
-   wire n_4139;
-   wire n_4140;
-   wire n_4141;
-   wire n_4142;
-   wire n_4143;
-   wire n_4144;
-   wire n_4145;
-   wire n_4146;
-   wire n_4147;
-   wire n_4148;
-   wire n_4149;
-   wire n_4150;
-   wire n_4151;
-   wire n_4152;
-   wire n_4153;
-   wire n_4154;
-   wire n_4155;
-   wire n_4156;
-   wire n_4157;
-   wire n_4158;
-   wire n_4159;
-   wire n_4160;
-   wire n_4161;
-   wire n_4162;
-   wire n_4163;
-   wire n_4164;
-   wire n_4165;
-   wire n_4166;
-   wire n_4167;
-   wire n_4168;
-   wire n_4169;
-   wire n_4170;
-   wire n_4171;
-   wire n_4172;
-   wire n_4173;
-   wire n_4174;
-   wire n_4175;
-   wire n_4176;
-   wire n_4177;
-   wire n_4178;
-   wire n_4179;
-   wire n_4180;
-   wire n_4181;
-   wire n_4182;
-   wire n_4183;
-   wire n_4184;
-   wire n_4185;
-   wire n_4186;
-   wire n_4187;
-   wire n_4188;
-   wire n_4189;
-   wire n_4190;
-   wire n_4191;
-   wire n_4192;
-   wire n_4193;
-   wire n_4194;
-   wire n_4195;
-   wire n_4196;
-   wire n_4197;
-   wire n_4198;
-   wire n_4199;
-   wire n_4200;
-   wire n_4201;
-   wire n_4202;
-   wire n_4203;
-   wire n_4204;
-   wire n_4205;
-   wire n_4206;
-   wire n_4207;
-   wire n_4208;
-   wire n_4209;
-   wire n_4210;
-   wire n_4211;
-   wire n_4212;
-   wire n_4213;
-   wire n_4214;
-   wire n_4215;
-   wire n_4217;
-   wire n_4218;
-   wire n_4219;
-   wire n_4220;
-   wire n_4221;
-   wire n_4222;
-   wire n_4223;
-   wire n_4224;
-   wire n_4225;
-   wire n_4226;
-   wire n_4227;
-   wire n_4228;
-   wire n_4229;
-   wire n_4230;
-   wire n_4231;
-   wire n_4232;
-   wire n_4233;
-   wire n_4234;
-   wire n_4235;
-   wire n_4236;
-   wire n_4237;
-   wire n_4238;
-   wire n_4239;
-   wire n_4240;
-   wire n_4241;
-   wire n_4242;
-   wire n_4243;
-   wire n_4244;
-   wire n_4245;
-   wire n_4246;
-   wire n_4247;
-   wire n_4248;
-   wire n_4249;
-   wire n_4250;
-   wire n_4251;
-   wire n_4252;
-   wire n_4253;
-   wire n_4254;
-   wire n_4255;
-   wire n_4256;
-   wire n_4257;
-   wire n_4258;
-   wire n_4259;
-   wire n_4260;
-   wire n_4261;
-   wire n_4262;
-   wire n_4263;
-   wire n_4264;
-   wire n_4265;
-   wire n_4266;
-   wire n_4267;
-   wire n_4268;
-   wire n_4269;
-   wire n_4270;
-   wire n_4271;
-   wire n_4272;
-   wire n_4273;
-   wire n_4274;
-   wire n_4275;
-   wire n_4276;
-   wire n_4277;
-   wire n_4278;
-   wire n_4279;
-   wire n_4280;
-   wire n_4281;
-   wire n_4282;
-   wire n_4283;
-   wire n_4284;
-   wire n_4285;
-   wire n_4286;
-   wire n_4287;
-   wire n_4288;
-   wire n_4289;
-   wire n_4290;
-   wire n_4291;
-   wire n_4292;
-   wire n_4293;
-   wire n_4294;
-   wire n_4295;
-   wire n_4296;
-   wire n_4297;
-   wire n_4298;
-   wire n_4299;
-   wire n_4300;
-   wire n_4301;
-   wire n_4302;
-   wire n_4303;
-   wire n_4304;
-   wire n_4305;
-   wire n_4306;
-   wire n_4307;
-   wire n_4308;
-   wire n_4309;
-   wire n_4310;
-   wire n_4311;
-   wire n_4312;
-   wire n_4313;
-   wire n_4314;
-   wire n_4315;
-   wire n_4316;
-   wire n_4317;
-   wire n_4318;
-   wire n_4319;
-   wire n_4320;
-   wire n_4321;
-   wire n_4322;
-   wire n_4323;
-   wire n_4324;
-   wire n_4325;
-   wire n_4326;
-   wire n_4327;
-   wire n_4328;
-   wire n_4329;
-   wire n_4330;
-   wire n_4331;
-   wire n_4332;
-   wire n_4333;
-   wire n_4334;
-   wire n_4335;
-   wire n_4336;
-   wire n_4337;
-   wire n_4338;
-   wire n_4339;
-   wire n_4340;
-   wire n_4341;
-   wire n_4342;
-   wire n_4343;
-   wire n_4344;
-   wire n_4345;
-   wire n_4346;
-   wire n_4347;
-   wire n_4348;
-   wire n_4349;
-   wire n_4350;
-   wire n_4351;
-   wire n_4352;
-   wire n_4353;
-   wire n_4354;
-   wire n_4355;
-   wire n_4356;
-   wire n_4357;
-   wire n_4358;
-   wire n_4359;
-   wire n_4360;
-   wire n_4361;
-   wire n_4362;
-   wire n_4363;
-   wire n_4364;
-   wire n_4365;
-   wire n_4366;
-   wire n_4367;
-   wire n_4368;
-   wire n_4369;
-   wire n_4370;
-   wire n_4371;
-   wire n_4372;
-   wire n_4373;
-   wire n_4374;
-   wire n_4375;
-   wire n_4376;
-   wire n_4377;
-   wire n_4378;
-   wire n_4379;
-   wire n_4380;
-   wire n_4381;
-   wire n_4382;
-   wire n_4383;
-   wire n_4384;
-   wire n_4385;
-   wire n_4386;
-   wire n_4387;
-   wire n_4388;
-   wire n_4389;
-   wire n_4390;
-   wire n_4391;
-   wire n_4392;
-   wire n_4393;
-   wire n_4394;
-   wire n_4395;
-   wire n_4396;
-   wire n_4397;
-   wire n_4398;
-   wire n_4399;
-   wire n_4400;
-   wire n_4401;
-   wire n_4402;
-   wire n_4403;
-   wire n_4404;
-   wire n_4405;
-   wire n_4406;
-   wire n_4407;
-   wire n_4408;
-   wire n_4409;
-   wire n_4410;
-   wire n_4411;
-   wire n_4412;
-   wire n_4413;
-   wire n_4414;
-   wire n_4415;
-   wire n_4416;
-   wire n_4417;
-   wire n_4418;
-   wire n_4419;
-   wire n_4420;
-   wire n_4421;
-   wire n_4422;
-   wire n_4423;
-   wire n_4424;
-   wire n_4425;
-   wire n_4426;
-   wire n_4427;
-   wire n_4428;
-   wire n_4429;
-   wire n_4430;
-   wire n_4431;
-   wire n_4432;
-   wire n_4433;
-   wire n_4434;
-   wire n_4435;
-   wire n_4436;
-   wire n_4437;
-   wire n_4438;
-   wire n_4439;
-   wire n_4440;
-   wire n_4441;
-   wire n_4442;
-   wire n_4443;
-   wire n_4444;
-   wire n_4445;
-   wire n_4446;
-   wire n_4447;
-   wire n_4448;
-   wire n_4449;
-   wire n_4450;
-   wire n_4451;
-   wire n_4452;
-   wire n_4453;
-   wire n_4454;
-   wire n_4455;
-   wire n_4456;
-   wire n_4457;
-   wire n_4458;
-   wire n_4459;
-   wire n_4460;
-   wire n_4461;
-   wire n_4462;
-   wire n_4463;
-   wire n_4464;
-   wire n_4465;
-   wire n_4466;
-   wire n_4467;
-   wire n_4468;
-   wire n_4469;
-   wire n_4470;
-   wire n_4471;
-   wire n_4472;
-   wire n_4473;
-   wire n_4474;
-   wire n_4475;
-   wire n_4476;
-   wire n_4477;
-   wire n_4478;
-   wire n_4479;
-   wire n_4480;
-   wire n_4481;
-   wire n_4482;
-   wire n_4483;
-   wire n_4484;
-   wire n_4485;
-   wire n_4486;
-   wire n_4487;
-   wire n_4488;
-   wire n_4489;
-   wire n_4490;
-   wire n_4491;
-   wire n_4492;
-   wire n_4493;
-   wire n_4494;
-   wire n_4495;
-   wire n_4496;
-   wire n_4497;
-   wire n_4498;
-   wire n_4499;
-   wire n_4500;
-   wire n_4501;
-   wire n_4502;
-   wire n_4503;
-   wire n_4504;
-   wire n_4505;
-   wire n_4506;
-   wire n_4507;
-   wire n_4508;
-   wire n_4509;
-   wire n_4510;
-   wire n_4511;
-   wire n_4512;
-   wire n_4513;
-   wire n_4514;
-   wire n_4515;
-   wire n_4516;
-   wire n_4517;
-   wire n_4518;
-   wire n_4519;
-   wire n_4520;
-   wire n_4521;
-   wire n_4522;
-   wire n_4523;
-   wire n_4524;
-   wire n_4525;
-   wire n_4526;
-   wire n_4527;
-   wire n_4528;
-   wire n_4529;
-   wire n_4530;
-   wire n_4531;
-   wire n_4532;
-   wire n_4533;
-   wire n_4534;
-   wire n_4535;
-   wire n_4536;
-   wire n_4537;
-   wire n_4538;
-   wire n_4539;
-   wire n_4540;
-   wire n_4541;
-   wire n_4542;
-   wire n_4543;
-   wire n_4544;
-   wire n_4545;
-   wire n_4546;
-   wire n_4547;
-   wire n_4548;
-   wire n_4549;
-   wire n_4550;
-   wire n_4551;
-   wire n_4552;
-   wire n_4553;
-   wire n_4554;
-   wire n_4555;
-   wire n_4556;
-   wire n_4557;
-   wire n_4558;
-   wire n_4559;
-   wire n_4560;
-   wire n_4561;
-   wire n_4562;
-   wire n_4563;
-   wire n_4564;
-   wire n_4565;
-   wire n_4566;
-   wire n_4567;
-   wire n_4568;
-   wire n_4569;
-   wire n_4570;
-   wire n_4571;
-   wire n_4572;
-   wire n_4573;
-   wire n_4574;
-   wire n_4575;
-   wire n_4576;
-   wire n_4577;
-   wire n_4578;
-   wire n_4579;
-   wire n_4580;
-   wire n_4581;
-   wire n_4582;
-   wire n_4583;
-   wire n_4584;
-   wire n_4585;
-   wire n_4586;
-   wire n_4587;
-   wire n_4588;
-   wire n_4589;
-   wire n_4590;
-   wire n_4591;
-   wire n_4592;
-   wire n_4593;
-   wire n_4594;
-   wire n_4595;
-   wire n_4596;
-   wire n_4597;
-   wire n_4598;
-   wire n_4599;
-   wire n_4600;
-   wire n_4601;
-   wire n_4602;
-   wire n_4603;
-   wire n_4604;
-   wire n_4605;
-   wire n_4606;
-   wire n_4607;
-   wire n_4608;
-   wire n_4609;
-   wire n_4610;
-   wire n_4611;
-   wire n_4612;
-   wire n_4613;
-   wire n_4614;
-   wire n_4615;
-   wire n_4616;
-   wire n_4617;
-   wire n_4618;
-   wire n_4619;
-   wire n_4620;
-   wire n_4621;
-   wire n_4622;
-   wire n_4623;
-   wire n_4624;
-   wire n_4625;
-   wire n_4626;
-   wire n_4627;
-   wire n_4628;
-   wire n_4629;
-   wire n_4630;
-   wire n_4631;
-   wire n_4632;
-   wire n_4633;
-   wire n_4634;
-   wire n_4635;
-   wire n_4636;
-   wire n_4637;
-   wire n_4638;
-   wire n_4639;
-   wire n_4640;
-   wire n_4641;
-   wire n_4642;
-   wire n_4643;
-   wire n_4644;
-   wire n_4645;
-   wire n_4646;
-   wire n_4647;
-   wire n_4648;
-   wire n_4649;
-   wire n_4650;
-   wire n_4651;
-   wire n_4652;
-   wire n_4653;
-   wire n_4654;
-   wire n_4655;
-   wire n_4656;
-   wire n_4657;
-   wire n_4658;
-   wire n_4659;
-   wire n_4660;
-   wire n_4661;
-   wire n_4662;
-   wire n_4663;
-   wire n_4664;
-   wire n_4665;
-   wire n_4666;
-   wire n_4667;
-   wire n_4668;
-   wire n_4669;
-   wire n_4670;
-   wire n_4671;
-   wire n_4672;
-   wire n_4673;
-   wire n_4674;
-   wire n_4675;
-   wire n_4676;
-   wire n_4677;
-   wire n_4678;
-   wire n_4679;
-   wire n_4680;
-   wire n_4681;
-   wire n_4682;
-   wire n_4683;
-   wire n_4684;
-   wire n_4685;
-   wire n_4686;
-   wire n_4687;
-   wire n_4688;
-   wire n_4689;
-   wire n_4690;
-   wire n_4691;
-   wire n_4692;
-   wire n_4693;
-   wire n_4694;
-   wire n_4695;
-   wire n_4696;
-   wire n_4697;
-   wire n_4698;
-   wire n_4699;
-   wire n_4700;
-   wire n_4701;
-   wire n_4702;
-   wire n_4703;
-   wire n_4704;
-   wire n_4705;
-   wire n_4706;
-   wire n_4707;
-   wire n_4708;
-   wire n_4709;
-   wire n_4711;
-   wire n_4712;
-   wire n_4713;
-   wire n_4714;
-   wire n_4715;
-   wire n_4716;
-   wire n_4717;
-   wire n_4718;
-   wire n_4719;
-   wire n_4720;
-   wire n_4721;
-   wire n_4722;
-   wire n_4723;
-   wire n_4724;
-   wire n_4725;
-   wire n_4726;
-   wire n_4727;
-   wire n_4728;
-   wire n_4729;
-   wire n_4730;
-   wire n_4731;
-   wire n_4732;
-   wire n_4733;
-   wire n_4734;
-   wire n_4735;
-   wire n_4736;
-   wire n_4737;
-   wire n_4738;
-   wire n_4739;
-   wire n_4740;
-   wire n_4741;
-   wire n_4742;
-   wire n_4743;
-   wire n_4744;
-   wire n_4745;
-   wire n_4746;
-   wire n_4747;
-   wire n_4748;
-   wire n_4749;
-   wire n_4750;
-   wire n_4751;
-   wire n_4752;
-   wire n_4753;
-   wire n_4754;
-   wire n_4755;
-   wire n_4756;
-   wire n_4757;
-   wire n_4758;
-   wire n_4759;
-   wire n_4760;
-   wire n_4761;
-   wire n_4762;
-   wire n_4763;
-   wire n_4764;
-   wire n_4765;
-   wire n_4766;
-   wire n_4767;
-   wire n_4768;
-   wire n_4769;
-   wire n_4770;
-   wire n_4771;
-   wire n_4772;
-   wire n_4773;
-   wire n_4774;
-   wire n_4775;
-   wire n_4776;
-   wire n_4777;
-   wire n_4778;
-   wire n_4779;
-   wire n_4780;
-   wire n_4781;
-   wire n_4782;
-   wire n_4783;
-   wire n_4784;
-   wire n_4785;
-   wire n_4786;
-   wire n_4787;
-   wire n_4788;
-   wire n_4789;
-   wire n_4790;
-   wire n_4791;
-   wire n_4792;
-   wire n_4793;
-   wire n_4794;
-   wire n_4795;
-   wire n_4796;
-   wire n_4797;
-   wire n_4798;
-   wire n_4799;
-   wire n_4800;
-   wire n_4801;
-   wire n_4802;
-   wire n_4803;
-   wire n_4804;
-   wire n_4805;
-   wire n_4806;
-   wire n_4807;
-   wire n_4808;
-   wire n_4809;
-   wire n_4810;
-   wire n_4811;
-   wire n_4812;
-   wire n_4813;
-   wire n_4814;
-   wire n_4815;
-   wire n_4816;
-   wire n_4817;
-   wire n_4818;
-   wire n_4819;
-   wire n_4820;
-   wire n_4821;
-   wire n_4822;
-   wire n_4823;
-   wire n_4824;
-   wire n_4825;
-   wire n_4826;
-   wire n_4827;
-   wire n_4828;
-   wire n_4829;
-   wire n_4830;
-   wire n_4831;
-   wire n_4832;
-   wire n_4833;
-   wire n_4834;
-   wire n_4835;
-   wire n_4836;
-   wire n_4837;
-   wire n_4838;
-   wire n_4839;
-   wire n_4840;
-   wire n_4841;
-   wire n_4842;
-   wire n_4843;
-   wire n_4844;
-   wire n_4845;
-   wire n_4846;
-   wire n_4847;
-   wire n_4848;
-   wire n_4849;
-   wire n_4850;
-   wire n_4851;
-   wire n_4852;
-   wire n_4853;
-   wire n_4854;
-   wire n_4855;
-   wire n_4856;
-   wire n_4857;
-   wire n_4858;
-   wire n_4859;
-   wire n_4860;
-   wire n_4861;
-   wire n_4862;
-   wire n_4863;
-   wire n_4864;
-   wire n_4865;
-   wire n_4866;
-   wire n_4867;
-   wire n_4868;
-   wire n_4869;
-   wire n_4870;
-   wire n_4871;
-   wire n_4872;
-   wire n_4873;
-   wire n_4874;
-   wire n_4875;
-   wire n_4876;
-   wire n_4877;
-   wire n_4878;
-   wire n_4879;
-   wire n_4880;
-   wire n_4881;
-   wire n_4882;
-   wire n_4883;
-   wire n_4884;
-   wire n_4885;
-   wire n_4886;
-   wire n_4887;
-   wire n_4888;
-   wire n_4889;
-   wire n_4890;
-   wire n_4891;
-   wire n_4892;
-   wire n_4893;
-   wire n_4894;
-   wire n_4895;
-   wire n_4896;
-   wire n_4897;
-   wire n_4898;
-   wire n_4900;
-   wire n_4901;
-   wire n_4902;
-   wire n_4903;
-   wire n_4904;
-   wire n_4905;
-   wire n_4906;
-   wire n_4907;
-   wire n_4908;
-   wire n_4909;
-   wire n_4910;
-   wire n_4911;
-   wire n_4912;
-   wire n_4913;
-   wire n_4914;
-   wire n_4915;
-   wire n_4916;
-   wire n_4917;
-   wire n_4918;
-   wire n_4919;
-   wire n_4920;
-   wire n_4921;
-   wire n_4922;
-   wire n_4923;
-   wire n_4924;
-   wire n_4925;
-   wire n_4926;
-   wire n_4927;
-   wire n_4928;
-   wire n_4929;
-   wire n_4930;
-   wire n_4931;
-   wire n_4932;
-   wire n_4933;
-   wire n_4934;
-   wire n_4935;
-   wire n_4936;
-   wire n_4937;
-   wire n_4938;
-   wire n_4940;
-   wire n_4941;
-   wire n_4942;
-   wire n_4943;
-   wire n_4944;
-   wire n_4945;
-   wire n_4946;
-   wire n_4947;
-   wire n_4948;
-   wire n_4949;
-   wire n_4950;
-   wire n_4951;
-   wire n_4952;
-   wire n_4953;
-   wire n_4954;
-   wire n_4955;
-   wire n_4956;
-   wire n_4957;
-   wire n_4958;
-   wire n_4959;
-   wire n_4960;
-   wire n_4961;
-   wire n_4962;
-   wire n_4963;
-   wire n_4964;
-   wire n_4965;
-   wire n_4966;
-   wire n_4967;
-   wire n_4968;
-   wire n_4969;
-   wire n_4970;
-   wire n_4971;
-   wire n_4972;
-   wire n_4973;
-   wire n_4974;
-   wire n_4975;
-   wire n_4976;
-   wire n_4977;
-   wire n_4978;
-   wire n_4979;
-   wire n_4980;
-   wire n_4981;
-   wire n_4982;
-   wire n_4983;
-   wire n_4984;
-   wire n_4985;
-   wire n_4986;
-   wire n_4987;
-   wire n_4988;
-   wire n_4989;
-   wire n_4990;
-   wire n_4991;
-   wire n_4992;
-   wire n_4993;
-   wire n_4994;
-   wire n_4995;
-   wire n_4996;
-   wire n_4997;
-   wire n_4998;
-   wire n_4999;
-   wire n_5000;
-   wire n_5001;
-   wire n_5002;
-   wire n_5003;
-   wire n_5004;
-   wire n_5005;
-   wire n_5006;
-   wire n_5007;
-   wire n_5008;
-   wire n_5009;
-   wire n_5010;
-   wire n_5011;
-   wire n_5012;
-   wire n_5013;
-   wire n_5014;
-   wire n_5015;
-   wire n_5016;
-   wire n_5017;
-   wire n_5018;
-   wire n_5019;
-   wire n_5020;
-   wire n_5021;
-   wire n_5022;
-   wire n_5023;
-   wire n_5024;
-   wire n_5025;
-   wire n_5026;
-   wire n_5027;
-   wire n_5028;
-   wire n_5029;
-   wire n_5030;
-   wire n_5031;
-   wire n_5032;
-   wire n_5033;
-   wire n_5034;
-   wire n_5035;
-   wire n_5036;
-   wire n_5037;
-   wire n_5038;
-   wire n_5039;
-   wire n_5040;
-   wire n_5041;
-   wire n_5042;
-   wire n_5043;
-   wire n_5044;
-   wire n_5045;
-   wire n_5046;
-   wire n_5047;
-   wire n_5048;
-   wire n_5049;
-   wire n_5050;
-   wire n_5051;
-   wire n_5052;
-   wire n_5053;
-   wire n_5054;
-   wire n_5055;
-   wire n_5056;
-   wire n_5057;
-   wire n_5058;
-   wire n_5059;
-   wire n_5060;
-   wire n_5061;
-   wire n_5062;
-   wire n_5063;
-   wire n_5064;
-   wire n_5065;
-   wire n_5066;
-   wire n_5067;
-   wire n_5068;
-   wire n_5069;
-   wire n_5070;
-   wire n_5071;
-   wire n_5072;
-   wire n_5073;
-   wire n_5074;
-   wire n_5075;
-   wire n_5076;
-   wire n_5077;
-   wire n_5078;
-   wire n_5079;
-   wire n_5080;
-   wire n_5081;
-   wire n_5082;
-   wire n_5083;
-   wire n_5084;
-   wire n_5085;
-   wire n_5086;
-   wire n_5087;
-   wire n_5088;
-   wire n_5089;
-   wire n_5090;
-   wire n_5091;
-   wire n_5092;
-   wire n_5093;
-   wire n_5094;
-   wire n_5095;
-   wire n_5096;
-   wire n_5097;
-   wire n_5098;
-   wire n_5099;
-   wire n_5100;
-   wire n_5101;
-   wire n_5102;
-   wire n_5103;
-   wire n_5104;
-   wire n_5105;
-   wire n_5106;
-   wire n_5107;
-   wire n_5108;
-   wire n_5109;
-   wire n_5110;
-   wire n_5111;
-   wire n_5112;
-   wire n_5113;
-   wire n_5114;
-   wire n_5115;
-   wire n_5116;
-   wire n_5117;
-   wire n_5118;
-   wire n_5119;
-   wire n_5120;
-   wire n_5121;
-   wire n_5122;
-   wire n_5123;
-   wire n_5124;
-   wire n_5125;
-   wire n_5126;
-   wire n_5127;
-   wire n_5128;
-   wire n_5129;
-   wire n_5130;
-   wire n_5131;
-   wire n_5132;
-   wire n_5133;
-   wire n_5134;
-   wire n_5135;
-   wire n_5136;
-   wire n_5137;
-   wire n_5138;
-   wire n_5139;
-   wire n_5140;
-   wire n_5141;
-   wire n_5142;
-   wire n_5143;
-   wire n_5144;
-   wire n_5145;
-   wire n_5146;
-   wire n_5147;
-   wire n_5148;
-   wire n_5149;
-   wire n_5150;
-   wire n_5151;
-   wire n_5152;
-   wire n_5153;
-   wire n_5154;
-   wire n_5155;
-   wire n_5156;
-   wire n_5157;
-   wire n_5158;
-   wire n_5159;
-   wire n_5160;
-   wire n_5161;
-   wire n_5162;
-   wire n_5163;
-   wire n_5164;
-   wire n_5165;
-   wire n_5166;
-   wire n_5167;
-   wire n_5168;
-   wire n_5169;
-   wire n_5170;
-   wire n_5171;
-   wire n_5172;
-   wire n_5173;
-   wire n_5174;
-   wire n_5175;
-   wire n_5176;
-   wire n_5177;
-   wire n_5178;
-   wire n_5179;
-   wire n_5180;
-   wire n_5181;
-   wire n_5182;
-   wire n_5183;
-   wire n_5184;
-   wire n_5185;
-   wire n_5186;
-   wire n_5187;
-   wire n_5188;
-   wire n_5189;
-   wire n_5190;
-   wire n_5191;
-   wire n_5192;
-   wire n_5193;
-   wire n_5194;
-   wire n_5195;
-   wire n_5196;
-   wire n_5197;
-   wire n_5198;
-   wire n_5199;
-   wire n_5200;
-   wire n_5201;
-   wire n_5202;
-   wire n_5203;
-   wire n_5204;
-   wire n_5205;
-   wire n_5206;
-   wire n_5207;
-   wire n_5208;
-   wire n_5209;
-   wire n_5210;
-   wire n_5211;
-   wire n_5212;
-   wire n_5213;
-   wire n_5214;
-   wire n_5215;
-   wire n_5216;
-   wire n_5217;
-   wire n_5218;
-   wire n_5219;
-   wire n_5220;
-   wire n_5221;
-   wire n_5222;
-   wire n_5223;
-   wire n_5224;
-   wire n_5225;
-   wire n_5226;
-   wire n_5227;
-   wire n_5228;
-   wire n_5229;
-   wire n_5230;
-   wire n_5231;
-   wire n_5232;
-   wire n_5233;
-   wire n_5234;
-   wire n_5235;
-   wire n_5236;
-   wire n_5237;
-   wire n_5238;
-   wire n_5239;
-   wire n_5240;
-   wire n_5241;
-   wire n_5242;
-   wire n_5243;
-   wire n_5244;
-   wire n_5245;
-   wire n_5246;
-   wire n_5247;
-   wire n_5248;
-   wire n_5249;
-   wire n_5250;
-   wire n_5251;
-   wire n_5252;
-   wire n_5253;
-   wire n_5254;
-   wire n_5255;
-   wire n_5256;
-   wire n_5257;
-   wire n_5258;
-   wire n_5259;
-   wire n_5260;
-   wire n_5261;
-   wire n_5262;
-   wire n_5263;
-   wire n_5264;
-   wire n_5265;
-   wire n_5266;
-   wire n_5267;
-   wire n_5268;
-   wire n_5269;
-   wire n_5270;
-   wire n_5271;
-   wire n_5272;
-   wire n_5273;
-   wire n_5274;
-   wire n_5275;
-   wire n_5277;
-   wire n_5278;
-   wire n_5279;
-   wire n_5280;
-   wire n_5281;
-   wire n_5282;
-   wire n_5283;
-   wire n_5284;
-   wire n_5285;
-   wire n_5286;
-   wire n_5287;
-   wire n_5288;
-   wire n_5289;
-   wire n_5290;
-   wire n_5291;
-   wire n_5292;
-   wire n_5293;
-   wire n_5294;
-   wire n_5295;
-   wire n_5296;
-   wire n_5297;
-   wire n_5298;
-   wire n_5299;
-   wire n_5300;
-   wire n_5301;
-   wire n_5302;
-   wire n_5303;
-   wire n_5304;
-   wire n_5305;
-   wire n_5306;
-   wire n_5307;
-   wire n_5308;
-   wire n_5309;
-   wire n_5310;
-   wire n_5311;
-   wire n_5312;
-   wire n_5313;
-   wire n_5314;
-   wire n_5315;
-   wire n_5316;
-   wire n_5317;
-   wire n_5318;
-   wire n_5319;
-   wire n_5320;
-   wire n_5321;
-   wire n_5322;
-   wire n_5323;
-   wire n_5324;
-   wire n_5325;
-   wire n_5326;
-   wire n_5327;
-   wire n_5328;
-   wire n_5329;
-   wire n_5330;
-   wire n_5331;
-   wire n_5332;
-   wire n_5333;
-   wire n_5334;
-   wire n_5335;
-   wire n_5336;
-   wire n_5337;
-   wire n_5338;
-   wire n_5339;
-   wire n_5340;
-   wire n_5341;
-   wire n_5342;
-   wire n_5343;
-   wire n_5344;
-   wire n_5345;
-   wire n_5346;
-   wire n_5347;
-   wire n_5348;
-   wire n_5349;
-   wire n_5350;
-   wire n_5351;
-   wire n_5352;
-   wire n_5353;
-   wire n_5354;
-   wire n_5355;
-   wire n_5356;
-   wire n_5357;
-   wire n_5358;
-   wire n_5359;
-   wire n_5360;
-   wire n_5361;
-   wire n_5362;
-   wire n_5363;
-   wire n_5364;
-   wire n_5365;
-   wire n_5366;
-   wire n_5367;
-   wire n_5368;
-   wire n_5369;
-   wire n_5370;
-   wire n_5371;
-   wire n_5372;
-   wire n_5373;
-   wire n_5374;
-   wire n_5375;
-   wire n_5376;
-   wire n_5377;
-   wire n_5378;
-   wire n_5379;
-   wire n_5380;
-   wire n_5381;
-   wire n_5382;
-   wire n_5383;
-   wire n_5384;
-   wire n_5385;
-   wire n_5386;
-   wire n_5387;
-   wire n_5388;
-   wire n_5389;
-   wire n_5390;
-   wire n_5391;
-   wire n_5392;
-   wire n_5393;
-   wire n_5394;
-   wire n_5395;
-   wire n_5396;
-   wire n_5397;
-   wire n_5398;
-   wire n_5399;
-   wire n_5400;
-   wire n_5401;
-   wire n_5402;
-   wire n_5403;
-   wire n_5404;
-   wire n_5405;
-   wire n_5406;
-   wire n_5407;
-   wire n_5408;
-   wire n_5409;
-   wire n_5410;
-   wire n_5411;
-   wire n_5412;
-   wire n_5413;
-   wire n_5414;
-   wire n_5415;
-   wire n_5416;
-   wire n_5417;
-   wire n_5418;
-   wire n_5419;
-   wire n_5420;
-   wire n_5421;
-   wire n_5422;
-   wire n_5423;
-   wire n_5424;
-   wire n_5425;
-   wire n_5426;
-   wire n_5427;
-   wire n_5428;
-   wire n_5429;
-   wire n_5430;
-   wire n_5431;
-   wire n_5432;
-   wire n_5433;
-   wire n_5434;
-   wire n_5435;
-   wire n_5436;
-   wire n_5437;
-   wire n_5438;
-   wire n_5439;
-   wire n_5440;
-   wire n_5441;
-   wire n_5442;
-   wire n_5443;
-   wire n_5444;
-   wire n_5445;
-   wire n_5446;
-   wire n_5447;
-   wire n_5448;
-   wire n_5449;
-   wire n_5450;
-   wire n_5451;
-   wire n_5452;
-   wire n_5453;
-   wire n_5454;
-   wire n_5455;
-   wire n_5456;
-   wire n_5457;
-   wire n_5458;
-   wire n_5459;
-   wire n_5460;
-   wire n_5461;
-   wire n_5462;
-   wire n_5463;
-   wire n_5464;
-   wire n_5465;
-   wire n_5466;
-   wire n_5467;
-   wire n_5468;
-   wire n_5469;
-   wire n_5470;
-   wire n_5471;
-   wire n_5472;
-   wire n_5473;
-   wire n_5474;
-   wire n_5475;
-   wire n_5476;
-   wire n_5477;
-   wire n_5478;
-   wire n_5479;
-   wire n_5480;
-   wire n_5481;
-   wire n_5482;
-   wire n_5483;
-   wire n_5484;
-   wire n_5485;
-   wire n_5487;
-   wire n_5488;
-   wire n_5489;
-   wire n_5490;
-   wire n_5491;
-   wire n_5492;
-   wire n_5493;
-   wire n_5494;
-   wire n_5495;
-   wire n_5496;
-   wire n_5497;
-   wire n_5498;
-   wire n_5499;
-   wire n_5500;
-   wire n_5501;
-   wire n_5502;
-   wire n_5503;
-   wire n_5504;
-   wire n_5505;
-   wire n_5506;
-   wire n_5507;
-   wire n_5508;
-   wire n_5509;
-   wire n_5510;
-   wire n_5511;
-   wire n_5512;
-   wire n_5513;
-   wire n_5514;
-   wire n_5515;
-   wire n_5516;
-   wire n_5517;
-   wire n_5518;
-   wire n_5519;
-   wire n_5520;
-   wire n_5521;
-   wire n_5522;
-   wire n_5523;
-   wire n_5524;
-   wire n_5525;
-   wire n_5526;
-   wire n_5527;
-   wire n_5528;
-   wire n_5529;
-   wire n_5530;
-   wire n_5531;
-   wire n_5532;
-   wire n_5533;
-   wire n_5534;
-   wire n_5535;
-   wire n_5536;
-   wire n_5537;
-   wire n_5538;
-   wire n_5539;
-   wire n_5540;
-   wire n_5541;
-   wire n_5542;
-   wire n_5543;
-   wire n_5544;
-   wire n_5545;
-   wire n_5546;
-   wire n_5547;
-   wire n_5548;
-   wire n_5549;
-   wire n_5550;
-   wire n_5551;
-   wire n_5552;
-   wire n_5553;
-   wire n_5554;
-   wire n_5555;
-   wire n_5556;
-   wire n_5557;
-   wire n_5558;
-   wire n_5559;
-   wire n_5560;
-   wire n_5561;
-   wire n_5562;
-   wire n_5563;
-   wire n_5564;
-   wire n_5565;
-   wire n_5566;
-   wire n_5567;
-   wire n_5568;
-   wire n_5569;
-   wire n_5570;
-   wire n_5571;
-   wire n_5572;
-   wire n_5573;
-   wire n_5574;
-   wire n_5575;
-   wire n_5576;
-   wire n_5577;
-   wire n_5578;
-   wire n_5579;
-   wire n_5580;
-   wire n_5581;
-   wire n_5582;
-   wire n_5583;
-   wire n_5584;
-   wire n_5585;
-   wire n_5586;
-   wire n_5587;
-   wire n_5588;
-   wire n_5589;
-   wire n_5590;
-   wire n_5591;
-   wire n_5592;
-   wire n_5593;
-   wire n_5594;
-   wire n_5595;
-   wire n_5596;
-   wire n_5597;
-   wire n_5598;
-   wire n_5599;
-   wire n_5600;
-   wire n_5601;
-   wire n_5602;
-   wire n_5603;
-   wire n_5604;
-   wire n_5605;
-   wire n_5606;
-   wire n_5607;
-   wire n_5608;
-   wire n_5609;
-   wire n_5610;
-   wire n_5611;
-   wire n_5612;
-   wire n_5613;
-   wire n_5614;
-   wire n_5615;
-   wire n_5616;
-   wire n_5617;
-   wire n_5618;
-   wire n_5619;
-   wire n_5620;
-   wire n_5621;
-   wire n_5622;
-   wire n_5623;
-   wire n_5624;
-   wire n_5625;
-   wire n_5626;
-   wire n_5627;
-   wire n_5628;
-   wire n_5629;
-   wire n_5630;
-   wire n_5631;
-   wire n_5632;
-   wire n_5633;
-   wire n_5634;
-   wire n_5635;
-   wire n_5636;
-   wire n_5637;
-   wire n_5638;
-   wire n_5639;
-   wire n_5640;
-   wire n_5641;
-   wire n_5642;
-   wire n_5643;
-   wire n_5644;
-   wire n_5645;
-   wire n_5646;
-   wire n_5647;
-   wire n_5648;
-   wire n_5649;
-   wire n_5650;
-   wire n_5651;
-   wire n_5652;
-   wire n_5653;
-   wire n_5654;
-   wire n_5655;
-   wire n_5656;
-   wire n_5657;
-   wire n_5658;
-   wire n_5659;
-   wire n_5660;
-   wire n_5661;
-   wire n_5662;
-   wire n_5663;
-   wire n_5664;
-   wire n_5665;
-   wire n_5666;
-   wire n_5667;
-   wire n_5668;
-   wire n_5669;
-   wire n_5670;
-   wire n_5671;
-   wire n_5672;
-   wire n_5673;
-   wire n_5674;
-   wire n_5675;
-   wire n_5676;
-   wire n_5677;
-   wire n_5678;
-   wire n_5679;
-   wire n_5680;
-   wire n_5681;
-   wire n_5682;
-   wire n_5683;
-   wire n_5684;
-   wire n_5685;
-   wire n_5686;
-   wire n_5687;
-   wire n_5688;
-   wire n_5689;
-   wire n_5690;
-   wire n_5691;
-   wire n_5692;
-   wire n_5693;
-   wire n_5694;
-   wire n_5695;
-   wire n_5696;
-   wire n_5697;
-   wire n_5698;
-   wire n_5699;
-   wire n_5700;
-   wire n_5701;
-   wire n_5702;
-   wire n_5703;
-   wire n_5704;
-   wire n_5705;
-   wire n_5706;
-   wire n_5707;
-   wire n_5708;
-   wire n_5709;
-   wire n_5710;
-   wire n_5711;
-   wire n_5712;
-   wire n_5713;
-   wire n_5714;
-   wire n_5715;
-   wire n_5716;
-   wire n_5717;
-   wire n_5718;
-   wire n_5719;
-   wire n_5720;
-   wire n_5721;
-   wire n_5722;
-   wire n_5723;
-   wire n_5724;
-   wire n_5725;
-   wire n_5726;
-   wire n_5727;
-   wire n_5728;
-   wire n_5729;
-   wire n_5730;
-   wire n_5731;
-   wire n_5732;
-   wire n_5733;
-   wire n_5734;
-   wire n_5735;
-   wire n_5736;
-   wire n_5737;
-   wire n_5738;
-   wire n_5739;
-   wire n_5740;
-   wire n_5741;
-   wire n_5742;
-   wire n_5743;
-   wire n_5744;
-   wire n_5745;
-   wire n_5746;
-   wire n_5747;
-   wire n_5748;
-   wire n_5749;
-   wire n_5750;
-   wire n_5751;
-   wire n_5752;
-   wire n_5753;
-   wire n_5754;
-   wire n_5755;
-   wire n_5756;
-   wire n_5757;
-   wire n_5758;
-   wire n_5759;
-   wire n_5760;
-   wire n_5761;
-   wire n_5762;
-   wire n_5763;
-   wire n_5764;
-   wire n_5765;
-   wire n_5766;
-   wire n_5767;
-   wire n_5768;
-   wire n_5769;
-   wire n_5770;
-   wire n_5771;
-   wire n_5772;
-   wire n_5773;
-   wire n_5774;
-   wire n_5775;
-   wire n_5776;
-   wire n_5777;
-   wire n_5778;
-   wire n_5779;
-   wire n_5780;
-   wire n_5781;
-   wire n_5782;
-   wire n_5783;
-   wire n_5784;
-   wire n_5785;
-   wire n_5786;
-   wire n_5787;
-   wire n_5788;
-   wire n_5789;
-   wire n_5790;
-   wire n_5791;
-   wire n_5792;
-   wire n_5793;
-   wire n_5794;
-   wire n_5795;
-   wire n_5796;
-   wire n_5797;
-   wire n_5798;
-   wire n_5799;
-   wire n_5800;
-   wire n_5801;
-   wire n_5802;
-   wire n_5803;
-   wire n_5804;
-   wire n_5805;
-   wire n_5806;
-   wire n_5807;
-   wire n_5808;
-   wire n_5809;
-   wire n_5810;
-   wire n_5811;
-   wire n_5812;
-   wire n_5813;
-   wire n_5814;
-   wire n_5815;
-   wire n_5816;
-   wire n_5817;
-   wire n_5818;
-   wire n_5819;
-   wire n_5820;
-   wire n_5821;
-   wire n_5822;
-   wire n_5823;
-   wire n_5824;
-   wire n_5825;
-   wire n_5826;
-   wire n_5827;
-   wire n_5828;
-   wire n_5829;
-   wire n_5830;
-   wire n_5831;
-   wire n_5832;
-   wire n_5833;
-   wire n_5834;
-   wire n_5835;
-   wire n_5836;
-   wire n_5837;
-   wire n_5838;
-   wire n_5839;
-   wire n_5840;
-   wire n_5841;
-   wire n_5842;
-   wire n_5843;
-   wire n_5844;
-   wire n_5845;
-   wire n_5846;
-   wire n_5847;
-   wire n_5848;
-   wire n_5849;
-   wire n_5850;
-   wire n_5851;
-   wire n_5852;
-   wire n_5853;
-   wire n_5854;
-   wire n_5855;
-   wire n_5856;
-   wire n_5857;
-   wire n_5858;
-   wire n_5859;
-   wire n_5860;
-   wire n_5861;
-   wire n_5862;
-   wire n_5863;
-   wire n_5864;
-   wire n_5865;
-   wire n_5866;
-   wire n_5867;
-   wire n_5868;
-   wire n_5869;
-   wire n_5870;
-   wire n_5871;
-   wire n_5872;
-   wire n_5873;
-   wire n_5874;
-   wire n_5875;
-   wire n_5876;
-   wire n_5877;
-   wire n_5878;
-   wire n_5879;
-   wire n_5880;
-   wire n_5881;
-   wire n_5882;
-   wire n_5883;
-   wire n_5884;
-   wire n_5885;
-   wire n_5886;
-   wire n_5887;
-   wire n_5888;
-   wire n_5889;
-   wire n_5890;
-   wire n_5891;
-   wire n_5892;
-   wire n_5893;
-   wire n_5894;
-   wire n_5895;
-   wire n_5896;
-   wire n_5897;
-   wire n_5898;
-   wire n_5899;
-   wire n_5900;
-   wire n_5901;
-   wire n_5902;
-   wire n_5903;
-   wire n_5904;
-   wire n_5905;
-   wire n_5906;
-   wire n_5907;
-   wire n_5908;
-   wire n_5909;
-   wire n_5910;
-   wire n_5911;
-   wire n_5912;
-   wire n_5913;
-   wire n_5914;
-   wire n_5915;
-   wire n_5916;
-   wire n_5917;
-   wire n_5918;
-   wire n_5919;
-   wire n_5920;
-   wire n_5921;
-   wire n_5922;
-   wire n_5923;
-   wire n_5924;
-   wire n_5925;
-   wire n_5926;
-   wire n_5927;
-   wire n_5928;
-   wire n_5929;
-   wire n_5930;
-   wire n_5931;
-   wire n_5932;
-   wire n_5933;
-   wire n_5934;
-   wire n_5935;
-   wire n_5936;
-   wire n_5937;
-   wire n_5938;
-   wire n_5939;
-   wire n_5940;
-   wire n_5941;
-   wire n_5942;
-   wire n_5943;
-   wire n_5944;
-   wire n_5945;
-   wire n_5946;
-   wire n_5947;
-   wire n_5948;
-   wire n_5949;
-   wire n_5950;
-   wire n_5951;
-   wire n_5952;
-   wire n_5953;
-   wire n_5954;
-   wire n_5955;
-   wire n_5956;
-   wire n_5957;
-   wire n_5958;
-   wire n_5959;
-   wire n_5960;
-   wire n_5961;
-   wire n_5962;
-   wire n_5963;
-   wire n_5964;
-   wire n_5965;
-   wire n_5966;
-   wire n_5967;
-   wire n_5968;
-   wire n_5969;
-   wire n_5970;
-   wire n_5971;
-   wire n_5972;
-   wire n_5973;
-   wire n_5974;
-   wire n_5975;
-   wire n_5976;
-   wire n_5977;
-   wire n_5978;
-   wire n_5979;
-   wire n_5980;
-   wire n_5981;
-   wire n_5982;
-   wire n_5983;
-   wire n_5984;
-   wire n_5985;
-   wire n_5986;
-   wire n_5987;
-   wire n_5988;
-   wire n_5989;
-   wire n_5990;
-   wire n_5991;
-   wire n_5992;
-   wire n_5993;
-   wire n_5994;
-   wire n_5995;
-   wire n_5996;
-   wire n_5997;
-   wire n_5998;
-   wire n_5999;
-   wire n_6000;
-   wire n_6001;
-   wire n_6002;
-   wire n_6003;
-   wire n_6004;
-   wire n_6005;
-   wire n_6006;
-   wire n_6007;
-   wire n_6008;
-   wire n_6009;
-   wire n_6010;
-   wire n_6011;
-   wire n_6012;
-   wire n_6013;
-   wire n_6014;
-   wire n_6015;
-   wire n_6016;
-   wire n_6017;
-   wire n_6018;
-   wire n_6019;
-   wire n_6020;
-   wire n_6021;
-   wire n_6022;
-   wire n_6023;
-   wire n_6024;
-   wire n_6025;
-   wire n_6026;
-   wire n_6027;
-   wire n_6028;
-   wire n_6029;
-   wire n_6030;
-   wire n_6031;
-   wire n_6032;
-   wire n_6033;
-   wire n_6034;
-   wire n_6035;
-   wire n_6036;
-   wire n_6037;
-   wire n_6038;
-   wire n_6039;
-   wire n_6040;
-   wire n_6041;
-   wire n_6042;
-   wire n_6043;
-   wire n_6044;
-   wire n_6045;
-   wire n_6046;
-   wire n_6047;
-   wire n_6048;
-   wire n_6049;
-   wire n_6050;
-   wire n_6051;
-   wire n_6052;
-   wire n_6053;
-   wire n_6054;
-   wire n_6055;
-   wire n_6056;
-   wire n_6057;
-   wire n_6058;
-   wire n_6059;
-   wire n_6060;
-   wire n_6061;
-   wire n_6062;
-   wire n_6063;
-   wire n_6064;
-   wire n_6065;
-   wire n_6066;
-   wire n_6067;
-   wire n_6068;
-   wire n_6069;
-   wire n_6070;
-   wire n_6071;
-   wire n_6072;
-   wire n_6073;
-   wire n_6074;
-   wire n_6075;
-   wire n_6076;
-   wire n_6077;
-   wire n_6078;
-   wire n_6079;
-   wire n_6080;
-   wire n_6081;
-   wire n_6082;
-   wire n_6083;
-   wire n_6084;
-   wire n_6085;
-   wire n_6086;
-   wire n_6087;
-   wire n_6088;
-   wire n_6089;
-   wire n_6090;
-   wire n_6091;
-   wire n_6092;
-   wire n_6093;
-   wire n_6094;
-   wire n_6095;
-   wire n_6096;
-   wire n_6097;
-   wire n_6098;
-   wire n_6099;
-   wire n_6100;
-   wire n_6101;
-   wire n_6102;
-   wire n_6103;
-   wire n_6104;
-   wire n_6105;
-   wire n_6106;
-   wire n_6107;
-   wire n_6108;
-   wire n_6109;
-   wire n_6110;
-   wire n_6111;
-   wire n_6112;
-   wire n_6113;
-   wire n_6114;
-   wire n_6115;
-   wire n_6116;
-   wire n_6117;
-   wire n_6118;
-   wire n_6119;
-   wire n_6120;
-   wire n_6121;
-   wire n_6122;
-   wire n_6123;
-   wire n_6124;
-   wire n_6125;
-   wire n_6126;
-   wire n_6127;
-   wire n_6128;
-   wire n_6129;
-   wire n_6130;
-   wire n_6131;
-   wire n_6132;
-   wire n_6133;
-   wire n_6134;
-   wire n_6135;
-   wire n_6136;
-   wire n_6137;
-   wire n_6138;
-   wire n_6139;
-   wire n_6140;
-   wire n_6141;
-   wire n_6142;
-   wire n_6143;
-   wire n_6144;
-   wire n_6145;
-   wire n_6146;
-   wire n_6147;
-   wire n_6148;
-   wire n_6149;
-   wire n_6150;
-   wire n_6151;
-   wire n_6152;
-   wire n_6153;
-   wire n_6154;
-   wire n_6155;
-   wire n_6156;
-   wire n_6157;
-   wire n_6158;
-   wire n_6159;
-   wire n_6160;
-   wire n_6161;
-   wire n_6162;
-   wire n_6163;
-   wire n_6164;
-   wire n_6165;
-   wire n_6166;
-   wire n_6167;
-   wire n_6168;
-   wire n_6169;
-   wire n_6170;
-   wire n_6171;
-   wire n_6172;
-   wire n_6173;
-   wire n_6174;
-   wire n_6175;
-   wire n_6176;
-   wire n_6177;
-   wire n_6178;
-   wire n_6179;
-   wire n_6180;
-   wire n_6181;
-   wire n_6182;
-   wire n_6183;
-   wire n_6184;
-   wire n_6185;
-   wire n_6186;
-   wire n_6187;
-   wire n_6188;
-   wire n_6189;
-   wire n_6190;
-   wire n_6191;
-   wire n_6192;
-   wire n_6193;
-   wire n_6194;
-   wire n_6195;
-   wire n_6196;
-   wire n_6197;
-   wire n_6198;
-   wire n_6199;
-   wire n_6200;
-   wire n_6201;
-   wire n_6202;
-   wire n_6203;
-   wire n_6204;
-   wire n_6205;
-   wire n_6206;
-   wire n_6207;
-   wire n_6208;
-   wire n_6209;
-   wire n_6210;
-   wire n_6211;
-   wire n_6212;
-   wire n_6213;
-   wire n_6214;
-   wire n_6215;
-   wire n_6216;
-   wire n_6217;
-   wire n_6218;
-   wire n_6219;
-   wire n_6220;
-   wire n_6221;
-   wire n_6222;
-   wire n_6223;
-   wire n_6224;
-   wire n_6225;
-   wire n_6226;
-   wire n_6227;
-   wire n_6228;
-   wire n_6229;
-   wire n_6230;
-   wire n_6231;
-   wire n_6232;
-   wire n_6233;
-   wire n_6234;
-   wire n_6235;
-   wire n_6236;
-   wire n_6237;
-   wire n_6238;
-   wire n_6239;
-   wire n_6240;
-   wire n_6241;
-   wire n_6242;
-   wire n_6243;
-   wire n_6244;
-   wire n_6245;
-   wire n_6246;
-   wire n_6247;
-   wire n_6248;
-   wire n_6249;
-   wire n_6250;
-   wire n_6251;
-   wire n_6252;
-   wire n_6253;
-   wire n_6254;
-   wire n_6255;
-   wire n_6256;
-   wire n_6257;
-   wire n_6258;
-   wire n_6259;
-   wire n_6260;
-   wire n_6261;
-   wire n_6262;
-   wire n_6263;
-   wire n_6264;
-   wire n_6265;
-   wire n_6266;
-   wire n_6267;
-   wire n_6268;
-   wire n_6269;
-   wire n_6270;
-   wire n_6271;
-   wire n_6272;
-   wire n_6273;
-   wire n_6274;
-   wire n_6275;
-   wire n_6276;
-   wire n_6277;
-   wire n_6278;
-   wire n_6279;
-   wire n_6280;
-   wire n_6281;
-   wire n_6282;
-   wire n_6283;
-   wire n_6284;
-   wire n_6285;
-   wire n_6286;
-   wire n_6287;
-   wire n_6288;
-   wire n_6289;
-   wire n_6290;
-   wire n_6291;
-   wire n_6292;
-   wire n_6293;
-   wire n_6294;
-   wire n_6295;
-   wire n_6296;
-   wire n_6297;
-   wire n_6298;
-   wire n_6299;
-   wire n_6300;
-   wire n_6301;
-   wire n_6302;
-   wire n_6303;
-   wire n_6304;
-   wire n_6305;
-   wire n_6306;
-   wire n_6307;
-   wire n_6308;
-   wire n_6309;
-   wire n_6310;
-   wire n_6311;
-   wire n_6312;
-   wire n_6313;
-   wire n_6314;
-   wire n_6315;
-   wire n_6316;
-   wire n_6317;
-   wire n_6318;
-   wire n_6319;
-   wire n_6320;
-   wire n_6321;
-   wire n_6322;
-   wire n_6323;
-   wire n_6324;
-   wire n_6325;
-   wire n_6326;
-   wire n_6327;
-   wire n_6328;
-   wire n_6329;
-   wire n_6330;
-   wire n_6331;
-   wire n_6332;
-   wire n_6333;
-   wire n_6334;
-   wire n_6335;
-   wire n_6336;
-   wire n_6337;
-   wire n_6338;
-   wire n_6339;
-   wire n_6340;
-   wire n_6341;
-   wire n_6342;
-   wire n_6343;
-   wire n_6344;
-   wire n_6345;
-   wire n_6346;
-   wire n_6347;
-   wire n_6348;
-   wire n_6349;
-   wire n_6350;
-   wire n_6351;
-   wire n_6352;
-   wire n_6353;
-   wire n_6354;
-   wire n_6355;
-   wire n_6356;
-   wire n_6357;
-   wire n_6358;
-   wire n_6359;
-   wire n_6360;
-   wire n_6361;
-   wire n_6362;
-   wire n_6363;
-   wire n_6364;
-   wire n_6365;
-   wire n_6366;
-   wire n_6367;
-   wire n_6368;
-   wire n_6369;
-   wire n_6370;
-   wire n_6371;
-   wire n_6372;
-   wire n_6373;
-   wire n_6374;
-   wire n_6375;
-   wire n_6376;
-   wire n_6377;
-   wire n_6378;
-   wire n_6379;
-   wire n_6380;
-   wire n_6381;
-   wire n_6382;
-   wire n_6383;
-   wire n_6384;
-   wire n_6385;
-   wire n_6386;
-   wire n_6387;
-   wire n_6388;
-   wire n_6389;
-   wire n_6390;
-   wire n_6391;
-   wire n_6392;
-   wire n_6393;
-   wire n_6394;
-   wire n_6395;
-   wire n_6396;
-   wire n_6397;
-   wire n_6398;
-   wire n_6399;
-   wire n_6400;
-   wire n_6401;
-   wire n_6402;
-   wire n_6403;
-   wire n_6404;
-   wire n_6405;
-   wire n_6406;
-   wire n_6407;
-   wire n_6408;
-   wire n_6409;
-   wire n_6410;
-   wire n_6411;
-   wire n_6412;
-   wire n_6413;
-   wire n_6414;
-   wire n_6415;
-   wire n_6416;
-   wire n_6417;
-   wire n_6418;
-   wire n_6419;
-   wire n_6420;
-   wire n_6421;
-   wire n_6422;
-   wire n_6423;
-   wire n_6424;
-   wire n_6425;
-   wire n_6426;
-   wire n_6427;
-   wire n_6428;
-   wire n_6429;
-   wire n_6430;
-   wire n_6431;
-   wire n_6432;
-   wire n_6433;
-   wire n_6434;
-   wire n_6435;
-   wire n_6436;
-   wire n_6437;
-   wire n_6438;
-   wire n_6439;
-   wire n_6440;
-   wire n_6441;
-   wire n_6442;
-   wire n_6443;
-   wire n_6444;
-   wire n_6445;
-   wire n_6446;
-   wire n_6447;
-   wire n_6448;
-   wire n_6449;
-   wire n_6450;
-   wire n_6451;
-   wire n_6452;
-   wire n_6453;
-   wire n_6454;
-   wire n_6455;
-   wire n_6456;
-   wire n_6457;
-   wire n_6458;
-   wire n_6459;
-   wire n_6460;
-   wire n_6461;
-   wire n_6462;
-   wire n_6463;
-   wire n_6464;
-   wire n_6465;
-   wire n_6466;
-   wire n_6467;
-   wire n_6468;
-   wire n_6469;
-   wire n_6470;
-   wire n_6471;
-   wire n_6472;
-   wire n_6473;
-   wire n_6474;
-   wire n_6475;
-   wire n_6476;
-   wire n_6477;
-   wire n_6478;
-   wire n_6479;
-   wire n_6480;
-   wire n_6481;
-   wire n_6482;
-   wire n_6483;
-   wire n_6484;
-   wire n_6485;
-   wire n_6486;
-   wire n_6487;
-   wire n_6488;
-   wire n_6489;
-   wire n_6490;
-   wire n_6491;
-   wire n_6492;
-   wire n_6493;
-   wire n_6494;
-   wire n_6495;
-   wire n_6496;
-   wire n_6497;
-   wire n_6498;
-   wire n_6499;
-   wire n_6500;
-   wire n_6501;
-   wire n_6502;
-   wire n_6503;
-   wire n_6504;
-   wire n_6505;
-   wire n_6506;
-   wire n_6507;
-   wire n_6508;
-   wire n_6509;
-   wire n_6510;
-   wire n_6511;
-   wire n_6512;
-   wire n_6513;
-   wire n_6514;
-   wire n_6515;
-   wire n_6516;
-   wire n_6517;
-   wire n_6518;
-   wire n_6519;
-   wire n_6520;
-   wire n_6521;
-   wire n_6522;
-   wire n_6523;
-   wire n_6524;
-   wire n_6525;
-   wire n_6526;
-   wire n_6527;
-   wire n_6528;
-   wire n_6529;
-   wire n_6530;
-   wire n_6531;
-   wire n_6532;
-   wire n_6533;
-   wire n_6534;
-   wire n_6535;
-   wire n_6536;
-   wire n_6537;
-   wire n_6538;
-   wire n_6539;
-   wire n_6540;
-   wire n_6541;
-   wire n_6542;
-   wire n_6543;
-   wire n_6544;
-   wire n_6545;
-   wire n_6546;
-   wire n_6547;
-   wire n_6548;
-   wire n_6549;
-   wire n_6550;
-   wire n_6551;
-   wire n_6552;
-   wire n_6553;
-   wire n_6554;
-   wire n_6555;
-   wire n_6556;
-   wire n_6557;
-   wire n_6558;
-   wire n_6559;
-   wire n_6560;
-   wire n_6561;
-   wire n_6562;
-   wire n_6563;
-   wire n_6564;
-   wire n_6565;
-   wire n_6566;
-   wire n_6567;
-   wire n_6568;
-   wire n_6569;
-   wire n_6570;
-   wire n_6571;
-   wire n_6572;
-   wire n_6573;
-   wire n_6574;
-   wire n_6575;
-   wire n_6576;
-   wire n_6577;
-   wire n_6578;
-   wire n_6579;
-   wire n_6580;
-   wire n_6581;
-   wire n_6582;
-   wire n_6583;
-   wire n_6584;
-   wire n_6585;
-   wire n_6586;
-   wire n_6587;
-   wire n_6588;
-   wire n_6589;
-   wire n_6590;
-   wire n_6591;
-   wire n_6592;
-   wire n_6593;
-   wire n_6594;
-   wire n_6595;
-   wire n_6596;
-   wire n_6597;
-   wire n_6598;
-   wire n_6599;
-   wire n_6600;
-   wire n_6601;
-   wire n_6602;
-   wire n_6603;
-   wire n_6604;
-   wire n_6605;
-   wire n_6606;
-   wire n_6607;
-   wire n_6608;
-   wire n_6609;
-   wire n_6610;
-   wire n_6611;
-   wire n_6612;
-   wire n_6613;
-   wire n_6614;
-   wire n_6615;
-   wire n_6616;
-   wire n_6617;
-   wire n_6618;
-   wire n_6619;
-   wire n_6620;
-   wire n_6621;
-   wire n_6622;
-   wire n_6623;
-   wire n_6624;
-   wire n_6625;
-   wire n_6626;
-   wire n_6627;
-   wire n_6628;
-   wire n_6629;
-   wire n_6630;
-   wire n_6631;
-   wire n_6632;
-   wire n_6633;
-   wire n_6634;
-   wire n_6635;
-   wire n_6636;
-   wire n_6637;
-   wire n_6638;
-   wire n_6639;
-   wire n_6640;
-   wire n_6641;
-   wire n_6642;
-   wire n_6643;
-   wire n_6644;
-   wire n_6645;
-   wire n_6646;
-   wire n_6647;
-   wire n_6648;
-   wire n_6649;
-   wire n_6650;
-   wire n_6651;
-   wire n_6652;
-   wire n_6653;
-   wire n_6654;
-   wire n_6655;
-   wire n_6656;
-   wire n_6657;
-   wire n_6658;
-   wire n_6659;
-   wire n_6660;
-   wire n_6661;
-   wire n_6662;
-   wire n_6663;
-   wire n_6664;
-   wire n_6665;
-   wire n_6666;
-   wire n_6667;
-   wire n_6668;
-   wire n_6669;
-   wire n_6670;
-   wire n_6671;
-   wire n_6672;
-   wire n_6673;
-   wire n_6674;
-   wire n_6675;
-   wire n_6676;
-   wire n_6677;
-   wire n_6678;
-   wire n_6679;
-   wire n_6680;
-   wire n_6681;
-   wire n_6682;
-   wire n_6683;
-   wire n_6684;
-   wire n_6685;
-   wire n_6686;
-   wire n_6687;
-   wire n_6688;
-   wire n_6689;
-   wire n_6690;
-   wire n_6691;
-   wire n_6692;
-   wire n_6693;
-   wire n_6694;
-   wire n_6695;
-   wire n_6696;
-   wire n_6697;
-   wire n_6698;
-   wire n_6699;
-   wire n_6700;
-   wire n_6701;
-   wire n_6702;
-   wire n_6703;
-   wire n_6704;
-   wire n_6705;
-   wire n_6706;
-   wire n_6707;
-   wire n_6708;
-   wire n_6709;
-   wire n_6710;
-   wire n_6711;
-   wire n_6712;
-   wire n_6713;
-   wire n_6714;
-   wire n_6715;
-   wire n_6716;
-   wire n_6717;
-   wire n_6718;
-   wire n_6719;
-   wire n_6720;
-   wire n_6721;
-   wire n_6722;
-   wire n_6723;
-   wire n_6724;
-   wire n_6725;
-   wire n_6726;
-   wire n_6727;
-   wire n_6728;
-   wire n_6729;
-   wire n_6730;
-   wire n_6731;
-   wire n_6732;
-   wire n_6733;
-   wire n_6734;
-   wire n_6735;
-   wire n_6736;
-   wire n_6737;
-   wire n_6738;
-   wire n_6739;
-   wire n_6740;
-   wire n_6741;
-   wire n_6742;
-   wire n_6743;
-   wire n_6744;
-   wire n_6745;
-   wire n_6746;
-   wire n_6747;
-   wire n_6748;
-   wire n_6749;
-   wire n_6750;
-   wire n_6751;
-   wire n_6752;
-   wire n_6753;
-   wire n_6754;
-   wire n_6755;
-   wire n_6756;
-   wire n_6757;
-   wire n_6758;
-   wire n_6759;
-   wire n_6760;
-   wire n_6761;
-   wire n_6762;
-   wire n_6763;
-   wire n_6764;
-   wire n_6765;
-   wire n_6766;
-   wire n_6767;
-   wire n_6768;
-   wire n_6769;
-   wire n_6770;
-   wire n_6771;
-   wire n_6772;
-   wire n_6773;
-   wire n_6774;
-   wire n_6775;
-   wire n_6776;
-   wire n_6777;
-   wire n_6778;
-   wire n_6779;
-   wire n_6780;
-   wire n_6781;
-   wire n_6782;
-   wire n_6783;
-   wire n_6784;
-   wire n_6785;
-   wire n_6786;
-   wire n_6787;
-   wire n_6788;
-   wire n_6789;
-   wire n_6790;
-   wire n_6791;
-   wire n_6792;
-   wire n_6793;
-   wire n_6794;
-   wire n_6795;
-   wire n_6796;
-   wire n_6797;
-   wire n_6798;
-   wire n_6799;
-   wire n_6800;
-   wire n_6801;
-   wire n_6802;
-   wire n_6803;
-   wire n_6804;
-   wire n_6805;
-   wire n_6806;
-   wire n_6807;
-   wire n_6808;
-   wire n_6809;
-   wire n_6810;
-   wire n_6811;
-   wire n_6812;
-   wire n_6813;
-   wire n_6815;
-   wire n_6816;
-   wire n_6817;
-   wire n_6818;
-   wire n_6819;
-   wire n_6820;
-   wire n_6821;
-   wire n_6822;
-   wire n_6823;
-   wire n_6824;
-   wire n_6825;
-   wire n_6826;
-   wire n_6827;
-   wire n_6828;
-   wire n_6829;
-   wire n_6830;
-   wire n_6831;
-   wire n_6832;
-   wire n_6833;
-   wire n_6834;
-   wire n_6835;
-   wire n_6836;
-   wire n_6837;
-   wire n_6838;
-   wire n_6840;
-   wire n_6841;
-   wire n_6842;
-   wire n_6843;
-   wire n_6844;
-   wire n_6845;
-   wire n_6846;
-   wire n_6847;
-   wire n_6848;
-   wire n_6849;
-   wire n_6850;
-   wire n_6851;
-   wire n_6853;
-   wire n_6854;
-   wire n_6855;
-   wire n_6856;
-   wire n_6858;
-   wire n_6859;
-   wire n_6860;
-   wire n_6862;
-   wire n_6863;
-   wire n_6864;
-   wire n_6865;
-   wire n_6866;
-   wire n_6867;
-   wire n_6868;
-   wire n_6869;
-   wire n_6870;
-   wire n_6871;
-   wire n_6872;
-   wire n_6873;
-   wire n_6874;
-   wire n_6875;
-   wire n_6877;
-   wire n_6878;
-   wire n_6879;
-   wire n_6880;
-   wire n_6881;
-   wire n_6882;
-   wire n_6884;
-   wire n_6885;
-   wire n_6893;
-   wire n_6898;
-   wire n_6899;
-   wire n_6902;
-   wire n_6903;
-   wire n_6904;
-   wire n_6906;
-   wire n_6907;
-   wire n_6908;
-   wire n_6909;
-   wire n_6910;
-   wire n_6911;
-   wire n_6912;
-   wire n_6913;
-   wire n_6914;
-   wire n_6915;
-   wire n_6917;
-   wire n_6918;
-   wire n_6920;
-   wire n_6921;
-   wire n_6922;
-   wire n_6923;
-   wire n_6924;
-   wire n_6925;
-   wire n_6926;
-   wire n_6927;
-   wire n_6928;
-   wire n_6929;
-   wire n_6930;
-   wire n_6931;
-   wire n_6932;
-   wire n_6933;
-   wire n_6934;
-   wire n_6935;
-   wire n_6936;
-   wire n_6937;
-   wire n_6939;
-   wire n_6941;
-   wire n_6943;
-   wire n_6945;
-   wire n_6946;
-   wire n_6947;
-   wire n_6948;
-   wire n_6949;
-   wire n_6951;
-   wire n_6954;
-   wire n_6955;
-   wire n_6956;
-   wire n_6957;
-   wire n_6958;
-   wire n_6959;
-   wire n_6960;
-   wire n_6961;
-   wire n_6962;
-   wire n_6963;
-   wire n_6964;
-   wire n_6965;
-   wire n_6966;
-   wire n_6967;
-   wire n_6968;
-   wire n_6969;
-   wire n_6970;
-   wire n_6971;
-   wire n_6973;
-   wire n_6974;
-   wire n_6975;
-   wire n_6976;
-   wire n_6977;
-   wire n_6978;
-   wire n_6979;
-   wire n_6980;
-   wire n_6981;
-   wire n_6982;
-   wire n_6983;
-   wire n_6986;
-   wire n_6987;
-   wire n_6988;
-   wire n_6989;
-   wire n_6992;
-   wire n_6994;
-   wire n_6995;
-   wire n_6996;
-   wire n_6998;
-   wire n_6999;
-   wire n_7000;
-   wire n_7001;
-   wire n_7002;
-   wire n_7003;
-   wire n_7005;
-   wire n_7006;
-   wire n_7009;
-   wire n_7013;
-   wire n_7015;
-   wire n_7016;
-   wire n_7018;
-   wire n_7019;
-   wire n_7020;
-   wire n_7021;
-   wire n_7022;
-   wire n_7023;
-   wire n_7024;
-   wire n_7025;
-   wire n_7026;
-   wire n_7027;
-   wire n_7028;
-   wire n_7029;
-   wire n_7030;
-   wire n_7031;
-   wire n_7032;
-   wire n_7033;
-   wire n_7034;
-   wire n_7035;
-   wire n_7036;
-   wire n_7037;
-   wire n_7038;
-   wire n_7039;
-   wire n_7040;
-   wire n_7041;
-   wire n_7042;
-   wire n_7043;
-   wire n_7044;
-   wire n_7045;
-   wire n_7046;
-   wire n_7047;
-   wire n_7048;
-   wire n_7049;
-   wire n_7050;
-   wire n_7051;
-   wire n_7052;
-   wire n_7053;
-   wire n_7054;
-   wire n_7055;
-   wire n_7056;
-   wire n_7057;
-   wire n_7058;
-   wire n_7059;
-   wire n_7060;
-   wire n_7061;
-   wire n_7062;
-   wire n_7063;
-   wire n_7064;
-   wire n_7065;
-   wire n_7066;
-   wire n_7067;
-   wire n_7069;
-   wire n_7070;
-   wire n_7071;
-   wire n_7072;
-   wire n_7073;
-   wire n_7074;
-   wire n_7075;
-   wire n_7076;
-   wire n_7077;
-   wire n_7078;
-   wire n_7079;
-   wire n_7080;
-   wire n_7081;
-   wire n_7082;
-   wire n_7083;
-   wire n_7084;
-   wire n_7085;
-   wire n_7086;
-   wire n_7087;
-   wire n_7088;
-   wire n_7089;
-   wire n_7090;
-   wire n_7091;
-   wire n_7092;
-   wire n_7093;
-   wire n_7094;
-   wire n_7095;
-   wire n_7096;
-   wire n_7097;
-   wire n_7098;
-   wire n_7099;
-   wire n_7100;
-   wire n_7101;
-   wire n_7102;
-   wire n_7103;
-   wire n_7104;
-   wire n_7105;
-   wire n_7106;
-   wire n_7107;
-   wire n_7108;
-   wire n_7109;
-   wire n_7110;
-   wire n_7111;
-   wire n_7112;
-   wire n_7113;
-   wire n_7114;
-   wire n_7115;
-   wire n_7116;
-   wire n_7117;
-   wire n_7118;
-   wire n_7119;
-   wire n_7120;
-   wire n_7121;
-   wire n_7123;
-   wire n_7124;
-   wire n_7125;
-   wire n_7126;
-   wire n_7127;
-   wire n_7128;
-   wire n_7129;
-   wire n_7130;
-   wire n_7131;
-   wire n_7132;
-   wire n_7133;
-   wire n_7134;
-   wire n_7135;
-   wire n_7136;
-   wire n_7137;
-   wire n_7138;
-   wire n_7139;
-   wire n_7140;
-   wire n_7141;
-   wire n_7143;
-   wire n_7144;
-   wire n_7145;
-   wire n_7146;
-   wire n_7147;
-   wire n_7148;
-   wire n_7149;
-   wire n_7150;
-   wire n_7151;
-   wire n_7152;
-   wire n_7153;
-   wire n_7154;
-   wire n_7155;
-   wire n_7156;
-   wire n_7157;
-   wire n_7158;
-   wire n_7159;
-   wire n_7160;
-   wire n_7161;
-   wire n_7162;
-   wire n_7163;
-   wire n_7164;
-   wire n_7165;
-   wire n_7166;
-   wire n_7167;
-   wire n_7168;
-   wire n_7169;
-   wire n_7170;
-   wire n_7171;
-   wire n_7172;
-   wire n_7173;
-   wire n_7174;
-   wire n_7175;
-   wire n_7176;
-   wire n_7177;
-   wire n_7178;
-   wire n_7179;
-   wire n_7180;
-   wire n_7181;
-   wire n_7182;
-   wire n_7183;
-   wire n_7184;
-   wire n_7185;
-   wire n_7187;
-   wire n_7188;
-   wire n_7189;
-   wire n_7190;
-   wire n_7191;
-   wire n_7192;
-   wire n_7193;
-   wire n_7194;
-   wire n_7195;
-   wire n_7196;
-   wire n_7197;
-   wire n_7198;
-   wire n_7199;
-   wire n_7200;
-   wire n_7201;
-   wire n_7202;
-   wire n_7203;
-   wire n_7204;
-   wire n_7205;
-   wire n_7206;
-   wire n_7207;
-   wire n_7208;
-   wire n_7209;
-   wire n_7210;
-   wire n_7211;
-   wire n_7212;
-   wire n_7213;
-   wire n_7214;
-   wire n_7215;
-   wire n_7216;
-   wire n_7217;
-   wire n_7218;
-   wire n_7219;
-   wire n_7220;
-   wire n_7221;
-   wire n_7222;
-   wire n_7223;
-   wire n_7224;
-   wire n_7225;
-   wire n_7226;
-   wire n_7227;
-   wire n_7228;
-   wire n_7229;
-   wire n_7230;
-   wire n_7231;
-   wire n_7232;
-   wire n_7233;
-   wire n_7234;
-   wire n_7235;
-   wire n_7236;
-   wire n_7237;
-   wire n_7238;
-   wire n_7239;
-   wire n_7240;
-   wire n_7241;
-   wire n_7242;
-   wire n_7243;
-   wire n_7244;
-   wire n_7245;
-   wire n_7246;
-   wire n_7247;
-   wire n_7248;
-   wire n_7249;
-   wire n_7250;
-   wire n_7251;
-   wire n_7252;
-   wire n_7253;
-   wire n_7254;
-   wire n_7255;
-   wire n_7256;
-   wire n_7257;
-   wire n_7258;
-   wire n_7259;
-   wire n_7260;
-   wire n_7261;
-   wire n_7262;
-   wire n_7263;
-   wire n_7264;
-   wire n_7265;
-   wire n_7266;
-   wire n_7267;
-   wire n_7268;
-   wire n_7269;
-   wire n_7270;
-   wire n_7271;
-   wire n_7272;
-   wire n_7273;
-   wire n_7274;
-   wire n_7275;
-   wire n_7276;
-   wire n_7277;
-   wire n_7278;
-   wire n_7279;
-   wire n_7280;
-   wire n_7281;
-   wire n_7282;
-   wire n_7283;
-   wire n_7284;
-   wire n_7285;
-   wire n_7286;
-   wire n_7287;
-   wire n_7288;
-   wire n_7289;
-   wire n_7290;
-   wire n_7291;
-   wire n_7292;
-   wire n_7293;
-   wire n_7294;
-   wire n_7295;
-   wire n_7296;
-   wire n_7297;
-   wire n_7298;
-   wire n_7299;
-   wire n_7300;
-   wire n_7301;
-   wire n_7302;
-   wire n_7303;
-   wire n_7304;
-   wire n_7305;
-   wire n_7306;
-   wire n_7307;
-   wire n_7308;
-   wire n_7309;
-   wire n_7310;
-   wire n_7311;
-   wire n_7312;
-   wire n_7313;
-   wire n_7314;
-   wire n_7315;
-   wire n_7316;
-   wire n_7317;
-   wire n_7318;
-   wire n_7319;
-   wire n_7320;
-   wire n_7321;
-   wire n_7322;
-   wire n_7323;
-   wire n_7324;
-   wire n_7325;
-   wire n_7326;
-   wire n_7327;
-   wire n_7328;
-   wire n_7329;
-   wire n_7330;
-   wire n_7331;
-   wire n_7332;
-   wire n_7333;
-   wire n_7334;
-   wire n_7335;
-   wire n_7336;
-   wire n_7337;
-   wire n_7338;
-   wire n_7339;
-   wire n_7340;
-   wire n_7341;
-   wire n_7342;
-   wire n_7343;
-   wire n_7344;
-   wire n_7345;
-   wire n_7346;
-   wire n_7347;
-   wire n_7348;
-   wire n_7349;
-   wire n_7350;
-   wire n_7351;
-   wire n_7352;
-   wire n_7353;
-   wire n_7354;
-   wire n_7355;
-   wire n_7356;
-   wire n_7357;
-   wire n_7358;
-   wire n_7359;
-   wire n_7360;
-   wire n_7361;
-   wire n_7362;
-   wire n_7363;
-   wire n_7364;
-   wire n_7365;
-   wire n_7366;
-   wire n_7367;
-   wire n_7368;
-   wire n_7369;
-   wire n_7370;
-   wire n_7371;
-   wire n_7372;
-   wire n_7373;
-   wire n_7374;
-   wire n_7375;
-   wire n_7376;
-   wire n_7377;
-   wire n_7378;
-   wire n_7379;
-   wire n_7380;
-   wire n_7381;
-   wire n_7382;
-   wire n_7383;
-   wire n_7385;
-   wire n_7386;
-   wire n_7387;
-   wire n_7388;
-   wire n_7389;
-   wire n_7390;
-   wire n_7391;
-   wire n_7392;
-   wire n_7393;
-   wire n_7394;
-   wire n_7395;
-   wire n_7396;
-   wire n_7397;
-   wire n_7398;
-   wire n_7399;
-   wire n_7400;
-   wire n_7401;
-   wire n_7403;
-   wire n_7404;
-   wire n_7405;
-   wire n_7406;
-   wire n_7407;
-   wire n_7408;
-   wire n_7409;
-   wire n_7410;
-   wire n_7411;
-   wire n_7412;
-   wire n_7413;
-   wire n_7414;
-   wire n_7415;
-   wire n_7416;
-   wire n_7417;
-   wire n_7418;
-   wire n_7419;
-   wire n_7420;
-   wire n_7421;
-   wire n_7422;
-   wire n_7423;
-   wire n_7424;
-   wire n_7425;
-   wire n_7426;
-   wire n_7427;
-   wire n_7428;
-   wire n_7429;
-   wire n_7430;
-   wire n_7431;
-   wire n_7432;
-   wire n_7433;
-   wire n_7434;
-   wire n_7435;
-   wire n_7436;
-   wire n_7437;
-   wire n_7438;
-   wire n_7439;
-   wire n_7440;
-   wire n_7441;
-   wire n_7442;
-   wire n_7443;
-   wire n_7444;
-   wire n_7445;
-   wire n_7447;
-   wire n_7448;
-   wire n_7449;
-   wire n_7450;
-   wire n_7451;
-   wire n_7452;
-   wire n_7453;
-   wire n_7454;
-   wire n_7455;
-   wire n_7456;
-   wire n_7457;
-   wire n_7458;
-   wire n_7459;
-   wire n_7460;
-   wire n_7461;
-   wire n_7462;
-   wire n_7463;
-   wire n_7464;
-   wire n_7465;
-   wire n_7466;
-   wire n_7467;
-   wire n_7468;
-   wire n_7469;
-   wire n_7470;
-   wire n_7471;
-   wire n_7472;
-   wire n_7473;
-   wire n_7474;
-   wire n_7475;
-   wire n_7476;
-   wire n_7477;
-   wire n_7478;
-   wire n_7479;
-   wire n_7480;
-   wire n_7481;
-   wire n_7482;
-   wire n_7483;
-   wire n_7484;
-   wire n_7485;
-   wire n_7486;
-   wire n_7487;
-   wire n_7488;
-   wire n_7489;
-   wire n_7490;
-   wire n_7491;
-   wire n_7492;
-   wire n_7493;
-   wire n_7494;
-   wire n_7496;
-   wire n_7497;
-   wire n_7498;
-   wire n_7499;
-   wire n_7500;
-   wire n_7501;
-   wire n_7502;
-   wire n_7503;
-   wire n_7504;
-   wire n_7505;
-   wire n_7506;
-   wire n_7507;
-   wire n_7508;
-   wire n_7509;
-   wire n_7510;
-   wire n_7511;
-   wire n_7512;
-   wire n_7513;
-   wire n_7514;
-   wire n_7515;
-   wire n_7516;
-   wire n_7517;
-   wire n_7518;
-   wire n_7519;
-   wire n_7520;
-   wire n_7521;
-   wire n_7522;
-   wire n_7523;
-   wire n_7524;
-   wire n_7525;
-   wire n_7526;
-   wire n_7527;
-   wire n_7528;
-   wire n_7529;
-   wire n_7530;
-   wire n_7531;
-   wire n_7533;
-   wire n_7534;
-   wire n_7535;
-   wire n_7536;
-   wire n_7537;
-   wire n_7538;
-   wire n_7539;
-   wire n_7540;
-   wire n_7541;
-   wire n_7542;
-   wire n_7543;
-   wire n_7544;
-   wire n_7545;
-   wire n_7546;
-   wire n_7547;
-   wire n_7548;
-   wire n_7549;
-   wire n_7550;
-   wire n_7551;
-   wire n_7552;
-   wire n_7553;
-   wire n_7554;
-   wire n_7555;
-   wire n_7556;
-   wire n_7557;
-   wire n_7558;
-   wire n_7559;
-   wire n_7560;
-   wire n_7561;
-   wire n_7562;
-   wire n_7563;
-   wire n_7564;
-   wire n_7565;
-   wire n_7566;
-   wire n_7567;
-   wire n_7568;
-   wire n_7569;
-   wire n_7570;
-   wire n_7571;
-   wire n_7572;
-   wire n_7573;
-   wire n_7574;
-   wire n_7575;
-   wire n_7576;
-   wire n_7577;
-   wire n_7578;
-   wire n_7579;
-   wire n_7580;
-   wire n_7581;
-   wire n_7582;
-   wire n_7583;
-   wire n_7584;
-   wire n_7585;
-   wire n_7586;
-   wire n_7587;
-   wire n_7588;
-   wire n_7589;
-   wire n_7590;
-   wire n_7591;
-   wire n_7592;
-   wire n_7593;
-   wire n_7594;
-   wire n_7596;
-   wire n_7597;
-   wire n_7598;
-   wire n_7599;
-   wire n_7600;
-   wire n_7601;
-   wire n_7602;
-   wire n_7603;
-   wire n_7604;
-   wire n_7605;
-   wire n_7606;
-   wire n_7607;
-   wire n_7608;
-   wire n_7609;
-   wire n_7610;
-   wire n_7611;
-   wire n_7612;
-   wire n_7613;
-   wire n_7614;
-   wire n_7615;
-   wire n_7616;
-   wire n_7617;
-   wire n_7618;
-   wire n_7619;
-   wire n_7620;
-   wire n_7621;
-   wire n_7622;
-   wire n_7624;
-   wire n_7625;
-   wire n_7626;
-   wire n_7627;
-   wire n_7628;
-   wire n_7629;
-   wire n_7630;
-   wire n_7631;
-   wire n_7632;
-   wire n_7633;
-   wire n_7634;
-   wire n_7635;
-   wire n_7636;
-   wire n_7637;
-   wire n_7638;
-   wire n_7639;
-   wire n_7640;
-   wire n_7641;
-   wire n_7642;
-   wire n_7643;
-   wire n_7644;
-   wire n_7645;
-   wire n_7646;
-   wire n_7647;
-   wire n_7648;
-   wire n_7649;
-   wire n_7650;
-   wire n_7651;
-   wire n_7652;
-   wire n_7653;
-   wire n_7654;
-   wire n_7655;
-   wire n_7656;
-   wire n_7657;
-   wire n_7658;
-   wire n_7659;
-   wire n_7660;
-   wire n_7661;
-   wire n_7662;
-   wire n_7663;
-   wire n_7664;
-   wire n_7666;
-   wire n_7667;
-   wire n_7668;
-   wire n_7669;
-   wire n_7670;
-   wire n_7671;
-   wire n_7672;
-   wire n_7673;
-   wire n_7674;
-   wire n_7675;
-   wire n_7676;
-   wire n_7677;
-   wire n_7678;
-   wire n_7679;
-   wire n_7680;
-   wire n_7681;
-   wire n_7682;
-   wire n_7683;
-   wire n_7684;
-   wire n_7685;
-   wire n_7686;
-   wire n_7687;
-   wire n_7688;
-   wire n_7689;
-   wire n_7690;
-   wire n_7691;
-   wire n_7692;
-   wire n_7693;
-   wire n_7694;
-   wire n_7695;
-   wire n_7696;
-   wire n_7697;
-   wire n_7698;
-   wire n_7699;
-   wire n_7700;
-   wire n_7701;
-   wire n_7702;
-   wire n_7703;
-   wire n_7704;
-   wire n_7705;
-   wire n_7706;
-   wire n_7707;
-   wire n_7708;
-   wire n_7709;
-   wire n_7710;
-   wire n_7711;
-   wire n_7712;
-   wire n_7713;
-   wire n_7714;
-   wire n_7715;
-   wire n_7716;
-   wire n_7717;
-   wire n_7718;
-   wire n_7719;
-   wire n_7720;
-   wire n_7721;
-   wire n_7722;
-   wire n_7723;
-   wire n_7724;
-   wire n_7725;
-   wire n_7727;
-   wire n_7728;
-   wire n_7729;
-   wire n_7730;
-   wire n_7731;
-   wire n_7732;
-   wire n_7733;
-   wire n_7734;
-   wire n_7735;
-   wire n_7736;
-   wire n_7737;
-   wire n_7738;
-   wire n_7739;
-   wire n_7740;
-   wire n_7741;
-   wire n_7742;
-   wire n_7743;
-   wire n_7744;
-   wire n_7745;
-   wire n_7746;
-   wire n_7747;
-   wire n_7748;
-   wire n_7749;
-   wire n_7750;
-   wire n_7751;
-   wire n_7752;
-   wire n_7753;
-   wire n_7754;
-   wire n_7755;
-   wire n_7756;
-   wire n_7757;
-   wire n_7758;
-   wire n_7759;
-   wire n_7760;
-   wire n_7761;
-   wire n_7762;
-   wire n_7763;
-   wire n_7764;
-   wire n_7765;
-   wire n_7766;
-   wire n_7767;
-   wire n_7768;
-   wire n_7770;
-   wire n_7771;
-   wire n_7772;
-   wire n_7773;
-   wire n_7774;
-   wire n_7775;
-   wire n_7776;
-   wire n_7777;
-   wire n_7778;
-   wire n_7779;
-   wire n_7780;
-   wire n_7781;
-   wire n_7782;
-   wire n_7783;
-   wire n_7784;
-   wire n_7785;
-   wire n_7786;
-   wire n_7787;
-   wire n_7788;
-   wire n_7789;
-   wire n_7790;
-   wire n_7791;
-   wire n_7792;
-   wire n_7793;
-   wire n_7794;
-   wire n_7795;
-   wire n_7796;
-   wire n_7797;
-   wire n_7798;
-   wire n_7799;
-   wire n_7800;
-   wire n_7801;
-   wire n_7802;
-   wire n_7803;
-   wire n_7804;
-   wire n_7805;
-   wire n_7806;
-   wire n_7807;
-   wire n_7808;
-   wire n_7809;
-   wire n_7810;
-   wire n_7811;
-   wire n_7812;
-   wire n_7813;
-   wire n_7814;
-   wire n_7815;
-   wire n_7816;
-   wire n_7817;
-   wire n_7818;
-   wire n_7819;
-   wire n_7820;
-   wire n_7822;
-   wire n_7823;
-   wire n_7824;
-   wire n_7825;
-   wire n_7826;
-   wire n_7827;
-   wire n_7828;
-   wire n_7829;
-   wire n_7830;
-   wire n_7831;
-   wire n_7832;
-   wire n_7833;
-   wire n_7834;
-   wire n_7835;
-   wire n_7836;
-   wire n_7837;
-   wire n_7838;
-   wire n_7839;
-   wire n_7840;
-   wire n_7841;
-   wire n_7842;
-   wire n_7843;
-   wire n_7844;
-   wire n_7845;
-   wire n_7846;
-   wire n_7847;
-   wire n_7848;
-   wire n_7849;
-   wire n_7850;
-   wire n_7851;
-   wire n_7852;
-   wire n_7853;
-   wire n_7854;
-   wire n_7855;
-   wire n_7856;
-   wire n_7857;
-   wire n_7858;
-   wire n_7859;
-   wire n_7860;
-   wire n_7861;
-   wire n_7862;
-   wire n_7863;
-   wire n_7864;
-   wire n_7865;
-   wire n_7866;
-   wire n_7867;
-   wire n_7868;
-   wire n_7869;
-   wire n_7870;
-   wire n_7871;
-   wire n_7872;
-   wire n_7873;
-   wire n_7874;
-   wire n_7875;
-   wire n_7876;
-   wire n_7877;
-   wire n_7878;
-   wire n_7879;
-   wire n_7880;
-   wire n_7881;
-   wire n_7882;
-   wire n_7883;
-   wire n_7884;
-   wire n_7885;
-   wire n_7886;
-   wire n_7887;
-   wire n_7888;
-   wire n_7889;
-   wire n_7890;
-   wire n_7891;
-   wire n_7892;
-   wire n_7893;
-   wire n_7895;
-   wire n_7896;
-   wire n_7897;
-   wire n_7898;
-   wire n_7899;
-   wire n_7900;
-   wire n_7901;
-   wire n_7902;
-   wire n_7903;
-   wire n_7904;
-   wire n_7905;
-   wire n_7906;
-   wire n_7907;
-   wire n_7908;
-   wire n_7909;
-   wire n_7910;
-   wire n_7911;
-   wire n_7912;
-   wire n_7913;
-   wire n_7914;
-   wire n_7915;
-   wire n_7916;
-   wire n_7917;
-   wire n_7918;
-   wire n_7919;
-   wire n_7920;
-   wire n_7921;
-   wire n_7922;
-   wire n_7923;
-   wire n_7924;
-   wire n_7925;
-   wire n_7926;
-   wire n_7927;
-   wire n_7928;
-   wire n_7929;
-   wire n_7930;
-   wire n_7931;
-   wire n_7932;
-   wire n_7933;
-   wire n_7934;
-   wire n_7935;
-   wire n_7936;
-   wire n_7937;
-   wire n_7938;
-   wire n_7939;
-   wire n_7940;
-   wire n_7941;
-   wire n_7942;
-   wire n_7943;
-   wire n_7944;
-   wire n_7945;
-   wire n_7946;
-   wire n_7947;
-   wire n_7948;
-   wire n_7949;
-   wire n_7950;
-   wire n_7951;
-   wire n_7952;
-   wire n_7953;
-   wire n_7954;
-   wire n_7955;
-   wire n_7956;
-   wire n_7957;
-   wire n_7958;
-   wire n_7959;
-   wire n_7967;
-   wire n_7968;
-   wire n_7969;
-   wire n_7970;
-   wire n_7971;
-   wire n_7972;
-   wire n_7973;
-   wire n_7974;
-   wire n_7976;
-   wire n_7977;
-   wire n_7978;
-   wire n_7979;
-   wire n_7980;
-   wire n_7981;
-   wire n_7982;
-   wire n_7983;
-   wire n_7984;
-   wire n_7985;
-   wire n_7986;
-   wire n_7987;
-   wire n_7988;
-   wire n_7989;
-   wire n_7990;
-   wire n_7991;
-   wire n_7992;
-   wire n_7993;
-   wire n_7994;
-   wire n_7995;
-   wire n_8000;
-   wire n_8001;
-   wire n_8002;
-   wire n_8003;
-   wire n_8004;
-   wire n_8005;
-   wire n_8006;
-   wire n_8007;
-   wire n_8008;
-   wire n_8009;
-   wire n_8010;
-   wire n_8011;
-   wire n_8012;
-   wire n_8013;
-   wire n_8014;
-   wire n_8015;
-   wire n_8016;
-   wire n_8017;
-   wire n_8018;
-   wire n_8019;
-   wire n_8020;
-   wire n_8021;
-   wire n_8022;
-   wire n_8023;
-   wire n_8024;
-   wire n_8025;
-   wire n_8026;
-   wire n_8027;
-   wire n_8028;
-   wire n_8029;
-   wire n_8030;
-   wire n_8031;
-   wire n_8032;
-   wire n_8033;
-   wire n_8034;
-   wire n_8035;
-   wire n_8036;
-   wire n_8037;
-   wire n_8038;
-   wire n_8039;
-   wire n_8040;
-   wire n_8042;
-   wire n_8043;
-   wire n_8044;
-   wire n_8045;
-   wire n_8046;
-   wire n_8047;
-   wire n_8048;
-   wire n_8049;
-   wire n_8050;
-   wire n_8051;
-   wire n_8052;
-   wire n_8053;
-   wire n_8054;
-   wire n_8055;
-   wire n_8056;
-   wire n_8057;
-   wire n_8058;
-   wire n_8059;
-   wire n_8060;
-   wire n_8061;
-   wire n_8062;
-   wire n_8063;
-   wire n_8064;
-   wire n_8065;
-   wire n_8066;
-   wire n_8067;
-   wire n_8068;
-   wire n_8069;
-   wire n_8070;
-   wire n_8071;
-   wire n_8072;
-   wire n_8073;
-   wire n_8074;
-   wire n_8075;
-   wire n_8076;
-   wire n_8077;
-   wire n_8078;
-   wire n_8079;
-   wire n_8080;
-   wire n_8081;
-   wire n_8082;
-   wire n_8083;
-   wire n_8084;
-   wire n_8085;
-   wire n_8086;
-   wire n_8087;
-   wire n_8088;
-   wire n_8089;
-   wire n_8090;
-   wire n_8091;
-   wire n_8092;
-   wire n_8093;
-   wire n_8095;
-   wire n_8096;
-   wire n_8097;
-   wire n_8098;
-   wire n_8099;
-   wire n_8100;
-   wire n_8101;
-   wire n_8102;
-   wire n_8103;
-   wire n_8104;
-   wire n_8105;
-   wire n_8106;
-   wire n_8107;
-   wire n_8108;
-   wire n_8109;
-   wire n_8110;
-   wire n_8111;
-   wire n_8112;
-   wire n_8113;
-   wire n_8114;
-   wire n_8115;
-   wire n_8116;
-   wire n_8117;
-   wire n_8118;
-   wire n_8119;
-   wire n_8120;
-   wire n_8121;
-   wire n_8122;
-   wire n_8123;
-   wire n_8124;
-   wire n_8125;
-   wire n_8126;
-   wire n_8127;
-   wire n_8128;
-   wire n_8129;
-   wire n_8130;
-   wire n_8131;
-   wire n_8132;
-   wire n_8133;
-   wire n_8134;
-   wire n_8135;
-   wire n_8136;
-   wire n_8137;
-   wire n_8138;
-   wire n_8139;
-   wire n_8140;
-   wire n_8141;
-   wire n_8142;
-   wire n_8143;
-   wire n_8144;
-   wire n_8145;
-   wire n_8146;
-   wire n_8148;
-   wire n_8149;
-   wire n_8151;
-   wire n_8152;
-   wire n_8153;
-   wire n_8154;
-   wire n_8155;
-   wire n_8156;
-   wire n_8158;
-   wire n_8159;
-   wire n_8160;
-   wire n_8161;
-   wire n_8162;
-   wire n_8163;
-   wire n_8164;
-   wire n_8165;
-   wire n_8166;
-   wire n_8167;
-   wire n_8169;
-   wire n_8170;
-   wire n_8171;
-   wire n_8173;
-   wire n_8174;
-   wire n_8175;
-   wire n_8177;
-   wire n_8178;
-   wire n_8180;
-   wire n_8181;
-   wire n_8182;
-   wire n_8183;
-   wire n_8184;
-   wire n_8185;
-   wire n_8186;
-   wire n_8187;
-   wire n_8188;
-   wire n_8189;
-   wire n_8190;
-   wire n_8191;
-   wire n_8192;
-   wire n_8193;
-   wire n_8194;
-   wire n_8195;
-   wire n_8196;
-   wire n_8197;
-   wire n_8198;
-   wire n_8199;
-   wire n_8200;
-   wire n_8201;
-   wire n_8202;
-   wire n_8203;
-   wire n_8204;
-   wire n_8205;
-   wire n_8206;
-   wire n_8207;
-   wire n_8208;
-   wire n_8209;
-   wire n_8210;
-   wire n_8211;
-   wire n_8212;
-   wire n_8213;
-   wire n_8214;
-   wire n_8215;
-   wire n_8216;
-   wire n_8217;
-   wire n_8219;
-   wire n_8220;
-   wire n_8221;
-   wire n_8222;
-   wire n_8223;
-   wire n_8224;
-   wire n_8225;
-   wire n_8226;
-   wire n_8227;
-   wire n_8228;
-   wire n_8229;
-   wire n_8230;
-   wire n_8231;
-   wire n_8232;
-   wire n_8233;
-   wire n_8234;
-   wire n_8235;
-   wire n_8236;
-   wire n_8237;
-   wire n_8238;
-   wire n_8239;
-   wire n_8240;
-   wire n_8241;
-   wire n_8242;
-   wire n_8243;
-   wire n_8244;
-   wire n_8245;
-   wire n_8246;
-   wire n_8247;
-   wire n_8248;
-   wire n_8249;
-   wire n_8250;
-   wire n_8251;
-   wire n_8252;
-   wire n_8253;
-   wire n_8254;
-   wire n_8255;
-   wire n_8256;
-   wire n_8257;
-   wire n_8258;
-   wire n_8259;
-   wire n_8260;
-   wire n_8261;
-   wire n_8262;
-   wire n_8263;
-   wire n_8265;
-   wire n_8266;
-   wire n_8267;
-   wire n_8268;
-   wire n_8269;
-   wire n_8270;
-   wire n_8271;
-   wire n_8272;
-   wire n_8273;
-   wire n_8274;
-   wire n_8275;
-   wire n_8276;
-   wire n_8277;
-   wire n_8278;
-   wire n_8279;
-   wire n_8280;
-   wire n_8281;
-   wire n_8282;
-   wire n_8283;
-   wire n_8284;
-   wire n_8285;
-   wire n_8286;
-   wire n_8287;
-   wire n_8288;
-   wire n_8289;
-   wire n_8290;
-   wire n_8291;
-   wire n_8292;
-   wire n_8293;
-   wire n_8294;
-   wire n_8295;
-   wire n_8296;
-   wire n_8297;
-   wire n_8298;
-   wire n_8299;
-   wire n_8300;
-   wire n_8301;
-   wire n_8302;
-   wire n_8303;
-   wire n_8304;
-   wire n_8305;
-   wire n_8306;
-   wire n_8307;
-   wire n_8308;
-   wire n_8309;
-   wire n_8311;
-   wire n_8312;
-   wire n_8313;
-   wire n_8314;
-   wire n_8316;
-   wire n_8317;
-   wire n_8318;
-   wire n_8319;
-   wire n_8320;
-   wire n_8321;
-   wire n_8322;
-   wire n_8323;
-   wire n_8324;
-   wire n_8325;
-   wire n_8326;
-   wire n_8327;
-   wire n_8330;
-   wire n_8331;
-   wire n_8332;
-   wire n_8333;
-   wire n_8334;
-   wire n_8335;
-   wire n_8336;
-   wire n_8337;
-   wire n_8338;
-   wire n_8339;
-   wire n_8340;
-   wire n_8341;
-   wire n_8342;
-   wire n_8343;
-   wire n_8344;
-   wire n_8345;
-   wire n_8346;
-   wire n_8347;
-   wire n_8349;
-   wire n_8350;
-   wire n_8351;
-   wire n_8353;
-   wire n_8354;
-   wire n_8355;
-   wire n_8356;
-   wire n_8357;
-   wire n_8358;
-   wire n_8359;
-   wire n_8360;
-   wire n_8361;
-   wire n_8362;
-   wire n_8363;
-   wire n_8364;
-   wire n_8365;
-   wire n_8366;
-   wire n_8367;
-   wire n_8368;
-   wire n_8369;
-   wire n_8370;
-   wire n_8371;
-   wire n_8372;
-   wire n_8373;
-   wire n_8375;
-   wire n_8376;
-   wire n_8377;
-   wire n_8379;
-   wire n_8380;
-   wire n_8381;
-   wire n_8383;
-   wire n_8384;
-   wire n_8385;
-   wire n_8386;
-   wire n_8387;
-   wire n_8388;
-   wire n_8389;
-   wire n_8390;
-   wire n_8391;
-   wire n_8393;
-   wire n_8395;
-   wire n_8396;
-   wire n_8397;
-   wire n_8398;
-   wire n_8399;
-   wire n_8400;
-   wire n_8401;
-   wire n_8402;
-   wire n_8403;
-   wire n_8404;
-   wire n_8405;
-   wire n_8406;
-   wire n_8407;
-   wire n_8408;
-   wire n_8409;
-   wire n_8410;
-   wire n_8411;
-   wire n_8412;
-   wire n_8413;
-   wire n_8414;
-   wire n_8415;
-   wire n_8416;
-   wire n_8417;
-   wire n_8418;
-   wire n_8419;
-   wire n_8420;
-   wire n_8421;
-   wire n_8422;
-   wire n_8423;
-   wire n_8424;
-   wire n_8425;
-   wire n_8426;
-   wire n_8427;
-   wire n_8428;
-   wire n_8429;
-   wire n_8430;
-   wire n_8431;
-   wire n_8432;
-   wire n_8433;
-   wire n_8434;
-   wire n_8435;
-   wire n_8436;
-   wire n_8437;
-   wire n_8438;
-   wire n_8440;
-   wire n_8442;
-   wire n_8443;
-   wire n_8444;
-   wire n_8445;
-   wire n_8446;
-   wire n_8447;
-   wire n_8448;
-   wire n_8449;
-   wire n_8450;
-   wire n_8451;
-   wire n_8452;
-   wire n_8453;
-   wire n_8454;
-   wire n_8455;
-   wire n_8456;
-   wire n_8457;
-   wire n_8458;
-   wire n_8459;
-   wire n_8460;
-   wire n_8461;
-   wire n_8462;
-   wire n_8463;
-   wire n_8464;
-   wire n_8465;
-   wire n_8466;
-   wire n_8467;
-   wire n_8468;
-   wire n_8469;
-   wire n_8470;
-   wire n_8471;
-   wire n_8472;
-   wire n_8473;
-   wire n_8474;
-   wire n_8475;
-   wire n_8476;
-   wire n_8477;
-   wire n_8478;
-   wire n_8479;
-   wire n_8480;
-   wire n_8481;
-   wire n_8482;
-   wire n_8483;
-   wire n_8484;
-   wire n_8485;
-   wire n_8486;
-   wire n_8487;
-   wire n_8488;
-   wire n_8489;
-   wire n_8490;
-   wire n_8491;
-   wire n_8492;
-   wire n_8493;
-   wire n_8494;
-   wire n_8495;
-   wire n_8496;
-   wire n_8497;
-   wire n_8498;
-   wire n_8499;
-   wire n_8500;
-   wire n_8501;
-   wire n_8502;
-   wire n_8503;
-   wire n_8504;
-   wire n_8505;
-   wire n_8506;
-   wire n_8507;
-   wire n_8508;
-   wire n_8509;
-   wire n_8518;
-   wire n_8519;
-   wire n_8520;
-   wire n_8521;
-   wire n_8522;
-   wire n_8523;
-   wire n_8524;
-   wire n_8525;
-   wire n_8526;
-   wire n_8527;
-   wire n_8528;
-   wire n_8529;
-   wire n_8530;
-   wire n_8531;
-   wire n_8532;
-   wire n_8533;
-   wire n_8534;
-   wire n_8535;
-   wire n_8536;
-   wire n_8537;
-   wire n_8563;
-   wire n_8564;
-   wire n_8565;
-   wire n_8584;
-   wire n_8585;
-   wire n_8586;
-   wire n_8587;
-   wire n_8588;
-   wire n_8589;
-   wire n_8590;
-   wire n_8591;
-   wire n_8592;
-   wire n_8593;
-   wire n_8594;
-   wire n_8595;
-   wire n_8596;
-   wire n_8597;
-   wire n_8598;
-   wire n_8599;
-   wire n_8600;
-   wire n_8601;
-   wire n_8602;
-   wire n_8603;
-   wire n_8604;
-   wire n_8605;
-   wire n_8606;
-   wire n_8607;
-   wire n_8608;
-   wire n_8609;
-   wire n_8610;
-   wire n_8611;
-   wire n_8612;
-   wire n_8613;
-   wire n_8614;
-   wire n_8615;
-   wire n_8616;
-   wire n_8619;
-   wire n_8620;
-   wire n_8624;
-   wire n_8629;
-   wire n_8630;
-   wire n_8633;
-   wire n_8634;
-   wire n_8635;
-   wire n_8636;
-   wire n_8643;
-   wire n_8646;
-   wire n_8648;
-   wire n_8649;
-   wire n_8650;
-   wire n_8651;
-   wire n_8652;
-   wire n_8653;
-   wire n_8654;
-   wire n_8655;
-   wire n_8656;
-   wire n_8657;
-   wire n_8658;
-   wire n_8659;
-   wire n_8660;
-   wire n_8661;
-   wire n_8662;
-   wire n_8663;
-   wire n_8664;
-   wire n_8665;
-   wire n_8666;
-   wire n_8667;
-   wire n_8668;
-   wire n_8672;
-   wire n_8673;
-   wire n_8677;
-   wire n_8679;
-   wire n_8681;
-   wire n_8682;
-   wire n_8686;
-   wire n_8688;
-   wire n_8689;
-   wire n_8690;
-   wire n_8693;
-   wire n_8695;
-   wire n_8696;
-   wire n_8701;
-   wire n_8702;
-   wire n_8703;
-   wire n_8704;
-   wire n_8708;
-   wire n_8711;
-   wire n_8715;
-   wire n_8718;
-   wire n_8719;
-   wire n_8722;
-   wire n_8723;
-   wire n_8724;
-   wire n_8725;
-   wire n_8732;
-   wire n_8733;
-   wire n_8734;
-   wire n_8737;
-   wire n_8738;
-   wire n_8739;
-   wire n_8740;
-   wire n_8742;
-   wire n_8743;
-   wire n_8745;
-   wire n_8747;
-   wire n_8749;
-   wire n_8750;
-   wire n_8751;
-   wire n_8753;
-   wire n_8754;
-   wire n_8755;
-   wire n_8756;
-   wire n_8757;
-   wire n_8758;
-   wire n_8759;
-   wire n_8760;
-   wire n_8761;
-   wire n_8763;
-   wire n_8766;
-   wire n_8767;
-   wire n_8768;
-   wire n_8769;
-   wire n_8770;
-   wire n_8771;
-   wire n_8772;
-   wire n_8773;
-   wire n_8774;
-   wire n_8776;
-   wire n_8777;
-   wire n_8778;
-   wire n_8779;
-   wire n_8781;
-   wire n_8782;
-   wire n_8783;
-   wire n_8785;
-   wire n_8786;
-   wire n_8787;
-   wire n_8788;
-   wire n_8789;
-   wire n_8791;
-   wire n_8792;
-   wire n_8794;
-   wire n_8795;
-   wire n_8797;
-   wire n_8798;
-   wire n_8799;
-   wire n_8800;
-   wire n_8801;
-   wire n_8802;
-   wire n_8803;
-   wire n_8804;
-   wire n_8805;
-   wire n_8806;
-   wire n_8807;
-   wire n_8808;
-   wire n_8809;
-   wire n_8810;
-   wire n_8811;
-   wire n_8812;
-   wire n_8813;
-   wire n_8814;
-   wire n_8821;
-   wire n_8822;
-   wire n_8823;
-   wire n_8826;
-   wire n_8827;
-   wire n_8828;
-   wire n_8829;
-   wire n_8835;
-   wire n_8836;
-   wire n_8838;
-   wire n_8839;
-   wire n_8840;
-   wire n_8842;
-   wire n_8843;
-   wire n_8844;
-   wire n_8846;
-   wire n_8849;
-   wire n_8850;
-   wire n_8852;
-   wire n_8853;
-   wire n_8854;
-   wire n_8856;
-   wire n_8857;
-   wire n_8859;
-   wire n_8860;
-   wire n_8861;
-   wire n_8862;
-   wire n_8864;
-   wire n_8865;
-   wire n_8866;
-   wire n_8868;
-   wire n_8869;
-   wire n_8870;
-   wire n_8874;
-   wire n_8876;
-   wire n_8877;
-   wire n_8878;
-   wire n_8879;
-   wire n_8880;
-   wire n_8885;
-   wire n_8886;
-   wire n_8887;
-   wire n_8888;
-   wire n_8890;
-   wire n_8893;
-   wire n_8894;
-   wire n_8895;
-   wire n_8896;
-   wire n_8897;
-   wire n_8899;
-   wire n_8900;
-   wire n_8901;
-   wire n_8902;
-   wire n_8903;
-   wire n_8904;
-   wire n_8905;
-   wire n_8906;
-   wire n_8907;
-   wire n_8909;
-   wire n_8910;
-   wire n_8911;
-   wire n_8913;
-   wire n_8914;
-   wire n_8915;
-   wire n_8916;
-   wire n_8917;
-   wire n_8918;
-   wire n_8923;
-   wire n_8926;
-   wire n_8927;
-   wire n_8928;
-   wire n_8929;
-   wire n_8933;
-   wire n_8935;
-   wire n_8936;
-   wire n_8937;
-   wire n_8938;
-   wire n_8940;
-   wire n_8941;
-   wire n_8942;
-   wire n_8943;
-   wire n_8944;
-   wire n_8945;
-   wire n_8947;
-   wire n_8949;
-   wire n_8950;
-   wire n_8951;
-   wire n_8952;
-   wire n_8953;
-   wire n_8954;
-   wire n_8956;
-   wire n_8959;
-   wire n_8960;
-   wire n_8963;
-   wire n_8964;
-   wire n_8965;
-   wire n_8966;
-   wire n_8967;
-   wire n_8968;
-   wire n_8969;
-   wire n_8970;
-   wire n_8971;
-   wire n_8972;
-   wire n_8973;
-   wire n_8975;
-   wire n_8976;
-   wire n_8977;
-   wire n_8978;
-   wire n_8979;
-   wire n_8980;
-   wire n_8981;
-   wire n_8983;
-   wire n_8984;
-   wire n_8985;
-   wire n_8986;
-   wire n_8989;
-   wire n_8994;
-   wire n_8997;
-   wire n_8999;
-   wire n_9001;
-   wire n_9003;
-   wire n_9004;
-   wire n_9005;
-   wire n_9006;
-   wire n_9007;
-   wire n_9008;
-   wire n_9009;
-   wire n_9010;
-   wire n_9011;
-   wire n_9014;
-   wire n_9015;
-   wire n_9016;
-   wire n_9023;
-   wire n_9024;
-   wire n_9025;
-   wire n_9027;
-   wire n_9028;
-   wire n_9029;
-   wire n_9030;
-   wire n_9031;
-   wire n_9032;
-   wire n_9033;
-   wire n_9034;
-   wire n_9035;
-   wire n_9036;
-   wire n_9037;
-   wire n_9040;
-   wire n_9041;
-   wire n_9043;
-   wire n_9044;
-   wire n_9045;
-   wire n_9046;
-   wire n_9047;
-   wire n_9048;
-   wire n_9049;
-   wire n_9050;
-   wire n_9051;
-   wire n_9052;
-   wire n_9053;
-   wire n_9054;
-   wire n_9055;
-   wire n_9056;
-   wire n_9057;
-   wire n_9058;
-   wire n_9060;
-   wire n_9061;
-   wire n_9062;
-   wire n_9063;
-   wire n_9066;
-   wire n_9067;
-   wire n_9068;
-   wire n_9069;
-   wire n_9070;
-   wire n_9071;
-   wire n_9072;
-   wire n_9073;
-   wire n_9074;
-   wire n_9075;
-   wire n_9076;
-   wire n_9077;
-   wire n_9078;
-   wire n_9079;
-   wire n_9080;
-   wire n_9082;
-   wire n_9084;
-   wire n_9085;
-   wire n_9086;
-   wire n_9087;
-   wire n_9088;
-   wire n_9090;
-   wire n_9091;
-   wire n_9092;
-   wire n_9093;
-   wire n_9095;
-   wire n_9096;
-   wire n_9098;
-   wire n_9099;
-   wire n_9100;
-   wire n_9101;
-   wire n_9102;
-   wire n_9103;
-   wire n_9104;
-   wire n_9105;
-   wire n_9106;
-   wire n_9107;
-   wire n_9108;
-   wire n_9109;
-   wire n_9110;
-   wire n_9111;
-   wire n_9112;
-   wire n_9115;
-   wire n_9116;
-   wire n_9118;
-   wire n_9119;
-   wire n_9120;
-   wire n_9121;
-   wire n_9128;
-   wire n_9133;
-   wire n_9135;
-   wire n_9137;
-   wire n_9138;
-   wire n_9140;
-   wire n_9141;
-   wire n_9143;
-   wire n_9149;
-   wire n_9161;
-   wire n_9164;
-   wire n_9165;
-   wire n_9167;
-   wire n_9170;
-   wire n_9171;
-   wire n_9172;
-   wire n_9173;
-   wire n_9174;
-   wire n_9175;
-   wire n_9176;
-   wire n_9180;
-   wire n_9181;
-   wire n_9182;
-   wire n_9183;
-   wire n_9184;
-   wire n_9185;
-   wire n_9186;
-   wire n_9187;
-   wire n_9188;
-   wire n_9189;
-   wire n_9190;
-   wire n_9191;
-   wire n_9192;
-   wire n_9193;
-   wire n_9194;
-   wire n_9195;
-   wire n_9196;
-   wire n_9197;
-   wire n_9198;
-   wire n_9199;
-   wire n_9200;
-   wire n_9201;
-   wire n_9202;
-   wire n_9203;
-   wire n_9204;
-   wire n_9205;
-   wire n_9206;
-   wire n_9207;
-   wire n_9208;
-   wire n_9209;
-   wire n_9210;
-   wire n_9211;
-   wire n_9212;
-   wire n_9213;
-   wire n_9214;
-   wire n_9215;
-   wire n_9216;
-   wire n_9217;
-   wire n_9218;
-   wire n_9219;
-   wire n_9220;
-   wire n_9221;
-   wire n_9222;
-   wire n_9223;
-   wire n_9224;
-   wire n_9225;
-   wire n_9226;
-   wire n_9227;
-   wire n_9228;
-   wire n_9229;
-   wire n_9230;
-   wire n_9231;
-   wire n_9232;
-   wire n_9233;
-   wire n_9234;
-   wire n_9235;
-   wire n_9236;
-   wire n_9237;
-   wire n_9238;
-   wire n_9239;
-   wire n_9240;
-   wire n_9241;
-   wire n_9242;
-   wire n_9243;
-   wire n_9244;
-   wire n_9245;
-   wire n_9246;
-   wire n_9247;
-   wire n_9248;
-   wire n_9249;
-   wire n_9250;
-   wire n_9251;
-   wire n_9252;
-   wire n_9253;
-   wire n_9254;
-   wire n_9255;
-   wire n_9256;
-   wire n_9257;
-   wire n_9258;
-   wire n_9259;
-   wire n_9260;
-   wire n_9261;
-   wire n_9262;
-   wire n_9263;
-   wire n_9264;
-   wire n_9265;
-   wire n_9266;
-   wire n_9267;
-   wire n_9268;
-   wire n_9269;
-   wire n_9270;
-   wire n_9271;
-   wire n_9272;
-   wire n_9273;
-   wire n_9274;
-   wire n_9275;
-   wire n_9276;
-   wire n_9277;
-   wire n_9278;
-   wire n_9280;
-   wire n_9281;
-   wire n_9282;
-   wire n_9283;
-   wire n_9284;
-   wire n_9285;
-   wire n_9286;
-   wire n_9287;
-   wire n_9288;
-   wire n_9289;
-   wire n_9290;
-   wire n_9291;
-   wire n_9292;
-   wire n_9293;
-   wire n_9294;
-   wire n_9295;
-   wire n_9296;
-   wire n_9297;
-   wire n_9298;
-   wire n_9299;
-   wire n_9300;
-   wire n_9301;
-   wire n_9302;
-   wire n_9303;
-   wire n_9304;
-   wire n_9305;
-   wire n_9306;
-   wire n_9307;
-   wire n_9308;
-   wire n_9309;
-   wire n_9310;
-   wire n_9311;
-   wire n_9312;
-   wire n_9313;
-   wire n_9314;
-   wire n_9315;
-   wire n_9316;
-   wire n_9317;
-   wire n_9318;
-   wire n_9319;
-   wire n_9320;
-   wire n_9321;
-   wire n_9322;
-   wire n_9323;
-   wire n_9326;
-   wire n_9327;
-   wire n_9328;
-   wire n_9329;
-   wire n_9330;
-   wire n_9331;
-   wire n_9332;
-   wire n_9334;
-   wire n_9335;
-   wire n_9336;
-   wire n_9337;
-   wire n_9338;
-   wire n_9339;
-   wire n_9340;
-   wire n_9341;
-   wire n_9342;
-   wire n_9343;
-   wire n_9345;
-   wire n_9346;
-   wire n_9347;
-   wire n_9348;
-   wire n_9349;
-   wire n_9350;
-   wire n_9351;
-   wire n_9352;
-   wire n_9353;
-   wire n_9354;
-   wire n_9355;
-   wire n_9356;
-   wire n_9357;
-   wire n_9358;
-   wire n_9359;
-   wire n_9360;
-   wire n_9361;
-   wire n_9362;
-   wire n_9363;
-   wire n_9364;
-   wire n_9365;
-   wire n_9366;
-   wire n_9367;
-   wire n_9368;
-   wire n_9369;
-   wire n_9371;
-   wire n_9372;
-   wire n_9373;
-   wire n_9374;
-   wire n_9375;
-   wire n_9376;
-   wire n_9377;
-   wire n_9378;
-   wire n_9379;
-   wire n_9380;
-   wire n_9381;
-   wire n_9382;
-   wire n_9383;
-   wire n_9384;
-   wire n_9385;
-   wire n_9386;
-   wire n_9387;
-   wire n_9388;
-   wire n_9389;
-   wire n_9390;
-   wire n_9391;
-   wire n_9392;
-   wire n_9393;
-   wire n_9394;
-   wire n_9395;
-   wire n_9396;
-   wire n_9397;
-   wire n_9398;
-   wire n_9399;
-   wire n_9400;
-   wire n_9401;
-   wire n_9402;
-   wire n_9403;
-   wire n_9404;
-   wire n_9405;
-   wire n_9406;
-   wire n_9407;
-   wire n_9408;
-   wire n_9409;
-   wire n_9410;
-   wire n_9411;
-   wire n_9412;
-   wire n_9413;
-   wire n_9414;
-   wire n_9415;
-   wire n_9416;
-   wire n_9417;
-   wire n_9418;
-   wire n_9419;
-   wire n_9420;
-   wire n_9421;
-   wire n_9422;
-   wire n_9423;
-   wire n_9424;
-   wire n_9425;
-   wire n_9426;
-   wire n_9427;
-   wire n_9428;
-   wire n_9429;
-   wire n_9430;
-   wire n_9431;
-   wire n_9432;
-   wire n_9433;
-   wire n_9434;
-   wire n_9435;
-   wire n_9436;
-   wire n_9437;
-   wire n_9438;
-   wire n_9439;
-   wire n_9440;
-   wire n_9441;
-   wire n_9443;
-   wire n_9444;
-   wire n_9445;
-   wire n_9446;
-   wire n_9447;
-   wire n_9448;
-   wire n_9449;
-   wire n_9450;
-   wire n_9452;
-   wire n_9453;
-   wire n_9454;
-   wire n_9455;
-   wire n_9457;
-   wire n_9471;
-   wire n_9474;
-   wire n_9475;
-   wire n_9476;
-   wire n_9477;
-   wire n_9479;
-   wire n_9480;
-   wire n_9483;
-   wire n_9486;
-   wire n_9488;
-   wire n_9489;
-   wire n_9490;
-   wire n_9491;
-   wire n_9492;
-   wire n_9493;
-   wire n_9494;
-   wire n_9497;
-   wire n_9509;
-   wire n_9511;
-   wire n_9512;
-   wire n_9513;
-   wire n_9514;
-   wire n_9515;
-   wire n_9516;
-   wire n_9517;
-   wire n_9518;
-   wire n_9519;
-   wire n_9520;
-   wire n_9521;
-   wire n_9522;
-   wire n_9523;
-   wire n_9524;
-   wire n_9525;
-   wire n_9526;
-   wire n_9527;
-   wire n_9528;
-   wire n_9529;
-   wire n_9530;
-   wire n_9531;
-   wire n_9532;
-   wire n_9533;
-   wire n_9534;
-   wire n_9535;
-   wire n_9536;
-   wire n_9537;
-   wire n_9538;
-   wire n_9539;
-   wire n_9540;
-   wire n_9541;
-   wire n_9542;
-   wire n_9543;
-   wire n_9544;
-   wire n_9545;
-   wire n_9546;
-   wire n_9547;
-   wire n_9548;
-   wire n_9549;
-   wire n_9550;
-   wire n_9551;
-   wire n_9552;
-   wire n_9553;
-   wire n_9554;
-   wire n_9555;
-   wire n_9556;
-   wire n_9557;
-   wire n_9558;
-   wire n_9560;
-   wire n_9561;
-   wire n_9562;
-   wire n_9563;
-   wire n_9564;
-   wire n_9565;
-   wire n_9566;
-   wire n_9567;
-   wire n_9568;
-   wire n_9570;
-   wire n_9571;
-   wire n_9572;
-   wire n_9574;
-   wire n_9575;
-   wire n_9576;
-   wire n_9577;
-   wire n_9578;
-   wire n_9579;
-   wire n_9581;
-   wire n_9582;
-   wire n_9583;
-   wire n_9584;
-   wire n_9586;
-   wire n_9588;
-   wire n_9590;
-   wire n_9592;
-   wire n_9595;
-   wire n_9596;
-   wire n_9597;
-   wire n_9598;
-   wire n_9599;
-   wire n_9600;
-   wire n_9601;
-   wire n_9602;
-   wire n_9603;
-   wire n_9604;
-   wire n_9605;
-   wire n_9606;
-   wire n_9607;
-   wire n_9608;
-   wire n_9609;
-   wire n_9611;
-   wire n_9613;
-   wire n_9614;
-   wire n_9615;
-   wire n_9616;
-   wire n_9617;
-   wire n_9619;
-   wire n_9620;
-   wire n_9621;
-   wire n_9622;
-   wire n_9623;
-   wire n_9624;
-   wire n_9625;
-   wire n_9626;
-   wire n_9627;
-   wire n_9628;
-   wire n_9629;
-   wire n_9630;
-   wire n_9631;
-   wire n_9632;
-   wire n_9634;
-   wire n_9635;
-   wire n_9636;
-   wire n_9637;
-   wire n_9638;
-   wire n_9642;
-   wire n_9643;
-   wire n_9644;
-   wire n_9645;
-   wire n_9646;
-   wire n_9647;
-   wire n_9648;
-   wire n_9650;
-   wire n_9653;
-   wire n_9654;
-   wire n_9655;
-   wire n_9656;
-   wire n_9657;
-   wire n_9658;
-   wire n_9659;
-   wire n_9660;
-   wire n_9661;
-   wire n_9662;
-   wire n_9663;
-   wire n_9664;
-   wire n_9665;
-   wire n_9666;
-   wire n_9667;
-   wire n_9668;
-   wire n_9669;
-   wire n_9670;
-   wire n_9671;
-   wire n_9672;
-   wire n_9673;
-   wire n_9674;
-   wire n_9675;
-   wire n_9677;
-   wire n_9678;
-   wire n_9679;
-   wire n_9680;
-   wire n_9681;
-   wire n_9682;
-   wire n_9683;
-   wire n_9684;
-   wire n_9685;
-   wire n_9686;
-   wire n_9687;
-   wire n_9688;
-   wire n_9689;
-   wire n_9690;
-   wire n_9691;
-   wire n_9692;
-   wire n_9693;
-   wire n_9695;
-   wire n_9697;
-   wire n_9698;
-   wire n_9699;
-   wire n_9700;
-   wire n_9701;
-   wire n_9702;
-   wire n_9703;
-   wire n_9704;
-   wire n_9705;
-   wire n_9706;
-   wire n_9707;
-   wire n_9708;
-   wire n_9709;
-   wire n_9710;
-   wire n_9711;
-   wire n_9712;
-   wire n_9713;
-   wire n_9714;
-   wire n_9715;
-   wire n_9716;
-   wire n_9717;
-   wire n_9718;
-   wire n_9719;
-   wire n_9720;
-   wire n_9721;
-   wire n_9722;
-   wire n_9723;
-   wire n_9724;
-   wire n_9725;
-   wire n_9726;
-   wire n_9727;
-   wire n_9728;
-   wire n_9729;
-   wire n_9730;
-   wire n_9731;
-   wire n_9732;
-   wire n_9733;
-   wire n_9734;
-   wire n_9735;
-   wire n_9736;
-   wire n_9737;
-   wire n_9738;
-   wire n_9739;
-   wire n_9740;
-   wire n_9741;
-   wire n_9742;
-   wire n_9743;
-   wire n_9744;
-   wire n_9745;
-   wire n_9746;
-   wire n_9747;
-   wire n_9748;
-   wire n_9749;
-   wire n_9750;
-   wire n_9751;
-   wire n_9752;
-   wire n_9753;
-   wire n_9754;
-   wire n_9755;
-   wire n_9756;
-   wire n_9757;
-   wire n_9759;
-   wire n_9760;
-   wire n_9762;
-   wire n_9763;
-   wire n_9764;
-   wire n_9765;
-   wire n_9766;
-   wire n_9767;
-   wire n_9768;
-   wire n_9769;
-   wire n_9770;
-   wire n_9772;
-   wire n_9773;
-   wire n_9774;
-   wire n_9775;
-   wire n_9776;
-   wire n_9777;
-   wire n_9778;
-   wire n_9779;
-   wire n_9780;
-   wire n_9781;
-   wire n_9782;
-   wire n_9784;
-   wire n_9785;
-   wire n_9786;
-   wire n_9787;
-   wire n_9788;
-   wire n_9789;
-   wire n_9790;
-   wire n_9791;
-   wire n_9792;
-   wire n_9793;
-   wire n_9794;
-   wire n_9795;
-   wire n_9796;
-   wire n_9797;
-   wire n_9798;
-   wire n_9799;
-   wire n_9800;
-   wire n_9801;
-   wire n_9802;
-   wire n_9803;
-   wire n_9804;
-   wire n_9805;
-   wire n_9806;
-   wire n_9807;
-   wire n_9808;
-   wire n_9809;
-   wire n_9810;
-   wire n_9811;
-   wire n_9812;
-   wire n_9813;
-   wire n_9814;
-   wire n_9815;
-   wire n_9816;
-   wire n_9817;
-   wire n_9818;
-   wire n_9819;
-   wire n_9821;
-   wire n_9822;
-   wire n_9823;
-   wire n_9824;
-   wire n_9825;
-   wire n_9826;
-   wire n_9827;
-   wire n_9828;
-   wire n_9829;
-   wire n_9830;
-   wire n_9831;
-   wire n_9832;
-   wire n_9833;
-   wire n_9834;
-   wire n_9835;
-   wire n_9836;
-   wire n_9837;
-   wire n_9838;
-   wire n_9839;
-   wire n_9840;
-   wire n_9841;
-   wire n_9842;
-   wire n_9843;
-   wire n_9844;
-   wire n_9845;
-   wire n_9846;
-   wire n_9847;
-   wire n_9848;
-   wire n_9849;
-   wire n_9850;
-   wire n_9851;
-   wire n_9852;
-   wire n_9853;
-   wire n_9854;
-   wire n_9855;
-   wire n_9856;
-   wire n_9857;
-   wire n_9858;
-   wire n_9859;
-   wire n_9860;
-   wire n_9861;
-   wire n_9862;
-   wire n_9863;
-   wire n_9864;
-   wire n_9865;
-   wire n_9866;
-   wire n_9867;
-   wire n_9868;
-   wire n_9869;
-   wire n_9870;
-   wire n_9871;
-   wire n_9872;
-   wire n_9873;
-   wire n_9874;
-   wire n_9875;
-   wire n_9876;
-   wire n_9877;
-   wire n_9878;
-   wire n_9879;
-   wire n_9880;
-   wire n_9881;
-   wire n_9882;
-   wire n_9883;
-   wire n_9884;
-   wire n_9885;
-   wire n_9886;
-   wire n_9887;
-   wire n_9888;
-   wire n_9889;
-   wire n_9890;
-   wire n_9891;
-   wire n_9892;
-   wire n_9893;
-   wire n_9894;
-   wire n_9895;
-   wire n_9896;
-   wire n_9897;
-   wire n_9898;
-   wire n_9899;
-   wire n_9900;
-   wire n_9901;
-   wire n_9902;
-   wire n_9903;
-   wire n_9904;
-   wire n_9905;
-   wire n_9906;
-   wire n_9907;
-   wire n_9908;
-   wire n_9909;
-   wire n_9910;
-   wire n_9911;
-   wire n_9912;
-   wire n_9913;
-   wire n_9914;
-   wire n_9915;
-   wire n_9916;
-   wire n_9917;
-   wire n_9918;
-   wire n_9919;
-   wire n_9920;
-   wire n_9921;
-   wire n_9922;
-   wire n_9923;
-   wire n_9924;
-   wire n_9925;
-   wire n_9926;
-   wire n_9927;
-   wire n_9928;
-   wire n_9929;
-   wire n_9930;
-   wire n_9931;
-   wire n_9932;
-   wire n_9933;
-   wire n_9934;
-   wire n_9935;
-   wire n_9936;
-   wire n_9937;
-   wire n_9938;
-   wire n_9939;
-   wire n_9940;
-   wire n_9941;
-   wire n_9942;
-   wire n_9943;
-   wire n_9944;
-   wire n_9945;
-   wire n_9946;
-   wire n_9947;
-   wire n_9948;
-   wire n_9949;
-   wire n_9950;
-   wire n_9951;
-   wire n_9952;
-   wire n_9953;
-   wire n_9954;
-   wire n_9955;
-   wire n_9956;
-   wire n_9957;
-   wire n_9958;
-   wire n_9959;
-   wire n_9960;
-   wire n_9961;
-   wire n_9962;
-   wire n_9963;
-   wire n_9964;
-   wire n_9965;
-   wire n_9966;
-   wire n_9967;
-   wire n_9968;
-   wire n_9969;
-   wire n_9970;
-   wire n_9971;
-   wire n_9972;
-   wire n_9973;
-   wire n_9974;
-   wire n_9975;
-   wire n_9976;
-   wire n_9977;
-   wire n_9978;
-   wire n_9979;
-   wire n_9980;
-   wire n_9981;
-   wire n_9982;
-   wire n_9983;
-   wire n_9984;
-   wire n_9985;
-   wire n_9986;
-   wire n_9987;
-   wire n_9988;
-   wire n_9989;
-   wire n_9990;
-   wire n_9991;
-   wire n_9992;
-   wire n_9993;
-   wire n_9994;
-   wire n_9995;
-   wire n_9996;
-   wire n_9997;
-   wire n_9998;
-   wire n_9999;
-   wire n_10000;
-   wire n_10001;
-   wire n_10002;
-   wire n_10003;
-   wire n_10004;
-   wire n_10005;
-   wire n_10006;
-   wire n_10007;
-   wire n_10008;
-   wire n_10009;
-   wire n_10010;
-   wire n_10011;
-   wire n_10012;
-   wire n_10013;
-   wire n_10014;
-   wire n_10015;
-   wire n_10016;
-   wire n_10017;
-   wire n_10018;
-   wire n_10019;
-   wire n_10020;
-   wire n_10021;
-   wire n_10022;
-   wire n_10023;
-   wire n_10024;
-   wire n_10025;
-   wire n_10026;
-   wire n_10027;
-   wire n_10028;
-   wire n_10029;
-   wire n_10030;
-   wire n_10031;
-   wire n_10032;
-   wire n_10033;
-   wire n_10034;
-   wire n_10035;
-   wire n_10036;
-   wire n_10037;
-   wire n_10038;
-   wire n_10039;
-   wire n_10040;
-   wire n_10041;
-   wire n_10042;
-   wire n_10043;
-   wire n_10044;
-   wire n_10045;
-   wire n_10046;
-   wire n_10047;
-   wire n_10048;
-   wire n_10049;
-   wire n_10050;
-   wire n_10051;
-   wire n_10052;
-   wire n_10053;
-   wire n_10054;
-   wire n_10055;
-   wire n_10056;
-   wire n_10057;
-   wire n_10058;
-   wire n_10059;
-   wire n_10060;
-   wire n_10061;
-   wire n_10062;
-   wire n_10063;
-   wire n_10064;
-   wire n_10065;
-   wire n_10066;
-   wire n_10067;
-   wire n_10068;
-   wire n_10069;
-   wire n_10070;
-   wire n_10071;
-   wire n_10072;
-   wire n_10073;
-   wire n_10074;
-   wire n_10075;
-   wire n_10076;
-   wire n_10077;
-   wire n_10078;
-   wire n_10079;
-   wire n_10080;
-   wire n_10081;
-   wire n_10082;
-   wire n_10083;
-   wire n_10084;
-   wire n_10085;
-   wire n_10086;
-   wire n_10087;
-   wire n_10088;
-   wire n_10089;
-   wire n_10090;
-   wire n_10091;
-   wire n_10092;
-   wire n_10093;
-   wire n_10094;
-   wire n_10095;
-   wire n_10096;
-   wire n_10097;
-   wire n_10098;
-   wire n_10099;
-   wire n_10100;
-   wire n_10101;
-   wire n_10102;
-   wire n_10103;
-   wire n_10104;
-   wire n_10105;
-   wire n_10106;
-   wire n_10107;
-   wire n_10108;
-   wire n_10109;
-   wire n_10110;
-   wire n_10111;
-   wire n_10112;
-   wire n_10113;
-   wire n_10114;
-   wire n_10115;
-   wire n_10116;
-   wire n_10117;
-   wire n_10118;
-   wire n_10119;
-   wire n_10120;
-   wire n_10121;
-   wire n_10122;
-   wire n_10123;
-   wire n_10124;
-   wire n_10125;
-   wire n_10126;
-   wire n_10127;
-   wire n_10128;
-   wire n_10129;
-   wire n_10130;
-   wire n_10131;
-   wire n_10132;
-   wire n_10133;
-   wire n_10134;
-   wire n_10135;
-   wire n_10136;
-   wire n_10137;
-   wire n_10138;
-   wire n_10139;
-   wire n_10140;
-   wire n_10141;
-   wire n_10142;
-   wire n_10143;
-   wire n_10144;
-   wire n_10145;
-   wire n_10146;
-   wire n_10147;
-   wire n_10148;
-   wire n_10149;
-   wire n_10150;
-   wire n_10151;
-   wire n_10152;
-   wire n_10153;
-   wire n_10154;
-   wire n_10155;
-   wire n_10156;
-   wire n_10157;
-   wire n_10158;
-   wire n_10159;
-   wire n_10160;
-   wire n_10161;
-   wire n_10162;
-   wire n_10163;
-   wire n_10164;
-   wire n_10165;
-   wire n_10166;
-   wire n_10167;
-   wire n_10168;
-   wire n_10169;
-   wire n_10170;
-   wire n_10171;
-   wire n_10172;
-   wire n_10173;
-   wire n_10174;
-   wire n_10175;
-   wire n_10176;
-   wire n_10177;
-   wire n_10178;
-   wire n_10179;
-   wire n_10180;
-   wire n_10181;
-   wire n_10182;
-   wire n_10183;
-   wire n_10184;
-   wire n_10185;
-   wire n_10186;
-   wire n_10187;
-   wire n_10188;
-   wire n_10189;
-   wire n_10190;
-   wire n_10191;
-   wire n_10192;
-   wire n_10193;
-   wire n_10194;
-   wire n_10195;
-   wire n_10196;
-   wire n_10197;
-   wire n_10198;
-   wire n_10199;
-   wire n_10200;
-   wire n_10201;
-   wire n_10202;
-   wire n_10203;
-   wire n_10204;
-   wire n_10205;
-   wire n_10206;
-   wire n_10207;
-   wire n_10208;
-   wire n_10209;
-   wire n_10210;
-   wire n_10211;
-   wire n_10212;
-   wire n_10213;
-   wire n_10214;
-   wire n_10215;
-   wire n_10216;
-   wire n_10217;
-   wire n_10218;
-   wire n_10219;
-   wire n_10220;
-   wire n_10221;
-   wire n_10222;
-   wire n_10223;
-   wire n_10224;
-   wire n_10225;
-   wire n_10226;
-   wire n_10227;
-   wire n_10228;
-   wire n_10229;
-   wire n_10230;
-   wire n_10231;
-   wire n_10232;
-   wire n_10233;
-   wire n_10234;
-   wire n_10235;
-   wire n_10236;
-   wire n_10237;
-   wire n_10238;
-   wire n_10239;
-   wire n_10240;
-   wire n_10241;
-   wire n_10242;
-   wire n_10243;
-   wire n_10244;
-   wire n_10245;
-   wire n_10246;
-   wire n_10247;
-   wire n_10248;
-   wire n_10249;
-   wire n_10250;
-   wire n_10251;
-   wire n_10252;
-   wire n_10253;
-   wire n_10254;
-   wire n_10255;
-   wire n_10256;
-   wire n_10257;
-   wire n_10258;
-   wire n_10259;
-   wire n_10260;
-   wire n_10261;
-   wire n_10262;
-   wire n_10263;
-   wire n_10264;
-   wire n_10265;
-   wire n_10266;
-   wire n_10267;
-   wire n_10268;
-   wire n_10269;
-   wire n_10270;
-   wire n_10271;
-   wire n_10272;
-   wire n_10273;
-   wire n_10274;
-   wire n_10275;
-   wire n_10276;
-   wire n_10277;
-   wire n_10278;
-   wire n_10279;
-   wire n_10280;
-   wire n_10281;
-   wire n_10282;
-   wire n_10283;
-   wire n_10284;
-   wire n_10285;
-   wire n_10286;
-   wire n_10287;
-   wire n_10288;
-   wire n_10289;
-   wire n_10290;
-   wire n_10291;
-   wire n_10292;
-   wire n_10293;
-   wire n_10294;
-   wire n_10295;
-   wire n_10296;
-   wire n_10297;
-   wire n_10298;
-   wire n_10299;
-   wire n_10300;
-   wire n_10301;
-   wire n_10302;
-   wire n_10303;
-   wire n_10304;
-   wire n_10305;
-   wire n_10306;
-   wire n_10307;
-   wire n_10308;
-   wire n_10309;
-   wire n_10310;
-   wire n_10311;
-   wire n_10312;
-   wire n_10313;
-   wire n_10314;
-   wire n_10315;
-   wire n_10316;
-   wire n_10317;
-   wire n_10318;
-   wire n_10319;
-   wire n_10320;
-   wire n_10321;
-   wire n_10322;
-   wire n_10323;
-   wire n_10324;
-   wire n_10325;
-   wire n_10326;
-   wire n_10327;
-   wire n_10328;
-   wire n_10329;
-   wire n_10330;
-   wire n_10331;
-   wire n_10332;
-   wire n_10333;
-   wire n_10334;
-   wire n_10335;
-   wire n_10336;
-   wire n_10337;
-   wire n_10338;
-   wire n_10339;
-   wire n_10340;
-   wire n_10341;
-   wire n_10342;
-   wire n_10343;
-   wire n_10344;
-   wire n_10345;
-   wire n_10346;
-   wire n_10347;
-   wire n_10348;
-   wire n_10349;
-   wire n_10350;
-   wire n_10351;
-   wire n_10352;
-   wire n_10353;
-   wire n_10354;
-   wire n_10355;
-   wire n_10356;
-   wire n_10357;
-   wire n_10358;
-   wire n_10359;
-   wire n_10360;
-   wire n_10361;
-   wire n_10362;
-   wire n_10363;
-   wire n_10364;
-   wire n_10365;
-   wire n_10366;
-   wire n_10367;
-   wire n_10368;
-   wire n_10369;
-   wire n_10370;
-   wire n_10371;
-   wire n_10372;
-   wire n_10373;
-   wire n_10374;
-   wire n_10375;
-   wire n_10376;
-   wire n_10377;
-   wire n_10378;
-   wire n_10379;
-   wire n_10380;
-   wire n_10381;
-   wire n_10382;
-   wire n_10383;
-   wire n_10385;
-   wire n_10386;
-   wire n_10387;
-   wire n_10388;
-   wire n_10389;
-   wire n_10390;
-   wire n_10391;
-   wire n_10392;
-   wire n_10393;
-   wire n_10394;
-   wire n_10395;
-   wire n_10396;
-   wire n_10397;
-   wire n_10398;
-   wire n_10399;
-   wire n_10400;
-   wire n_10401;
-   wire n_10402;
-   wire n_10403;
-   wire n_10404;
-   wire n_10405;
-   wire n_10406;
-   wire n_10407;
-   wire n_10408;
-   wire n_10409;
-   wire n_10410;
-   wire n_10411;
-   wire n_10412;
-   wire n_10413;
-   wire n_10414;
-   wire n_10415;
-   wire n_10416;
-   wire n_10417;
-   wire n_10418;
-   wire n_10419;
-   wire n_10420;
-   wire n_10421;
-   wire n_10422;
-   wire n_10423;
-   wire n_10424;
-   wire n_10425;
-   wire n_10426;
-   wire n_10427;
-   wire n_10428;
-   wire n_10429;
-   wire n_10430;
-   wire n_10431;
-   wire n_10432;
-   wire n_10433;
-   wire n_10434;
-   wire n_10435;
-   wire n_10436;
-   wire n_10437;
-   wire n_10438;
-   wire n_10439;
-   wire n_10440;
-   wire n_10441;
-   wire n_10442;
-   wire n_10443;
-   wire n_10444;
-   wire n_10445;
-   wire n_10446;
-   wire n_10447;
-   wire n_10449;
-   wire n_10450;
-   wire n_10451;
-   wire n_10452;
-   wire n_10453;
-   wire n_10454;
-   wire n_10455;
-   wire n_10456;
-   wire n_10457;
-   wire n_10458;
-   wire n_10459;
-   wire n_10460;
-   wire n_10461;
-   wire n_10462;
-   wire n_10463;
-   wire n_10464;
-   wire n_10465;
-   wire n_10466;
-   wire n_10467;
-   wire n_10468;
-   wire n_10469;
-   wire n_10470;
-   wire n_10471;
-   wire n_10472;
-   wire n_10473;
-   wire n_10474;
-   wire n_10475;
-   wire n_10476;
-   wire n_10477;
-   wire n_10478;
-   wire n_10479;
-   wire n_10480;
-   wire n_10481;
-   wire n_10482;
-   wire n_10483;
-   wire n_10484;
-   wire n_10485;
-   wire n_10486;
-   wire n_10487;
-   wire n_10488;
-   wire n_10489;
-   wire n_10490;
-   wire n_10491;
-   wire n_10492;
-   wire n_10493;
-   wire n_10494;
-   wire n_10495;
-   wire n_10496;
-   wire n_10497;
-   wire n_10498;
-   wire n_10499;
-   wire n_10500;
-   wire n_10501;
-   wire n_10502;
-   wire n_10503;
-   wire n_10504;
-   wire n_10505;
-   wire n_10506;
-   wire n_10507;
-   wire n_10508;
-   wire n_10509;
-   wire n_10510;
-   wire n_10511;
-   wire n_10512;
-   wire n_10513;
-   wire n_10514;
-   wire n_10515;
-   wire n_10516;
-   wire n_10517;
-   wire n_10518;
-   wire n_10519;
-   wire n_10520;
-   wire n_10521;
-   wire n_10522;
-   wire n_10523;
-   wire n_10524;
-   wire n_10525;
-   wire n_10526;
-   wire n_10527;
-   wire n_10528;
-   wire n_10529;
-   wire n_10530;
-   wire n_10531;
-   wire n_10532;
-   wire n_10533;
-   wire n_10534;
-   wire n_10535;
-   wire n_10536;
-   wire n_10537;
-   wire n_10538;
-   wire n_10539;
-   wire n_10540;
-   wire n_10541;
-   wire n_10542;
-   wire n_10543;
-   wire n_10544;
-   wire n_10545;
-   wire n_10546;
-   wire n_10547;
-   wire n_10548;
-   wire n_10549;
-   wire n_10550;
-   wire n_10551;
-   wire n_10552;
-   wire n_10553;
-   wire n_10554;
-   wire n_10555;
-   wire n_10556;
-   wire n_10557;
-   wire n_10558;
-   wire n_10559;
-   wire n_10560;
-   wire n_10561;
-   wire n_10562;
-   wire n_10563;
-   wire n_10564;
-   wire n_10565;
-   wire n_10566;
-   wire n_10567;
-   wire n_10568;
-   wire n_10569;
-   wire n_10570;
-   wire n_10571;
-   wire n_10572;
-   wire n_10573;
-   wire n_10574;
-   wire n_10575;
-   wire n_10576;
-   wire n_10577;
-   wire n_10578;
-   wire n_10579;
-   wire n_10580;
-   wire n_10581;
-   wire n_10582;
-   wire n_10583;
-   wire n_10584;
-   wire n_10585;
-   wire n_10586;
-   wire n_10588;
-   wire n_10589;
-   wire n_10590;
-   wire n_10591;
-   wire n_10592;
-   wire n_10593;
-   wire n_10594;
-   wire n_10595;
-   wire n_10596;
-   wire n_10599;
-   wire n_10600;
-   wire n_10601;
-   wire n_10602;
-   wire n_10603;
-   wire n_10604;
-   wire n_10605;
-   wire n_10606;
-   wire n_10607;
-   wire n_10608;
-   wire n_10609;
-   wire n_10610;
-   wire n_10611;
-   wire n_10612;
-   wire n_10613;
-   wire n_10614;
-   wire n_10615;
-   wire n_10616;
-   wire n_10617;
-   wire n_10618;
-   wire n_10619;
-   wire n_10620;
-   wire n_10621;
-   wire n_10622;
-   wire n_10623;
-   wire n_10624;
-   wire n_10625;
-   wire n_10626;
-   wire n_10627;
-   wire n_10628;
-   wire n_10629;
-   wire n_10630;
-   wire n_10631;
-   wire n_10632;
-   wire n_10633;
-   wire n_10634;
-   wire n_10635;
-   wire n_10636;
-   wire n_10637;
-   wire n_10638;
-   wire n_10639;
-   wire n_10640;
-   wire n_10641;
-   wire n_10642;
-   wire n_10643;
-   wire n_10644;
-   wire n_10645;
-   wire n_10646;
-   wire n_10647;
-   wire n_10648;
-   wire n_10649;
-   wire n_10650;
-   wire n_10651;
-   wire n_10652;
-   wire n_10653;
-   wire n_10654;
-   wire n_10655;
-   wire n_10656;
-   wire n_10657;
-   wire n_10658;
-   wire n_10659;
-   wire n_10660;
-   wire n_10661;
-   wire n_10662;
-   wire n_10663;
-   wire n_10664;
-   wire n_10665;
-   wire n_10666;
-   wire n_10667;
-   wire n_10668;
-   wire n_10669;
-   wire n_10670;
-   wire n_10671;
-   wire n_10672;
-   wire n_10673;
-   wire n_10674;
-   wire n_10675;
-   wire n_10676;
-   wire n_10677;
-   wire n_10678;
-   wire n_10679;
-   wire n_10680;
-   wire n_10681;
-   wire n_10682;
-   wire n_10683;
-   wire n_10684;
-   wire n_10685;
-   wire n_10686;
-   wire n_10687;
-   wire n_10688;
-   wire n_10689;
-   wire n_10690;
-   wire n_10691;
-   wire n_10692;
-   wire n_10693;
-   wire n_10694;
-   wire n_10695;
-   wire n_10696;
-   wire n_10697;
-   wire n_10698;
-   wire n_10699;
-   wire n_10700;
-   wire n_10701;
-   wire n_10702;
-   wire n_10703;
-   wire n_10704;
-   wire n_10705;
-   wire n_10706;
-   wire n_10707;
-   wire n_10708;
-   wire n_10709;
-   wire n_10710;
-   wire n_10711;
-   wire n_10712;
-   wire n_10713;
-   wire n_10714;
-   wire n_10715;
-   wire n_10716;
-   wire n_10717;
-   wire n_10718;
-   wire n_10719;
-   wire n_10720;
-   wire n_10721;
-   wire n_10722;
-   wire n_10723;
-   wire n_10724;
-   wire n_10725;
-   wire n_10726;
-   wire n_10727;
-   wire n_10728;
-   wire n_10729;
-   wire n_10730;
-   wire n_10731;
-   wire n_10732;
-   wire n_10733;
-   wire n_10734;
-   wire n_10735;
-   wire n_10736;
-   wire n_10737;
-   wire n_10738;
-   wire n_10739;
-   wire n_10740;
-   wire n_10741;
-   wire n_10742;
-   wire n_10743;
-   wire n_10744;
-   wire n_10745;
-   wire n_10746;
-   wire n_10747;
-   wire n_10748;
-   wire n_10749;
-   wire n_10750;
-   wire n_10751;
-   wire n_10752;
-   wire n_10753;
-   wire n_10754;
-   wire n_10755;
-   wire n_10756;
-   wire n_10757;
-   wire n_10758;
-   wire n_10759;
-   wire n_10760;
-   wire n_10761;
-   wire n_10762;
-   wire n_10763;
-   wire n_10764;
-   wire n_10765;
-   wire n_10766;
-   wire n_10767;
-   wire n_10768;
-   wire n_10769;
-   wire n_10770;
-   wire n_10771;
-   wire n_10772;
-   wire n_10773;
-   wire n_10774;
-   wire n_10775;
-   wire n_10776;
-   wire n_10777;
-   wire n_10778;
-   wire n_10779;
-   wire n_10780;
-   wire n_10781;
-   wire n_10782;
-   wire n_10783;
-   wire n_10784;
-   wire n_10785;
-   wire n_10786;
-   wire n_10787;
-   wire n_10788;
-   wire n_10789;
-   wire n_10790;
-   wire n_10791;
-   wire n_10792;
-   wire n_10793;
-   wire n_10794;
-   wire n_10795;
-   wire n_10796;
-   wire n_10797;
-   wire n_10798;
-   wire n_10799;
-   wire n_10800;
-   wire n_10801;
-   wire n_10802;
-   wire n_10803;
-   wire n_10804;
-   wire n_10805;
-   wire n_10806;
-   wire n_10807;
-   wire n_10808;
-   wire n_10809;
-   wire n_10810;
-   wire n_10811;
-   wire n_10812;
-   wire n_10813;
-   wire n_10814;
-   wire n_10815;
-   wire n_10816;
-   wire n_10817;
-   wire n_10818;
-   wire n_10819;
-   wire n_10820;
-   wire n_10821;
-   wire n_10822;
-   wire n_10823;
-   wire n_10824;
-   wire n_10825;
-   wire n_10826;
-   wire n_10827;
-   wire n_10828;
-   wire n_10829;
-   wire n_10830;
-   wire n_10831;
-   wire n_10832;
-   wire n_10833;
-   wire n_10834;
-   wire n_10835;
-   wire n_10836;
-   wire n_10837;
-   wire n_10838;
-   wire n_10839;
-   wire n_10840;
-   wire n_10841;
-   wire n_10842;
-   wire n_10843;
-   wire n_10844;
-   wire n_10845;
-   wire n_10846;
-   wire n_10847;
-   wire n_10848;
-   wire n_10849;
-   wire n_10850;
-   wire n_10851;
-   wire n_10852;
-   wire n_10853;
-   wire n_10854;
-   wire n_10855;
-   wire n_10856;
-   wire n_10857;
-   wire n_10858;
-   wire n_10859;
-   wire n_10860;
-   wire n_10861;
-   wire n_10862;
-   wire n_10863;
-   wire n_10864;
-   wire n_10865;
-   wire n_10866;
-   wire n_10867;
-   wire n_10868;
-   wire n_10869;
-   wire n_10870;
-   wire n_10871;
-   wire n_10872;
-   wire n_10873;
-   wire n_10874;
-   wire n_10875;
-   wire n_10876;
-   wire n_10877;
-   wire n_10878;
-   wire n_10879;
-   wire n_10880;
-   wire n_10881;
-   wire n_10882;
-   wire n_10883;
-   wire n_10884;
-   wire n_10885;
-   wire n_10886;
-   wire n_10887;
-   wire n_10888;
-   wire n_10889;
-   wire n_10890;
-   wire n_10891;
-   wire n_10892;
-   wire n_10893;
-   wire n_10894;
-   wire n_10895;
-   wire n_10896;
-   wire n_10897;
-   wire n_10898;
-   wire n_10899;
-   wire n_10900;
-   wire n_10901;
-   wire n_10902;
-   wire n_10903;
-   wire n_10904;
-   wire n_10905;
-   wire n_10906;
-   wire n_10907;
-   wire n_10908;
-   wire n_10909;
-   wire n_10910;
-   wire n_10911;
-   wire n_10912;
-   wire n_10913;
-   wire n_10914;
-   wire n_10915;
-   wire n_10916;
-   wire n_10917;
-   wire n_10918;
-   wire n_10919;
-   wire n_10920;
-   wire n_10921;
-   wire n_10922;
-   wire n_10923;
-   wire n_10924;
-   wire n_10925;
-   wire n_10926;
-   wire n_10927;
-   wire n_10928;
-   wire n_10929;
-   wire n_10930;
-   wire n_10931;
-   wire n_10932;
-   wire n_10933;
-   wire n_10934;
-   wire n_10935;
-   wire n_10936;
-   wire n_10937;
-   wire n_10938;
-   wire n_10939;
-   wire n_10940;
-   wire n_10941;
-   wire n_10942;
-   wire n_10943;
-   wire n_10944;
-   wire n_10945;
-   wire n_10946;
-   wire n_10947;
-   wire n_10948;
-   wire n_10949;
-   wire n_10950;
-   wire n_10951;
-   wire n_10952;
-   wire n_10953;
-   wire n_10954;
-   wire n_10955;
-   wire n_10956;
-   wire n_10957;
-   wire n_10958;
-   wire n_10959;
-   wire n_10960;
-   wire n_10961;
-   wire n_10962;
-   wire n_10963;
-   wire n_10964;
-   wire n_10965;
-   wire n_10966;
-   wire n_10967;
-   wire n_10968;
-   wire n_10969;
-   wire n_10970;
-   wire n_10971;
-   wire n_10972;
-   wire n_10973;
-   wire n_10974;
-   wire n_10975;
-   wire n_10976;
-   wire n_10977;
-   wire n_10978;
-   wire n_10979;
-   wire n_10980;
-   wire n_10981;
-   wire n_10982;
-   wire n_10983;
-   wire n_10984;
-   wire n_10985;
-   wire n_10986;
-   wire n_10987;
-   wire n_10988;
-   wire n_10989;
-   wire n_10990;
-   wire n_10991;
-   wire n_10992;
-   wire n_10993;
-   wire n_10994;
-   wire n_10995;
-   wire n_10996;
-   wire n_10997;
-   wire n_10998;
-   wire n_10999;
-   wire n_11000;
-   wire n_11001;
-   wire n_11002;
-   wire n_11003;
-   wire n_11004;
-   wire n_11005;
-   wire n_11006;
-   wire n_11007;
-   wire n_11008;
-   wire n_11009;
-   wire n_11010;
-   wire n_11011;
-   wire n_11012;
-   wire n_11013;
-   wire n_11014;
-   wire n_11015;
-   wire n_11016;
-   wire n_11017;
-   wire n_11018;
-   wire n_11019;
-   wire n_11020;
-   wire n_11021;
-   wire n_11022;
-   wire n_11023;
-   wire n_11024;
-   wire n_11025;
-   wire n_11026;
-   wire n_11027;
-   wire n_11028;
-   wire n_11029;
-   wire n_11030;
-   wire n_11031;
-   wire n_11032;
-   wire n_11033;
-   wire n_11034;
-   wire n_11035;
-   wire n_11036;
-   wire n_11037;
-   wire n_11038;
-   wire n_11039;
-   wire n_11040;
-   wire n_11041;
-   wire n_11042;
-   wire n_11043;
-   wire n_11044;
-   wire n_11045;
-   wire n_11046;
-   wire n_11047;
-   wire n_11048;
-   wire n_11049;
-   wire n_11050;
-   wire n_11051;
-   wire n_11052;
-   wire n_11053;
-   wire n_11054;
-   wire n_11055;
-   wire n_11056;
-   wire n_11057;
-   wire n_11058;
-   wire n_11059;
-   wire n_11060;
-   wire n_11061;
-   wire n_11062;
-   wire n_11063;
-   wire n_11064;
-   wire n_11065;
-   wire n_11066;
-   wire n_11067;
-   wire n_11068;
-   wire n_11069;
-   wire n_11070;
-   wire n_11071;
-   wire n_11072;
-   wire n_11073;
-   wire n_11074;
-   wire n_11075;
-   wire n_11076;
-   wire n_11077;
-   wire n_11078;
-   wire n_11079;
-   wire n_11080;
-   wire n_11081;
-   wire n_11082;
-   wire n_11083;
-   wire n_11084;
-   wire n_11085;
-   wire n_11086;
-   wire n_11087;
-   wire n_11088;
-   wire n_11089;
-   wire n_11090;
-   wire n_11091;
-   wire n_11092;
-   wire n_11093;
-   wire n_11094;
-   wire n_11095;
-   wire n_11096;
-   wire n_11097;
-   wire n_11098;
-   wire n_11099;
-   wire n_11100;
-   wire n_11101;
-   wire n_11102;
-   wire n_11103;
-   wire n_11104;
-   wire n_11105;
-   wire n_11106;
-   wire n_11107;
-   wire n_11108;
-   wire n_11109;
-   wire n_11110;
-   wire n_11111;
-   wire n_11112;
-   wire n_11113;
-   wire n_11114;
-   wire n_11115;
-   wire n_11116;
-   wire n_11117;
-   wire n_11118;
-   wire n_11119;
-   wire n_11120;
-   wire n_11121;
-   wire n_11122;
-   wire n_11123;
-   wire n_11124;
-   wire n_11125;
-   wire n_11126;
-   wire n_11127;
-   wire n_11128;
-   wire n_11129;
-   wire n_11130;
-   wire n_11131;
-   wire n_11132;
-   wire n_11133;
-   wire n_11134;
-   wire n_11135;
-   wire n_11136;
-   wire n_11137;
-   wire n_11138;
-   wire n_11139;
-   wire n_11140;
-   wire n_11141;
-   wire n_11142;
-   wire n_11143;
-   wire n_11144;
-   wire n_11145;
-   wire n_11146;
-   wire n_11147;
-   wire n_11148;
-   wire n_11149;
-   wire n_11150;
-   wire n_11151;
-   wire n_11152;
-   wire n_11153;
-   wire n_11154;
-   wire n_11155;
-   wire n_11156;
-   wire n_11157;
-   wire n_11158;
-   wire n_11159;
-   wire n_11160;
-   wire n_11161;
-   wire n_11162;
-   wire n_11163;
-   wire n_11164;
-   wire n_11165;
-   wire n_11166;
-   wire n_11167;
-   wire n_11168;
-   wire n_11169;
-   wire n_11170;
-   wire n_11171;
-   wire n_11172;
-   wire n_11173;
-   wire n_11174;
-   wire n_11175;
-   wire n_11176;
-   wire n_11177;
-   wire n_11178;
-   wire n_11179;
-   wire n_11180;
-   wire n_11181;
-   wire n_11182;
-   wire n_11183;
-   wire n_11184;
-   wire n_11185;
-   wire n_11186;
-   wire n_11187;
-   wire n_11188;
-   wire n_11189;
-   wire n_11190;
-   wire n_11191;
-   wire n_11192;
-   wire n_11193;
-   wire n_11194;
-   wire n_11195;
-   wire n_11196;
-   wire n_11197;
-   wire n_11198;
-   wire n_11199;
-   wire n_11200;
-   wire n_11201;
-   wire n_11202;
-   wire n_11203;
-   wire n_11204;
-   wire n_11205;
-   wire n_11206;
-   wire n_11207;
-   wire n_11208;
-   wire n_11209;
-   wire n_11210;
-   wire n_11211;
-   wire n_11212;
-   wire n_11213;
-   wire n_11214;
-   wire n_11215;
-   wire n_11216;
-   wire n_11217;
-   wire n_11218;
-   wire n_11219;
-   wire n_11220;
-   wire n_11221;
-   wire n_11222;
-   wire n_11223;
-   wire n_11224;
-   wire n_11225;
-   wire n_11226;
-   wire n_11227;
-   wire n_11228;
-   wire n_11229;
-   wire n_11230;
-   wire n_11231;
-   wire n_11232;
-   wire n_11233;
-   wire n_11234;
-   wire n_11235;
-   wire n_11236;
-   wire n_11237;
-   wire n_11238;
-   wire n_11239;
-   wire n_11240;
-   wire n_11241;
-   wire n_11242;
-   wire n_11243;
-   wire n_11244;
-   wire n_11245;
-   wire n_11246;
-   wire n_11247;
-   wire n_11248;
-   wire n_11249;
-   wire n_11250;
-   wire n_11251;
-   wire n_11252;
-   wire n_11253;
-   wire n_11254;
-   wire n_11255;
-   wire n_11256;
-   wire n_11257;
-   wire n_11258;
-   wire n_11259;
-   wire n_11260;
-   wire n_11261;
-   wire n_11262;
-   wire n_11263;
-   wire n_11264;
-   wire n_11265;
-   wire n_11266;
-   wire n_11267;
-   wire n_11268;
-   wire n_11269;
-   wire n_11270;
-   wire n_11271;
-   wire n_11272;
-   wire n_11273;
-   wire n_11274;
-   wire n_11275;
-   wire n_11276;
-   wire n_11277;
-   wire n_11278;
-   wire n_11279;
-   wire n_11280;
-   wire n_11281;
-   wire n_11282;
-   wire n_11283;
-   wire n_11284;
-   wire n_11285;
-   wire n_11286;
-   wire n_11287;
-   wire n_11288;
-   wire n_11289;
-   wire n_11290;
-   wire n_11291;
-   wire n_11292;
-   wire n_11293;
-   wire n_11294;
-   wire n_11295;
-   wire n_11296;
-   wire n_11297;
-   wire n_11298;
-   wire n_11299;
-   wire n_11300;
-   wire n_11301;
-   wire n_11302;
-   wire n_11303;
-   wire n_11304;
-   wire n_11305;
-   wire n_11306;
-   wire n_11307;
-   wire n_11308;
-   wire n_11309;
-   wire n_11310;
-   wire n_11311;
-   wire n_11312;
-   wire n_11313;
-   wire n_11314;
-   wire n_11315;
-   wire n_11316;
-   wire n_11317;
-   wire n_11318;
-   wire n_11319;
-   wire n_11320;
-   wire n_11321;
-   wire n_11322;
-   wire n_11323;
-   wire n_11324;
-   wire n_11325;
-   wire n_11326;
-   wire n_11327;
-   wire n_11328;
-   wire n_11329;
-   wire n_11330;
-   wire n_11331;
-   wire n_11332;
-   wire n_11333;
-   wire n_11334;
-   wire n_11335;
-   wire n_11336;
-   wire n_11337;
-   wire n_11339;
-   wire n_11340;
-   wire n_11341;
-   wire n_11342;
-   wire n_11343;
-   wire n_11344;
-   wire n_11345;
-   wire n_11346;
-   wire n_11347;
-   wire n_11348;
-   wire n_11349;
-   wire n_11350;
-   wire n_11351;
-   wire n_11352;
-   wire n_11353;
-   wire n_11354;
-   wire n_11355;
-   wire n_11356;
-   wire n_11357;
-   wire n_11358;
-   wire n_11359;
-   wire n_11360;
-   wire n_11361;
-   wire n_11362;
-   wire n_11363;
-   wire n_11364;
-   wire n_11365;
-   wire n_11366;
-   wire n_11367;
-   wire n_11368;
-   wire n_11369;
-   wire n_11370;
-   wire n_11371;
-   wire n_11372;
-   wire n_11374;
-   wire n_11375;
-   wire n_11376;
-   wire n_11377;
-   wire n_11379;
-   wire n_11380;
-   wire n_11381;
-   wire n_11383;
-   wire n_11384;
-   wire n_11385;
-   wire n_11386;
-   wire n_11387;
-   wire n_11388;
-   wire n_11389;
-   wire n_11390;
-   wire n_11391;
-   wire n_11392;
-   wire n_11393;
-   wire n_11394;
-   wire n_11395;
-   wire n_11396;
-   wire n_11397;
-   wire n_11398;
-   wire n_11399;
-   wire n_11400;
-   wire n_11403;
-   wire n_11404;
-   wire n_11405;
-   wire n_11406;
-   wire n_11407;
-   wire n_11408;
-   wire n_11409;
-   wire n_11410;
-   wire n_11411;
-   wire n_11412;
-   wire n_11415;
-   wire n_11416;
-   wire n_11417;
-   wire n_11418;
-   wire n_11420;
-   wire n_11421;
-   wire n_11423;
-   wire n_11424;
-   wire n_11425;
-   wire n_11426;
-   wire n_11427;
-   wire n_11428;
-   wire n_11429;
-   wire n_11430;
-   wire n_11431;
-   wire n_11432;
-   wire n_11433;
-   wire n_11434;
-   wire n_11435;
-   wire n_11438;
-   wire n_11439;
-   wire n_11440;
-   wire n_11441;
-   wire n_11442;
-   wire n_11443;
-   wire n_11446;
-   wire n_11447;
-   wire n_11448;
-   wire n_11449;
-   wire n_11450;
-   wire n_11451;
-   wire n_11452;
-   wire n_11453;
-   wire n_11454;
-   wire n_11455;
-   wire n_11456;
-   wire n_11457;
-   wire n_11458;
-   wire n_11459;
-   wire n_11460;
-   wire n_11461;
-   wire n_11462;
-   wire n_11463;
-   wire n_11464;
-   wire n_11465;
-   wire n_11466;
-   wire n_11467;
-   wire n_11468;
-   wire n_11469;
-   wire n_11470;
-   wire n_11471;
-   wire n_11472;
-   wire n_11473;
-   wire n_11474;
-   wire n_11475;
-   wire n_11476;
-   wire n_11477;
-   wire n_11478;
-   wire n_11479;
-   wire n_11480;
-   wire n_11481;
-   wire n_11482;
-   wire n_11483;
-   wire n_11484;
-   wire n_11485;
-   wire n_11487;
-   wire n_11488;
-   wire n_11489;
-   wire n_11491;
-   wire n_11493;
-   wire n_11494;
-   wire n_11496;
-   wire n_11497;
-   wire n_11499;
-   wire n_11500;
-   wire n_11501;
-   wire n_11505;
-   wire n_11506;
-   wire n_11507;
-   wire n_11508;
-   wire n_11512;
-   wire n_11515;
-   wire n_11516;
-   wire n_11523;
-   wire n_11524;
-   wire n_11531;
-   wire n_11533;
-   wire n_11536;
-   wire n_11537;
-   wire n_11538;
-   wire n_11540;
-   wire n_11542;
-   wire n_11544;
-   wire n_11546;
-   wire n_11550;
-   wire n_11553;
-   wire n_11559;
-   wire n_11560;
-   wire n_11562;
-   wire n_11563;
-   wire n_11566;
-   wire n_11571;
-   wire n_11572;
-   wire n_11573;
-   wire n_11574;
-   wire n_11575;
-   wire n_11576;
-   wire n_11578;
-   wire n_11579;
-   wire n_11580;
-   wire n_11581;
-   wire n_11583;
-   wire n_11585;
-   wire n_11586;
-   wire n_11588;
-   wire n_11590;
-   wire n_11591;
-   wire n_11592;
-   wire n_11593;
-   wire n_11594;
-   wire n_11595;
-   wire n_11597;
-   wire n_11599;
-   wire n_11600;
-   wire n_11601;
-   wire n_11602;
-   wire n_11605;
-   wire n_11611;
-   wire n_11615;
-   wire n_11620;
-   wire n_11621;
-   wire n_11625;
-   wire n_11636;
-   wire n_11637;
-   wire n_11638;
-   wire n_11639;
-   wire n_11640;
-   wire n_11641;
-   wire n_11642;
-   wire n_11643;
-   wire n_11645;
-   wire n_11646;
-   wire n_11647;
-   wire n_11649;
-   wire n_11650;
-   wire n_11651;
-   wire n_11652;
-   wire n_11653;
-   wire n_11654;
-   wire n_11656;
-   wire n_11659;
-   wire n_11660;
-   wire n_11661;
-   wire n_11662;
-   wire n_11663;
-   wire n_11664;
-   wire n_11665;
-   wire n_11667;
-   wire n_11668;
-   wire n_11669;
-   wire n_11670;
-   wire n_11671;
-   wire n_11672;
-   wire n_11673;
-   wire n_11675;
-   wire n_11676;
-   wire n_11678;
-   wire n_11679;
-   wire n_11680;
-   wire n_11681;
-   wire n_11684;
-   wire n_11685;
-   wire n_11686;
-   wire n_11690;
-   wire n_11691;
-   wire n_11692;
-   wire n_11693;
-   wire n_11694;
-   wire n_11695;
-   wire n_11696;
-   wire n_11697;
-   wire n_11702;
-   wire n_11703;
-   wire n_11704;
-   wire n_11706;
-   wire n_11708;
-   wire n_11711;
-   wire n_11712;
-   wire n_11713;
-   wire n_11717;
-   wire n_11720;
-   wire n_11722;
-   wire n_11724;
-   wire n_11726;
-   wire n_11728;
-   wire n_11729;
-   wire n_11732;
-   wire n_11735;
-   wire n_11741;
-   wire n_11742;
-   wire n_11753;
-   wire n_11755;
-   wire n_11757;
-   wire n_11759;
-   wire n_11761;
-   wire n_11762;
-   wire n_11763;
-   wire n_11768;
-   wire n_11772;
-   wire n_11773;
-   wire n_11777;
-   wire n_11778;
-   wire n_11779;
-   wire n_11785;
-   wire n_11787;
-   wire n_11790;
-   wire n_11791;
-   wire n_11793;
-   wire n_11794;
-   wire n_11795;
-   wire n_11800;
-   wire n_11801;
-   wire n_11802;
-   wire n_11803;
-   wire n_11805;
-   wire n_11807;
-   wire n_11811;
-   wire n_11812;
-   wire n_11813;
-   wire n_11814;
-   wire n_11815;
-   wire n_11816;
-   wire n_11817;
-   wire n_11818;
-   wire n_11819;
-   wire n_11820;
-   wire n_11821;
-   wire n_11822;
-   wire n_11823;
-   wire n_11824;
-   wire n_11825;
-   wire n_11826;
-   wire n_11828;
-   wire n_11834;
-   wire n_11837;
-   wire n_11838;
-   wire n_11839;
-   wire n_11840;
-   wire n_11842;
-   wire n_11843;
-   wire n_11844;
-   wire n_11845;
-   wire n_11846;
-   wire n_11847;
-   wire n_11848;
-   wire n_11849;
-   wire n_11850;
-   wire n_11851;
-   wire n_11852;
-   wire n_11853;
-   wire n_11854;
-   wire n_11855;
-   wire n_11856;
-   wire n_11857;
-   wire n_11858;
-   wire n_11859;
-   wire n_11860;
-   wire n_11861;
-   wire n_11862;
-   wire n_11863;
-   wire n_11864;
-   wire n_11865;
-   wire n_11866;
-   wire n_11867;
-   wire n_11868;
-   wire n_11869;
-   wire n_11870;
-   wire n_11871;
-   wire n_11872;
-   wire n_11873;
-   wire n_11874;
-   wire n_11875;
-   wire n_11876;
-   wire n_11877;
-   wire n_11878;
-   wire n_11879;
-   wire n_11880;
-   wire n_11881;
-   wire n_11882;
-   wire n_11883;
-   wire n_11884;
-   wire n_11885;
-   wire n_11886;
-   wire n_11887;
-   wire n_11888;
-   wire n_11889;
-   wire n_11890;
-   wire n_11891;
-   wire n_11892;
-   wire n_11893;
-   wire n_11894;
-   wire n_11895;
-   wire n_11896;
-   wire n_11897;
-   wire n_11898;
-   wire n_11899;
-   wire n_11900;
-   wire n_11902;
-   wire n_11903;
-   wire n_11904;
-   wire n_11905;
-   wire n_11906;
-   wire n_11907;
-   wire n_11908;
-   wire n_11909;
-   wire n_11910;
-   wire n_11911;
-   wire n_11912;
-   wire n_11913;
-   wire n_11914;
-   wire n_11915;
-   wire n_11916;
-   wire n_11917;
-   wire n_11918;
-   wire n_11919;
-   wire n_11920;
-   wire n_11921;
-   wire n_11923;
-   wire n_11924;
-   wire n_11926;
-   wire n_11927;
-   wire n_11929;
-   wire n_11930;
-   wire n_11931;
-   wire n_11932;
-   wire n_11933;
-   wire n_11940;
-   wire n_11941;
-   wire n_11943;
-   wire n_11944;
-   wire n_11945;
-   wire n_11946;
-   wire n_11947;
-   wire n_11948;
-   wire n_11950;
-   wire n_11951;
-   wire n_11956;
-   wire n_11957;
-   wire n_11958;
-   wire n_11959;
-   wire n_11960;
-   wire n_11961;
-   wire n_11962;
-   wire n_11963;
-   wire n_11964;
-   wire n_11965;
-   wire n_11966;
-   wire n_11967;
-   wire n_11968;
-   wire n_11969;
-   wire n_11970;
-   wire n_11971;
-   wire n_11972;
-   wire n_11973;
-   wire n_11974;
-   wire n_11975;
-   wire n_11976;
-   wire n_11977;
-   wire n_11979;
-   wire n_11980;
-   wire n_11981;
-   wire n_11982;
-   wire n_11983;
-   wire n_11984;
-   wire n_11985;
-   wire n_11986;
-   wire n_11988;
-   wire n_11989;
-   wire n_11990;
-   wire n_11991;
-   wire n_11992;
-   wire n_11993;
-   wire n_11994;
-   wire n_11995;
-   wire n_11996;
-   wire n_11997;
-   wire n_11998;
-   wire n_11999;
-   wire n_12000;
-   wire n_12001;
-   wire n_12002;
-   wire n_12003;
-   wire n_12004;
-   wire n_12005;
-   wire n_12006;
-   wire n_12007;
-   wire n_12008;
-   wire n_12009;
-   wire n_12010;
-   wire n_12011;
-   wire n_12012;
-   wire n_12013;
-   wire n_12014;
-   wire n_12015;
-   wire n_12016;
-   wire n_12017;
-   wire n_12018;
-   wire n_12019;
-   wire n_12020;
-   wire n_12021;
-   wire n_12022;
-   wire n_12023;
-   wire n_12024;
-   wire n_12025;
-   wire n_12026;
-   wire n_12028;
-   wire n_12029;
-   wire n_12030;
-   wire n_12031;
-   wire n_12032;
-   wire n_12033;
-   wire n_12034;
-   wire n_12035;
-   wire n_12036;
-   wire n_12037;
-   wire n_12038;
-   wire n_12039;
-   wire n_12040;
-   wire n_12041;
-   wire n_12042;
-   wire n_12043;
-   wire n_12044;
-   wire n_12045;
-   wire n_12046;
-   wire n_12048;
-   wire n_12049;
-   wire n_12050;
-   wire n_12051;
-   wire n_12052;
-   wire n_12053;
-   wire n_12054;
-   wire n_12055;
-   wire n_12056;
-   wire n_12057;
-   wire n_12058;
-   wire n_12059;
-   wire n_12060;
-   wire n_12061;
-   wire n_12062;
-   wire n_12063;
-   wire n_12064;
-   wire n_12065;
-   wire n_12066;
-   wire n_12067;
-   wire n_12068;
-   wire n_12069;
-   wire n_12070;
-   wire n_12071;
-   wire n_12072;
-   wire n_12073;
-   wire n_12074;
-   wire n_12075;
-   wire n_12076;
-   wire n_12077;
-   wire n_12078;
-   wire n_12079;
-   wire n_12080;
-   wire n_12081;
-   wire n_12082;
-   wire n_12083;
-   wire n_12084;
-   wire n_12085;
-   wire n_12086;
-   wire n_12087;
-   wire n_12088;
-   wire n_12089;
-   wire n_12090;
-   wire n_12091;
-   wire n_12092;
-   wire n_12093;
-   wire n_12094;
-   wire n_12095;
-   wire n_12096;
-   wire n_12097;
-   wire n_12098;
-   wire n_12099;
-   wire n_12100;
-   wire n_12101;
-   wire n_12102;
-   wire n_12103;
-   wire n_12104;
-   wire n_12105;
-   wire n_12106;
-   wire n_12107;
-   wire n_12108;
-   wire n_12109;
-   wire n_12110;
-   wire n_12111;
-   wire n_12112;
-   wire n_12113;
-   wire n_12114;
-   wire n_12115;
-   wire n_12117;
-   wire n_12118;
-   wire n_12122;
-   wire n_12123;
-   wire n_12125;
-   wire n_12126;
-   wire n_12127;
-   wire n_12128;
-   wire n_12129;
-   wire n_12130;
-   wire n_12131;
-   wire n_12132;
-   wire n_12133;
-   wire n_12134;
-   wire n_12135;
-   wire n_12136;
-   wire n_12137;
-   wire n_12138;
-   wire n_12139;
-   wire n_12140;
-   wire n_12141;
-   wire n_12142;
-   wire n_12143;
-   wire n_12144;
-   wire n_12145;
-   wire n_12146;
-   wire n_12147;
-   wire n_12148;
-   wire n_12149;
-   wire n_12150;
-   wire n_12151;
-   wire n_12152;
-   wire n_12153;
-   wire n_12154;
-   wire n_12155;
-   wire n_12156;
-   wire n_12157;
-   wire n_12158;
-   wire n_12159;
-   wire n_12160;
-   wire n_12161;
-   wire n_12162;
-   wire n_12163;
-   wire n_12164;
-   wire n_12165;
-   wire n_12166;
-   wire n_12167;
-   wire n_12168;
-   wire n_12169;
-   wire n_12170;
-   wire n_12171;
-   wire n_12172;
-   wire n_12173;
-   wire n_12174;
-   wire n_12175;
-   wire n_12176;
-   wire n_12177;
-   wire n_12178;
-   wire n_12179;
-   wire n_12180;
-   wire n_12181;
-   wire n_12182;
-   wire n_12183;
-   wire n_12184;
-   wire n_12185;
-   wire n_12186;
-   wire n_12187;
-   wire n_12188;
-   wire n_12189;
-   wire n_12190;
-   wire n_12191;
-   wire n_12192;
-   wire n_12193;
-   wire n_12194;
-   wire n_12195;
-   wire n_12196;
-   wire n_12197;
-   wire n_12198;
-   wire n_12199;
-   wire n_12200;
-   wire n_12201;
-   wire n_12202;
-   wire n_12203;
-   wire n_12204;
-   wire n_12205;
-   wire n_12206;
-   wire n_12207;
-   wire n_12208;
-   wire n_12209;
-   wire n_12210;
-   wire n_12211;
-   wire n_12212;
-   wire n_12213;
-   wire n_12214;
-   wire n_12215;
-   wire n_12216;
-   wire n_12217;
-   wire n_12218;
-   wire n_12219;
-   wire n_12220;
-   wire n_12221;
-   wire n_12222;
-   wire n_12223;
-   wire n_12224;
-   wire n_12225;
-   wire n_12226;
-   wire n_12227;
-   wire n_12228;
-   wire n_12229;
-   wire n_12230;
-   wire n_12231;
-   wire n_12232;
-   wire n_12233;
-   wire n_12234;
-   wire n_12235;
-   wire n_12236;
-   wire n_12237;
-   wire n_12238;
-   wire n_12239;
-   wire n_12240;
-   wire n_12241;
-   wire n_12242;
-   wire n_12243;
-   wire n_12244;
-   wire n_12245;
-   wire n_12246;
-   wire n_12247;
-   wire n_12248;
-   wire n_12249;
-   wire n_12250;
-   wire n_12251;
-   wire n_12252;
-   wire n_12253;
-   wire n_12254;
-   wire n_12255;
-   wire n_12256;
-   wire n_12257;
-   wire n_12258;
-   wire n_12259;
-   wire n_12260;
-   wire n_12261;
-   wire n_12262;
-   wire n_12263;
-   wire n_12264;
-   wire n_12265;
-   wire n_12266;
-   wire n_12267;
-   wire n_12268;
-   wire n_12269;
-   wire n_12270;
-   wire n_12271;
-   wire n_12272;
-   wire n_12273;
-   wire n_12274;
-   wire n_12275;
-   wire n_12276;
-   wire n_12277;
-   wire n_12278;
-   wire n_12279;
-   wire n_12280;
-   wire n_12281;
-   wire n_12282;
-   wire n_12283;
-   wire n_12284;
-   wire n_12285;
-   wire n_12286;
-   wire n_12287;
-   wire n_12288;
-   wire n_12289;
-   wire n_12290;
-   wire n_12291;
-   wire n_12292;
-   wire n_12293;
-   wire n_12294;
-   wire n_12295;
-   wire n_12296;
-   wire n_12297;
-   wire n_12298;
-   wire n_12299;
-   wire n_12300;
-   wire n_12301;
-   wire n_12302;
-   wire n_12303;
-   wire n_12304;
-   wire n_12305;
-   wire n_12306;
-   wire n_12307;
-   wire n_12308;
-   wire n_12309;
-   wire n_12310;
-   wire n_12311;
-   wire n_12312;
-   wire n_12313;
-   wire n_12314;
-   wire n_12315;
-   wire n_12316;
-   wire n_12317;
-   wire n_12318;
-   wire n_12319;
-   wire n_12320;
-   wire n_12321;
-   wire n_12322;
-   wire n_12323;
-   wire n_12324;
-   wire n_12325;
-   wire n_12326;
-   wire n_12327;
-   wire n_12328;
-   wire n_12329;
-   wire n_12330;
-   wire n_12331;
-   wire n_12332;
-   wire n_12333;
-   wire n_12334;
-   wire n_12335;
-   wire n_12336;
-   wire n_12337;
-   wire n_12338;
-   wire n_12339;
-   wire n_12340;
-   wire n_12341;
-   wire n_12342;
-   wire n_12343;
-   wire n_12344;
-   wire n_12345;
-   wire n_12346;
-   wire n_12347;
-   wire n_12348;
-   wire n_12349;
-   wire n_12350;
-   wire n_12351;
-   wire n_12352;
-   wire n_12353;
-   wire n_12354;
-   wire n_12355;
-   wire n_12356;
-   wire n_12357;
-   wire n_12358;
-   wire n_12359;
-   wire n_12360;
-   wire n_12361;
-   wire n_12362;
-   wire n_12363;
-   wire n_12364;
-   wire n_12365;
-   wire n_12366;
-   wire n_12367;
-   wire n_12368;
-   wire n_12369;
-   wire n_12370;
-   wire n_12371;
-   wire n_12372;
-   wire n_12373;
-   wire n_12374;
-   wire n_12375;
-   wire n_12376;
-   wire n_12377;
-   wire n_12378;
-   wire n_12379;
-   wire n_12380;
-   wire n_12381;
-   wire n_12382;
-   wire n_12383;
-   wire n_12384;
-   wire n_12385;
-   wire n_12386;
-   wire n_12387;
-   wire n_12388;
-   wire n_12389;
-   wire n_12390;
-   wire n_12391;
-   wire n_12392;
-   wire n_12393;
-   wire n_12394;
-   wire n_12395;
-   wire n_12396;
-   wire n_12397;
-   wire n_12398;
-   wire n_12399;
-   wire n_12400;
-   wire n_12401;
-   wire n_12402;
-   wire n_12403;
-   wire n_12404;
-   wire n_12405;
-   wire n_12406;
-   wire n_12407;
-   wire n_12408;
-   wire n_12409;
-   wire n_12410;
-   wire n_12411;
-   wire n_12412;
-   wire n_12413;
-   wire n_12414;
-   wire n_12415;
-   wire n_12416;
-   wire n_12417;
-   wire n_12418;
-   wire n_12419;
-   wire n_12420;
-   wire n_12421;
-   wire n_12422;
-   wire n_12423;
-   wire n_12424;
-   wire n_12425;
-   wire n_12426;
-   wire n_12427;
-   wire n_12428;
-   wire n_12429;
-   wire n_12430;
-   wire n_12431;
-   wire n_12432;
-   wire n_12433;
-   wire n_12434;
-   wire n_12435;
-   wire n_12436;
-   wire n_12437;
-   wire n_12438;
-   wire n_12439;
-   wire n_12440;
-   wire n_12441;
-   wire n_12442;
-   wire n_12443;
-   wire n_12444;
-   wire n_12445;
-   wire n_12446;
-   wire n_12447;
-   wire n_12448;
-   wire n_12449;
-   wire n_12450;
-   wire n_12451;
-   wire n_12452;
-   wire n_12453;
-   wire n_12454;
-   wire n_12455;
-   wire n_12456;
-   wire n_12457;
-   wire n_12458;
-   wire n_12459;
-   wire n_12460;
-   wire n_12461;
-   wire n_12462;
-   wire n_12463;
-   wire n_12464;
-   wire n_12465;
-   wire n_12466;
-   wire n_12468;
-   wire n_12469;
-   wire n_12470;
-   wire n_12471;
-   wire n_12472;
-   wire n_12473;
-   wire n_12474;
-   wire n_12475;
-   wire n_12476;
-   wire n_12477;
-   wire n_12478;
-   wire n_12479;
-   wire n_12480;
-   wire n_12481;
-   wire n_12482;
-   wire n_12483;
-   wire n_12484;
-   wire n_12485;
-   wire n_12486;
-   wire n_12487;
-   wire n_12488;
-   wire n_12489;
-   wire n_12490;
-   wire n_12491;
-   wire n_12492;
-   wire n_12493;
-   wire n_12494;
-   wire n_12495;
-   wire n_12496;
-   wire n_12497;
-   wire n_12498;
-   wire n_12499;
-   wire n_12500;
-   wire n_12501;
-   wire n_12502;
-   wire n_12503;
-   wire n_12504;
-   wire n_12505;
-   wire n_12506;
-   wire n_12507;
-   wire n_12508;
-   wire n_12509;
-   wire n_12510;
-   wire n_12511;
-   wire n_12512;
-   wire n_12513;
-   wire n_12514;
-   wire n_12515;
-   wire n_12516;
-   wire n_12517;
-   wire n_12518;
-   wire n_12519;
-   wire n_12520;
-   wire n_12521;
-   wire n_12522;
-   wire n_12523;
-   wire n_12524;
-   wire n_12525;
-   wire n_12526;
-   wire n_12527;
-   wire n_12528;
-   wire n_12529;
-   wire n_12530;
-   wire n_12531;
-   wire n_12532;
-   wire n_12533;
-   wire n_12534;
-   wire n_12535;
-   wire n_12536;
-   wire n_12537;
-   wire n_12538;
-   wire n_12539;
-   wire n_12540;
-   wire n_12541;
-   wire n_12542;
-   wire n_12544;
-   wire n_12545;
-   wire n_12546;
-   wire n_12547;
-   wire n_12548;
-   wire n_12549;
-   wire n_12550;
-   wire n_12551;
-   wire n_12552;
-   wire n_12553;
-   wire n_12554;
-   wire n_12555;
-   wire n_12556;
-   wire n_12557;
-   wire n_12558;
-   wire n_12559;
-   wire n_12560;
-   wire n_12561;
-   wire n_12562;
-   wire n_12563;
-   wire n_12564;
-   wire n_12565;
-   wire n_12566;
-   wire n_12567;
-   wire n_12568;
-   wire n_12569;
-   wire n_12570;
-   wire n_12571;
-   wire n_12572;
-   wire n_12573;
-   wire n_12574;
-   wire n_12575;
-   wire n_12576;
-   wire n_12577;
-   wire n_12578;
-   wire n_12579;
-   wire n_12580;
-   wire n_12581;
-   wire n_12582;
-   wire n_12583;
-   wire n_12584;
-   wire n_12585;
-   wire n_12586;
-   wire n_12587;
-   wire n_12588;
-   wire n_12589;
-   wire n_12590;
-   wire n_12591;
-   wire n_12592;
-   wire n_12593;
-   wire n_12594;
-   wire n_12595;
-   wire n_12596;
-   wire n_12597;
-   wire n_12598;
-   wire n_12599;
-   wire n_12600;
-   wire n_12601;
-   wire n_12602;
-   wire n_12603;
-   wire n_12604;
-   wire n_12605;
-   wire n_12606;
-   wire n_12607;
-   wire n_12608;
-   wire n_12609;
-   wire n_12610;
-   wire n_12611;
-   wire n_12612;
-   wire n_12613;
-   wire n_12614;
-   wire n_12615;
-   wire n_12616;
-   wire n_12617;
-   wire n_12618;
-   wire n_12619;
-   wire n_12620;
-   wire n_12621;
-   wire n_12622;
-   wire n_12623;
-   wire n_12624;
-   wire n_12625;
-   wire n_12626;
-   wire n_12627;
-   wire n_12628;
-   wire n_12629;
-   wire n_12630;
-   wire n_12631;
-   wire n_12632;
-   wire n_12633;
-   wire n_12634;
-   wire n_12635;
-   wire n_12636;
-   wire n_12637;
-   wire n_12638;
-   wire n_12639;
-   wire n_12640;
-   wire n_12641;
-   wire n_12642;
-   wire n_12643;
-   wire n_12644;
-   wire n_12645;
-   wire n_12646;
-   wire n_12647;
-   wire n_12648;
-   wire n_12649;
-   wire n_12650;
-   wire n_12651;
-   wire n_12653;
-   wire n_12654;
-   wire n_12655;
-   wire n_12656;
-   wire n_12657;
-   wire n_12658;
-   wire n_12659;
-   wire n_12660;
-   wire n_12661;
-   wire n_12662;
-   wire n_12663;
-   wire n_12664;
-   wire n_12665;
-   wire n_12666;
-   wire n_12667;
-   wire n_12668;
-   wire n_12669;
-   wire n_12670;
-   wire n_12671;
-   wire n_12672;
-   wire n_12673;
-   wire n_12674;
-   wire n_12675;
-   wire n_12676;
-   wire n_12677;
-   wire n_12678;
-   wire n_12679;
-   wire n_12680;
-   wire n_12681;
-   wire n_12682;
-   wire n_12683;
-   wire n_12684;
-   wire n_12685;
-   wire n_12686;
-   wire n_12687;
-   wire n_12688;
-   wire n_12689;
-   wire n_12690;
-   wire n_12691;
-   wire n_12692;
-   wire n_12693;
-   wire n_12694;
-   wire n_12695;
-   wire n_12696;
-   wire n_12697;
-   wire n_12698;
-   wire n_12699;
-   wire n_12700;
-   wire n_12701;
-   wire n_12702;
-   wire n_12703;
-   wire n_12704;
-   wire n_12705;
-   wire n_12706;
-   wire n_12707;
-   wire n_12708;
-   wire n_12709;
-   wire n_12710;
-   wire n_12711;
-   wire n_12712;
-   wire n_12713;
-   wire n_12714;
-   wire n_12715;
-   wire n_12716;
-   wire n_12718;
-   wire n_12719;
-   wire n_12720;
-   wire n_12721;
-   wire n_12722;
-   wire n_12723;
-   wire n_12724;
-   wire n_12725;
-   wire n_12726;
-   wire n_12727;
-   wire n_12728;
-   wire n_12729;
-   wire n_12730;
-   wire n_12731;
-   wire n_12732;
-   wire n_12733;
-   wire n_12734;
-   wire n_12735;
-   wire n_12736;
-   wire n_12737;
-   wire n_12738;
-   wire n_12739;
-   wire n_12740;
-   wire n_12741;
-   wire n_12742;
-   wire n_12743;
-   wire n_12744;
-   wire n_12745;
-   wire n_12746;
-   wire n_12747;
-   wire n_12748;
-   wire n_12749;
-   wire n_12750;
-   wire n_12751;
-   wire n_12752;
-   wire n_12753;
-   wire n_12754;
-   wire n_12755;
-   wire n_12756;
-   wire n_12757;
-   wire n_12758;
-   wire n_12759;
-   wire n_12760;
-   wire n_12761;
-   wire n_12762;
-   wire n_12763;
-   wire n_12764;
-   wire n_12765;
-   wire n_12766;
-   wire n_12767;
-   wire n_12768;
-   wire n_12769;
-   wire n_12770;
-   wire n_12771;
-   wire n_12773;
-   wire n_12774;
-   wire n_12775;
-   wire n_12776;
-   wire n_12777;
-   wire n_12778;
-   wire n_12779;
-   wire n_12780;
-   wire n_12781;
-   wire n_12782;
-   wire n_12783;
-   wire n_12784;
-   wire n_12785;
-   wire n_12786;
-   wire n_12787;
-   wire n_12788;
-   wire n_12789;
-   wire n_12790;
-   wire n_12791;
-   wire n_12792;
-   wire n_12793;
-   wire n_12794;
-   wire n_12795;
-   wire n_12796;
-   wire n_12797;
-   wire n_12798;
-   wire n_12799;
-   wire n_12800;
-   wire n_12801;
-   wire n_12802;
-   wire n_12803;
-   wire n_12804;
-   wire n_12805;
-   wire n_12806;
-   wire n_12807;
-   wire n_12808;
-   wire n_12809;
-   wire n_12810;
-   wire n_12811;
-   wire n_12812;
-   wire n_12813;
-   wire n_12814;
-   wire n_12815;
-   wire n_12816;
-   wire n_12817;
-   wire n_12818;
-   wire n_12819;
-   wire n_12820;
-   wire n_12821;
-   wire n_12822;
-   wire n_12823;
-   wire n_12824;
-   wire n_12825;
-   wire n_12826;
-   wire n_12828;
-   wire n_12829;
-   wire n_12830;
-   wire n_12831;
-   wire n_12832;
-   wire n_12833;
-   wire n_12834;
-   wire n_12835;
-   wire n_12836;
-   wire n_12837;
-   wire n_12838;
-   wire n_12839;
-   wire n_12840;
-   wire n_12841;
-   wire n_12842;
-   wire n_12844;
-   wire n_12845;
-   wire n_12846;
-   wire n_12847;
-   wire n_12848;
-   wire n_12849;
-   wire n_12850;
-   wire n_12851;
-   wire n_12852;
-   wire n_12853;
-   wire n_12855;
-   wire n_12856;
-   wire n_12857;
-   wire n_12858;
-   wire n_12859;
-   wire n_12860;
-   wire n_12861;
-   wire n_12862;
-   wire n_12863;
-   wire n_12864;
-   wire n_12865;
-   wire n_12866;
-   wire n_12867;
-   wire n_12868;
-   wire n_12869;
-   wire n_12870;
-   wire n_12871;
-   wire n_12872;
-   wire n_12873;
-   wire n_12874;
-   wire n_12875;
-   wire n_12876;
-   wire n_12877;
-   wire n_12878;
-   wire n_12879;
-   wire n_12880;
-   wire n_12881;
-   wire n_12882;
-   wire n_12883;
-   wire n_12884;
-   wire n_12885;
-   wire n_12886;
-   wire n_12887;
-   wire n_12888;
-   wire n_12889;
-   wire n_12890;
-   wire n_12891;
-   wire n_12892;
-   wire n_12893;
-   wire n_12894;
-   wire n_12895;
-   wire n_12896;
-   wire n_12897;
-   wire n_12899;
-   wire n_12900;
-   wire n_12901;
-   wire n_12902;
-   wire n_12903;
-   wire n_12904;
-   wire n_12905;
-   wire n_12906;
-   wire n_12907;
-   wire n_12908;
-   wire n_12909;
-   wire n_12910;
-   wire n_12911;
-   wire n_12912;
-   wire n_12913;
-   wire n_12914;
-   wire n_12915;
-   wire n_12916;
-   wire n_12917;
-   wire n_12918;
-   wire n_12919;
-   wire n_12920;
-   wire n_12921;
-   wire n_12922;
-   wire n_12923;
-   wire n_12924;
-   wire n_12925;
-   wire n_12926;
-   wire n_12927;
-   wire n_12928;
-   wire n_12929;
-   wire n_12930;
-   wire n_12931;
-   wire n_12932;
-   wire n_12933;
-   wire n_12934;
-   wire n_12935;
-   wire n_12936;
-   wire n_12937;
-   wire n_12938;
-   wire n_12939;
-   wire n_12940;
-   wire n_12941;
-   wire n_12942;
-   wire n_12943;
-   wire n_12944;
-   wire n_12946;
-   wire n_12947;
-   wire n_12948;
-   wire n_12949;
-   wire n_12950;
-   wire n_12951;
-   wire n_12952;
-   wire n_12953;
-   wire n_12954;
-   wire n_12955;
-   wire n_12957;
-   wire n_12958;
-   wire n_12959;
-   wire n_12960;
-   wire n_12961;
-   wire n_12962;
-   wire n_12963;
-   wire n_12964;
-   wire n_12965;
-   wire n_12966;
-   wire n_12967;
-   wire n_12968;
-   wire n_12969;
-   wire n_12971;
-   wire n_12972;
-   wire n_12973;
-   wire n_12974;
-   wire n_12975;
-   wire n_12979;
-   wire n_12980;
-   wire n_12982;
-   wire n_12986;
-   wire n_12987;
-   wire n_12988;
-   wire n_12989;
-   wire n_12990;
-   wire n_12991;
-   wire n_12992;
-   wire n_12993;
-   wire n_12994;
-   wire n_12995;
-   wire n_12996;
-   wire n_12997;
-   wire n_12998;
-   wire n_12999;
-   wire n_13000;
-   wire n_13001;
-   wire n_13002;
-   wire n_13003;
-   wire n_13004;
-   wire n_13005;
-   wire n_13006;
-   wire n_13007;
-   wire n_13008;
-   wire n_13009;
-   wire n_13010;
-   wire n_13011;
-   wire n_13012;
-   wire n_13013;
-   wire n_13014;
-   wire n_13015;
-   wire n_13016;
-   wire n_13017;
-   wire n_13018;
-   wire n_13019;
-   wire n_13020;
-   wire n_13021;
-   wire n_13022;
-   wire n_13023;
-   wire n_13024;
-   wire n_13025;
-   wire n_13026;
-   wire n_13027;
-   wire n_13028;
-   wire n_13029;
-   wire n_13030;
-   wire n_13031;
-   wire n_13032;
-   wire n_13033;
-   wire n_13034;
-   wire n_13035;
-   wire n_13036;
-   wire n_13037;
-   wire n_13038;
-   wire n_13039;
-   wire n_13040;
-   wire n_13041;
-   wire n_13042;
-   wire n_13043;
-   wire n_13044;
-   wire n_13045;
-   wire n_13046;
-   wire n_13047;
-   wire n_13048;
-   wire n_13049;
-   wire n_13050;
-   wire n_13051;
-   wire n_13052;
-   wire n_13053;
-   wire n_13054;
-   wire n_13055;
-   wire n_13056;
-   wire n_13057;
-   wire n_13058;
-   wire n_13059;
-   wire n_13060;
-   wire n_13061;
-   wire n_13062;
-   wire n_13063;
-   wire n_13064;
-   wire n_13065;
-   wire n_13066;
-   wire n_13067;
-   wire n_13068;
-   wire n_13069;
-   wire n_13070;
-   wire n_13071;
-   wire n_13072;
-   wire n_13073;
-   wire n_13074;
-   wire n_13075;
-   wire n_13076;
-   wire n_13077;
-   wire n_13078;
-   wire n_13079;
-   wire n_13080;
-   wire n_13081;
-   wire n_13082;
-   wire n_13083;
-   wire n_13084;
-   wire n_13085;
-   wire n_13086;
-   wire n_13087;
-   wire n_13088;
-   wire n_13089;
-   wire n_13090;
-   wire n_13091;
-   wire n_13092;
-   wire n_13093;
-   wire n_13094;
-   wire n_13095;
-   wire n_13096;
-   wire n_13097;
-   wire n_13098;
-   wire n_13099;
-   wire n_13100;
-   wire n_13101;
-   wire n_13102;
-   wire n_13103;
-   wire n_13104;
-   wire n_13105;
-   wire n_13106;
-   wire n_13107;
-   wire n_13108;
-   wire n_13109;
-   wire n_13110;
-   wire n_13111;
-   wire n_13112;
-   wire n_13113;
-   wire n_13114;
-   wire n_13115;
-   wire n_13116;
-   wire n_13117;
-   wire n_13118;
-   wire n_13119;
-   wire n_13120;
-   wire n_13121;
-   wire n_13122;
-   wire n_13123;
-   wire n_13124;
-   wire n_13125;
-   wire n_13126;
-   wire n_13127;
-   wire n_13128;
-   wire n_13129;
-   wire n_13130;
-   wire n_13131;
-   wire n_13132;
-   wire n_13133;
-   wire n_13134;
-   wire n_13135;
-   wire n_13136;
-   wire n_13137;
-   wire n_13138;
-   wire n_13139;
-   wire n_13140;
-   wire n_13141;
-   wire n_13142;
-   wire n_13143;
-   wire n_13144;
-   wire n_13145;
-   wire n_13146;
-   wire n_13147;
-   wire n_13148;
-   wire n_13149;
-   wire n_13150;
-   wire n_13151;
-   wire n_13152;
-   wire n_13153;
-   wire n_13154;
-   wire n_13155;
-   wire n_13156;
-   wire n_13157;
-   wire n_13158;
-   wire n_13159;
-   wire n_13160;
-   wire n_13161;
-   wire n_13162;
-   wire n_13163;
-   wire n_13164;
-   wire n_13165;
-   wire n_13166;
-   wire n_13167;
-   wire n_13168;
-   wire n_13169;
-   wire n_13170;
-   wire n_13171;
-   wire n_13172;
-   wire n_13173;
-   wire n_13174;
-   wire n_13175;
-   wire n_13176;
-   wire n_13177;
-   wire n_13178;
-   wire n_13179;
-   wire n_13180;
-   wire n_13181;
-   wire n_13182;
-   wire n_13183;
-   wire n_13184;
-   wire n_13185;
-   wire n_13186;
-   wire n_13187;
-   wire n_13188;
-   wire n_13189;
-   wire n_13190;
-   wire n_13191;
-   wire n_13192;
-   wire n_13193;
-   wire n_13194;
-   wire n_13195;
-   wire n_13196;
-   wire n_13197;
-   wire n_13198;
-   wire n_13199;
-   wire n_13200;
-   wire n_13201;
-   wire n_13202;
-   wire n_13203;
-   wire n_13204;
-   wire n_13205;
-   wire n_13206;
-   wire n_13207;
-   wire n_13208;
-   wire n_13209;
-   wire n_13210;
-   wire n_13211;
-   wire n_13212;
-   wire n_13213;
-   wire n_13214;
-   wire n_13215;
-   wire n_13216;
-   wire n_13217;
-   wire n_13218;
-   wire n_13219;
-   wire n_13220;
-   wire n_13221;
-   wire n_13222;
-   wire n_13223;
-   wire n_13224;
-   wire n_13225;
-   wire n_13226;
-   wire n_13227;
-   wire n_13228;
-   wire n_13229;
-   wire n_13230;
-   wire n_13231;
-   wire n_13232;
-   wire n_13233;
-   wire n_13234;
-   wire n_13235;
-   wire n_13236;
-   wire n_13237;
-   wire n_13238;
-   wire n_13239;
-   wire n_13240;
-   wire n_13241;
-   wire n_13242;
-   wire n_13243;
-   wire n_13244;
-   wire n_13245;
-   wire n_13246;
-   wire n_13247;
-   wire n_13248;
-   wire n_13249;
-   wire n_13250;
-   wire n_13251;
-   wire n_13252;
-   wire n_13253;
-   wire n_13254;
-   wire n_13255;
-   wire n_13256;
-   wire n_13257;
-   wire n_13258;
-   wire n_13259;
-   wire n_13260;
-   wire n_13261;
-   wire n_13262;
-   wire n_13263;
-   wire n_13264;
-   wire n_13265;
-   wire n_13266;
-   wire n_13267;
-   wire n_13268;
-   wire n_13269;
-   wire n_13270;
-   wire n_13271;
-   wire n_13272;
-   wire n_13273;
-   wire n_13274;
-   wire n_13275;
-   wire n_13276;
-   wire n_13277;
-   wire n_13278;
-   wire n_13279;
-   wire n_13280;
-   wire n_13281;
-   wire n_13282;
-   wire n_13283;
-   wire n_13284;
-   wire n_13285;
-   wire n_13286;
-   wire n_13287;
-   wire n_13288;
-   wire n_13289;
-   wire n_13290;
-   wire n_13291;
-   wire n_13292;
-   wire n_13293;
-   wire n_13294;
-   wire n_13295;
-   wire n_13296;
-   wire n_13297;
-   wire n_13298;
-   wire n_13299;
-   wire n_13300;
-   wire n_13301;
-   wire n_13302;
-   wire n_13303;
-   wire n_13304;
-   wire n_13305;
-   wire n_13306;
-   wire n_13307;
-   wire n_13308;
-   wire n_13309;
-   wire n_13310;
-   wire n_13311;
-   wire n_13312;
-   wire n_13313;
-   wire n_13314;
-   wire n_13315;
-   wire n_13316;
-   wire n_13317;
-   wire n_13318;
-   wire n_13319;
-   wire n_13320;
-   wire n_13321;
-   wire n_13322;
-   wire n_13323;
-   wire n_13324;
-   wire n_13325;
-   wire n_13326;
-   wire n_13327;
-   wire n_13328;
-   wire n_13329;
-   wire n_13330;
-   wire n_13331;
-   wire n_13332;
-   wire n_13333;
-   wire n_13334;
-   wire n_13335;
-   wire n_13336;
-   wire n_13337;
-   wire n_13338;
-   wire n_13339;
-   wire n_13340;
-   wire n_13341;
-   wire n_13342;
-   wire n_13343;
-   wire n_13344;
-   wire n_13345;
-   wire n_13346;
-   wire n_13347;
-   wire n_13348;
-   wire n_13349;
-   wire n_13350;
-   wire n_13351;
-   wire n_13352;
-   wire n_13353;
-   wire n_13354;
-   wire n_13355;
-   wire n_13356;
-   wire n_13357;
-   wire n_13358;
-   wire n_13359;
-   wire n_13360;
-   wire n_13361;
-   wire n_13362;
-   wire n_13363;
-   wire n_13364;
-   wire n_13365;
-   wire n_13366;
-   wire n_13367;
-   wire n_13368;
-   wire n_13369;
-   wire n_13370;
-   wire n_13371;
-   wire n_13372;
-   wire n_13373;
-   wire n_13374;
-   wire n_13375;
-   wire n_13376;
-   wire n_13377;
-   wire n_13378;
-   wire n_13379;
-   wire n_13380;
-   wire n_13381;
-   wire n_13382;
-   wire n_13383;
-   wire n_13384;
-   wire n_13385;
-   wire n_13386;
-   wire n_13387;
-   wire n_13388;
-   wire n_13389;
-   wire n_13390;
-   wire n_13391;
-   wire n_13392;
-   wire n_13393;
-   wire n_13394;
-   wire n_13395;
-   wire n_13396;
-   wire n_13397;
-   wire n_13398;
-   wire n_13399;
-   wire n_13400;
-   wire n_13401;
-   wire n_13402;
-   wire n_13403;
-   wire n_13404;
-   wire n_13405;
-   wire n_13406;
-   wire n_13407;
-   wire n_13408;
-   wire n_13409;
-   wire n_13410;
-   wire n_13411;
-   wire n_13412;
-   wire n_13413;
-   wire n_13414;
-   wire n_13415;
-   wire n_13416;
-   wire n_13417;
-   wire n_13418;
-   wire n_13419;
-   wire n_13420;
-   wire n_13421;
-   wire n_13422;
-   wire n_13423;
-   wire n_13424;
-   wire n_13425;
-   wire n_13426;
-   wire n_13427;
-   wire n_13428;
-   wire n_13429;
-   wire n_13430;
-   wire n_13431;
-   wire n_13432;
-   wire n_13433;
-   wire n_13434;
-   wire n_13435;
-   wire n_13436;
-   wire n_13437;
-   wire n_13438;
-   wire n_13439;
-   wire n_13440;
-   wire n_13441;
-   wire n_13442;
-   wire n_13443;
-   wire n_13444;
-   wire n_13445;
-   wire n_13446;
-   wire n_13447;
-   wire n_13448;
-   wire n_13449;
-   wire n_13450;
-   wire n_13451;
-   wire n_13452;
-   wire n_13453;
-   wire n_13454;
-   wire n_13455;
-   wire n_13456;
-   wire n_13457;
-   wire n_13458;
-   wire n_13459;
-   wire n_13460;
-   wire n_13461;
-   wire n_13462;
-   wire n_13463;
-   wire n_13464;
-   wire n_13465;
-   wire n_13466;
-   wire n_13467;
-   wire n_13468;
-   wire n_13469;
-   wire n_13470;
-   wire n_13471;
-   wire n_13472;
-   wire n_13473;
-   wire n_13474;
-   wire n_13475;
-   wire n_13476;
-   wire n_13477;
-   wire n_13478;
-   wire n_13479;
-   wire n_13480;
-   wire n_13481;
-   wire n_13482;
-   wire n_13483;
-   wire n_13484;
-   wire n_13485;
-   wire n_13486;
-   wire n_13487;
-   wire n_13488;
-   wire n_13489;
-   wire n_13490;
-   wire n_13491;
-   wire n_13492;
-   wire n_13493;
-   wire n_13494;
-   wire n_13495;
-   wire n_13496;
-   wire n_13497;
-   wire n_13498;
-   wire n_13499;
-   wire n_13500;
-   wire n_13501;
-   wire n_13502;
-   wire n_13503;
-   wire n_13504;
-   wire n_13505;
-   wire n_13506;
-   wire n_13507;
-   wire n_13508;
-   wire n_13509;
-   wire n_13510;
-   wire n_13511;
-   wire n_13512;
-   wire n_13513;
-   wire n_13514;
-   wire n_13515;
-   wire n_13516;
-   wire n_13517;
-   wire n_13518;
-   wire n_13519;
-   wire n_13520;
-   wire n_13521;
-   wire n_13522;
-   wire n_13523;
-   wire n_13524;
-   wire n_13525;
-   wire n_13526;
-   wire n_13527;
-   wire n_13528;
-   wire n_13529;
-   wire n_13530;
-   wire n_13531;
-   wire n_13532;
-   wire n_13533;
-   wire n_13534;
-   wire n_13535;
-   wire n_13536;
-   wire n_13537;
-   wire n_13538;
-   wire n_13539;
-   wire n_13540;
-   wire n_13541;
-   wire n_13542;
-   wire n_13543;
-   wire n_13544;
-   wire n_13545;
-   wire n_13546;
-   wire n_13547;
-   wire n_13548;
-   wire n_13549;
-   wire n_13550;
-   wire n_13551;
-   wire n_13552;
-   wire n_13553;
-   wire n_13554;
-   wire n_13555;
-   wire n_13556;
-   wire n_13557;
-   wire n_13558;
-   wire n_13559;
-   wire n_13560;
-   wire n_13561;
-   wire n_13562;
-   wire n_13563;
-   wire n_13564;
-   wire n_13565;
-   wire n_13566;
-   wire n_13567;
-   wire n_13568;
-   wire n_13569;
-   wire n_13570;
-   wire n_13571;
-   wire n_13572;
-   wire n_13574;
-   wire n_13579;
-   wire n_13580;
-   wire n_13581;
-   wire n_13582;
-   wire n_13583;
-   wire n_13584;
-   wire n_13585;
-   wire n_13586;
-   wire n_13587;
-   wire n_13588;
-   wire n_13589;
-   wire n_13590;
-   wire n_13591;
-   wire n_13592;
-   wire n_13593;
-   wire n_13594;
-   wire n_13595;
-   wire n_13596;
-   wire n_13597;
-   wire n_13598;
-   wire n_13599;
-   wire n_13600;
-   wire n_13601;
-   wire n_13602;
-   wire n_13603;
-   wire n_13604;
-   wire n_13605;
-   wire n_13606;
-   wire n_13607;
-   wire n_13608;
-   wire n_13609;
-   wire n_13610;
-   wire n_13611;
-   wire n_13612;
-   wire n_13613;
-   wire n_13614;
-   wire n_13615;
-   wire n_13616;
-   wire n_13617;
-   wire n_13618;
-   wire n_13619;
-   wire n_13620;
-   wire n_13621;
-   wire n_13622;
-   wire n_13623;
-   wire n_13624;
-   wire n_13625;
-   wire n_13626;
-   wire n_13627;
-   wire n_13628;
-   wire n_13629;
-   wire n_13630;
-   wire n_13631;
-   wire n_13632;
-   wire n_13633;
-   wire n_13634;
-   wire n_13635;
-   wire n_13636;
-   wire n_13637;
-   wire n_13638;
-   wire n_13639;
-   wire n_13640;
-   wire n_13641;
-   wire n_13642;
-   wire n_13643;
-   wire n_13644;
-   wire n_13645;
-   wire n_13646;
-   wire n_13647;
-   wire n_13648;
-   wire n_13649;
-   wire n_13650;
-   wire n_13651;
-   wire n_13652;
-   wire n_13653;
-   wire n_13654;
-   wire n_13655;
-   wire n_13656;
-   wire n_13657;
-   wire n_13658;
-   wire n_13659;
-   wire n_13660;
-   wire n_13661;
-   wire n_13662;
-   wire n_13663;
-   wire n_13664;
-   wire n_13665;
-   wire n_13666;
-   wire n_13667;
-   wire n_13668;
-   wire n_13669;
-   wire n_13670;
-   wire n_13671;
-   wire n_13672;
-   wire n_13673;
-   wire n_13674;
-   wire n_13675;
-   wire n_13676;
-   wire n_13677;
-   wire n_13678;
-   wire n_13679;
-   wire n_13680;
-   wire n_13681;
-   wire n_13682;
-   wire n_13683;
-   wire n_13684;
-   wire n_13685;
-   wire n_13686;
-   wire n_13687;
-   wire n_13688;
-   wire n_13689;
-   wire n_13690;
-   wire n_13691;
-   wire n_13692;
-   wire n_13693;
-   wire n_13694;
-   wire n_13695;
-   wire n_13696;
-   wire n_13697;
-   wire n_13698;
-   wire n_13699;
-   wire n_13700;
-   wire n_13701;
-   wire n_13702;
-   wire n_13703;
-   wire n_13704;
-   wire n_13705;
-   wire n_13706;
-   wire n_13707;
-   wire n_13708;
-   wire n_13709;
-   wire n_13710;
-   wire n_13711;
-   wire n_13712;
-   wire n_13713;
-   wire n_13714;
-   wire n_13715;
-   wire n_13716;
-   wire n_13717;
-   wire n_13718;
-   wire n_13719;
-   wire n_13720;
-   wire n_13721;
-   wire n_13722;
-   wire n_13723;
-   wire n_13724;
-   wire n_13725;
-   wire n_13726;
-   wire n_13727;
-   wire n_13728;
-   wire n_13729;
-   wire n_13730;
-   wire n_13731;
-   wire n_13732;
-   wire n_13733;
-   wire n_13734;
-   wire n_13735;
-   wire n_13736;
-   wire n_13737;
-   wire n_13738;
-   wire n_13739;
-   wire n_13740;
-   wire n_13741;
-   wire n_13742;
-   wire n_13743;
-   wire n_13744;
-   wire n_13745;
-   wire n_13746;
-   wire n_13747;
-   wire n_13748;
-   wire n_13749;
-   wire n_13750;
-   wire n_13751;
-   wire n_13752;
-   wire n_13753;
-   wire n_13754;
-   wire n_13755;
-   wire n_13756;
-   wire n_13757;
-   wire n_13758;
-   wire n_13759;
-   wire n_13760;
-   wire n_13761;
-   wire n_13762;
-   wire n_13763;
-   wire n_13764;
-   wire n_13765;
-   wire n_13766;
-   wire n_13767;
-   wire n_13768;
-   wire n_13769;
-   wire n_13770;
-   wire n_13771;
-   wire n_13772;
-   wire n_13773;
-   wire n_13774;
-   wire n_13775;
-   wire n_13776;
-   wire n_13777;
-   wire n_13778;
-   wire n_13779;
-   wire n_13780;
-   wire n_13781;
-   wire n_13782;
-   wire n_13783;
-   wire n_13784;
-   wire n_13785;
-   wire n_13786;
-   wire n_13787;
-   wire n_13788;
-   wire n_13789;
-   wire n_13790;
-   wire n_13791;
-   wire n_13792;
-   wire n_13793;
-   wire n_13794;
-   wire n_13795;
-   wire n_13796;
-   wire n_13797;
-   wire n_13798;
-   wire n_13799;
-   wire n_13800;
-   wire n_13801;
-   wire n_13802;
-   wire n_13803;
-   wire n_13804;
-   wire n_13805;
-   wire n_13806;
-   wire n_13807;
-   wire n_13808;
-   wire n_13809;
-   wire n_13810;
-   wire n_13811;
-   wire n_13812;
-   wire n_13813;
-   wire n_13814;
-   wire n_13815;
-   wire n_13816;
-   wire n_13817;
-   wire n_13818;
-   wire n_13819;
-   wire n_13820;
-   wire n_13821;
-   wire n_13822;
-   wire n_13823;
-   wire n_13824;
-   wire n_13825;
-   wire n_13826;
-   wire n_13827;
-   wire n_13828;
-   wire n_13829;
-   wire n_13830;
-   wire n_13831;
-   wire n_13832;
-   wire n_13833;
-   wire n_13834;
-   wire n_13835;
-   wire n_13836;
-   wire n_13837;
-   wire n_13838;
-   wire n_13839;
-   wire n_13840;
-   wire n_13841;
-   wire n_13842;
-   wire n_13843;
-   wire n_13844;
-   wire n_13845;
-   wire n_13846;
-   wire n_13847;
-   wire n_13848;
-   wire n_13849;
-   wire n_13850;
-   wire n_13851;
-   wire n_13852;
-   wire n_13853;
-   wire n_13854;
-   wire n_13855;
-   wire n_13856;
-   wire n_13857;
-   wire n_13858;
-   wire n_13859;
-   wire n_13860;
-   wire n_13861;
-   wire n_13862;
-   wire n_13863;
-   wire n_13864;
-   wire n_13865;
-   wire n_13866;
-   wire n_13867;
-   wire n_13868;
-   wire n_13869;
-   wire n_13870;
-   wire n_13871;
-   wire n_13872;
-   wire n_13873;
-   wire n_13874;
-   wire n_13875;
-   wire n_13876;
-   wire n_13877;
-   wire n_13878;
-   wire n_13879;
-   wire n_13880;
-   wire n_13881;
-   wire n_13882;
-   wire n_13883;
-   wire n_13884;
-   wire n_13885;
-   wire n_13886;
-   wire n_13887;
-   wire n_13888;
-   wire n_13889;
-   wire n_13890;
-   wire n_13891;
-   wire n_13892;
-   wire n_13893;
-   wire n_13894;
-   wire n_13895;
-   wire n_13896;
-   wire n_13897;
-   wire n_13898;
-   wire n_13899;
-   wire n_13900;
-   wire n_13901;
-   wire n_13902;
-   wire n_13903;
-   wire n_13904;
-   wire n_13905;
-   wire n_13906;
-   wire n_13907;
-   wire n_13908;
-   wire n_13909;
-   wire n_13910;
-   wire n_13911;
-   wire n_13912;
-   wire n_13913;
-   wire n_13914;
-   wire n_13915;
-   wire n_13916;
-   wire n_13917;
-   wire n_13918;
-   wire n_13919;
-   wire n_13920;
-   wire n_13921;
-   wire n_13922;
-   wire n_13923;
-   wire n_13924;
-   wire n_13925;
-   wire n_13926;
-   wire n_13927;
-   wire n_13928;
-   wire n_13929;
-   wire n_13930;
-   wire n_13931;
-   wire n_13932;
-   wire n_13933;
-   wire n_13934;
-   wire n_13935;
-   wire n_13936;
-   wire n_13937;
-   wire n_13938;
-   wire n_13939;
-   wire n_13940;
-   wire n_13941;
-   wire n_13942;
-   wire n_13943;
-   wire n_13944;
-   wire n_13945;
-   wire n_13946;
-   wire n_13947;
-   wire n_13948;
-   wire n_13949;
-   wire n_13950;
-   wire n_13951;
-   wire n_13952;
-   wire n_13953;
-   wire n_13954;
-   wire n_13955;
-   wire n_13956;
-   wire n_13957;
-   wire n_13958;
-   wire n_13959;
-   wire n_13960;
-   wire n_13961;
-   wire n_13962;
-   wire n_13963;
-   wire n_13964;
-   wire n_13965;
-   wire n_13966;
-   wire n_13967;
-   wire n_13968;
-   wire n_13969;
-   wire n_13970;
-   wire n_13971;
-   wire n_13972;
-   wire n_13973;
-   wire n_13974;
-   wire n_13975;
-   wire n_13976;
-   wire n_13977;
-   wire n_13978;
-   wire n_13979;
-   wire n_13980;
-   wire n_13981;
-   wire n_13982;
-   wire n_13983;
-   wire n_13984;
-   wire n_13985;
-   wire n_13986;
-   wire n_13987;
-   wire n_13988;
-   wire n_13989;
-   wire n_13990;
-   wire n_13991;
-   wire n_13992;
-   wire n_13993;
-   wire n_13994;
-   wire n_13995;
-   wire n_13996;
-   wire n_13997;
-   wire n_13998;
-   wire n_13999;
-   wire n_14000;
-   wire n_14001;
-   wire n_14002;
-   wire n_14003;
-   wire n_14004;
-   wire n_14005;
-   wire n_14006;
-   wire n_14007;
-   wire n_14008;
-   wire n_14009;
-   wire n_14010;
-   wire n_14011;
-   wire n_14012;
-   wire n_14013;
-   wire n_14014;
-   wire n_14015;
-   wire n_14016;
-   wire n_14017;
-   wire n_14018;
-   wire n_14019;
-   wire n_14020;
-   wire n_14021;
-   wire n_14022;
-   wire n_14023;
-   wire n_14024;
-   wire n_14025;
-   wire n_14026;
-   wire n_14027;
-   wire n_14028;
-   wire n_14029;
-   wire n_14030;
-   wire n_14031;
-   wire n_14032;
-   wire n_14033;
-   wire n_14034;
-   wire n_14035;
-   wire n_14036;
-   wire n_14037;
-   wire n_14038;
-   wire n_14039;
-   wire n_14040;
-   wire n_14041;
-   wire n_14042;
-   wire n_14043;
-   wire n_14044;
-   wire n_14045;
-   wire n_14046;
-   wire n_14047;
-   wire n_14048;
-   wire n_14049;
-   wire n_14050;
-   wire n_14051;
-   wire n_14052;
-   wire n_14053;
-   wire n_14054;
-   wire n_14055;
-   wire n_14056;
-   wire n_14057;
-   wire n_14058;
-   wire n_14059;
-   wire n_14060;
-   wire n_14061;
-   wire n_14062;
-   wire n_14063;
-   wire n_14064;
-   wire n_14065;
-   wire n_14066;
-   wire n_14067;
-   wire n_14068;
-   wire n_14069;
-   wire n_14070;
-   wire n_14071;
-   wire n_14072;
-   wire n_14073;
-   wire n_14074;
-   wire n_14075;
-   wire n_14076;
-   wire n_14077;
-   wire n_14078;
-   wire n_14079;
-   wire n_14080;
-   wire n_14081;
-   wire n_14082;
-   wire n_14083;
-   wire n_14084;
-   wire n_14085;
-   wire n_14086;
-   wire n_14087;
-   wire n_14088;
-   wire n_14089;
-   wire n_14090;
-   wire n_14091;
-   wire n_14092;
-   wire n_14093;
-   wire n_14094;
-   wire n_14095;
-   wire n_14096;
-   wire n_14097;
-   wire n_14098;
-   wire n_14099;
-   wire n_14100;
-   wire n_14101;
-   wire n_14102;
-   wire n_14103;
-   wire n_14104;
-   wire n_14105;
-   wire n_14106;
-   wire n_14107;
-   wire n_14108;
-   wire n_14109;
-   wire n_14110;
-   wire n_14111;
-   wire n_14112;
-   wire n_14113;
-   wire n_14114;
-   wire n_14115;
-   wire n_14116;
-   wire n_14117;
-   wire n_14118;
-   wire n_14119;
-   wire n_14120;
-   wire n_14121;
-   wire n_14122;
-   wire n_14123;
-   wire n_14124;
-   wire n_14125;
-   wire n_14126;
-   wire n_14127;
-   wire n_14128;
-   wire n_14129;
-   wire n_14130;
-   wire n_14131;
-   wire n_14132;
-   wire n_14133;
-   wire n_14134;
-   wire n_14135;
-   wire n_14136;
-   wire n_14137;
-   wire n_14138;
-   wire n_14139;
-   wire n_14140;
-   wire n_14141;
-   wire n_14142;
-   wire n_14143;
-   wire n_14144;
-   wire n_14145;
-   wire n_14146;
-   wire n_14147;
-   wire n_14148;
-   wire n_14149;
-   wire n_14150;
-   wire n_14151;
-   wire n_14152;
-   wire n_14153;
-   wire n_14154;
-   wire n_14155;
-   wire n_14156;
-   wire n_14157;
-   wire n_14158;
-   wire n_14159;
-   wire n_14160;
-   wire n_14161;
-   wire n_14162;
-   wire n_14163;
-   wire n_14164;
-   wire n_14165;
-   wire n_14166;
-   wire n_14167;
-   wire n_14168;
-   wire n_14169;
-   wire n_14170;
-   wire n_14171;
-   wire n_14172;
-   wire n_14173;
-   wire n_14174;
-   wire n_14175;
-   wire n_14176;
-   wire n_14177;
-   wire n_14178;
-   wire n_14179;
-   wire n_14180;
-   wire n_14181;
-   wire n_14182;
-   wire n_14183;
-   wire n_14184;
-   wire n_14185;
-   wire n_14186;
-   wire n_14187;
-   wire n_14188;
-   wire n_14189;
-   wire n_14190;
-   wire n_14191;
-   wire n_14192;
-   wire n_14193;
-   wire n_14194;
-   wire n_14195;
-   wire n_14196;
-   wire n_14197;
-   wire n_14198;
-   wire n_14199;
-   wire n_14200;
-   wire n_14201;
-   wire n_14202;
-   wire n_14203;
-   wire n_14204;
-   wire n_14205;
-   wire n_14206;
-   wire n_14207;
-   wire n_14208;
-   wire n_14209;
-   wire n_14210;
-   wire n_14211;
-   wire n_14212;
-   wire n_14213;
-   wire n_14214;
-   wire n_14215;
-   wire n_14216;
-   wire n_14217;
-   wire n_14218;
-   wire n_14219;
-   wire n_14220;
-   wire n_14221;
-   wire n_14222;
-   wire n_14223;
-   wire n_14224;
-   wire n_14225;
-   wire n_14226;
-   wire n_14227;
-   wire n_14228;
-   wire n_14229;
-   wire n_14230;
-   wire n_14231;
-   wire n_14232;
-   wire n_14233;
-   wire n_14234;
-   wire n_14235;
-   wire n_14236;
-   wire n_14237;
-   wire n_14238;
-   wire n_14239;
-   wire n_14240;
-   wire n_14241;
-   wire n_14242;
-   wire n_14243;
-   wire n_14244;
-   wire n_14245;
-   wire n_14246;
-   wire n_14247;
-   wire n_14248;
-   wire n_14249;
-   wire n_14250;
-   wire n_14251;
-   wire n_14252;
-   wire n_14253;
-   wire n_14254;
-   wire n_14255;
-   wire n_14256;
-   wire n_14257;
-   wire n_14258;
-   wire n_14259;
-   wire n_14260;
-   wire n_14261;
-   wire n_14262;
-   wire n_14263;
-   wire n_14264;
-   wire n_14265;
-   wire n_14266;
-   wire n_14267;
-   wire n_14268;
-   wire n_14269;
-   wire n_14270;
-   wire n_14271;
-   wire n_14272;
-   wire n_14273;
-   wire n_14274;
-   wire n_14275;
-   wire n_14276;
-   wire n_14277;
-   wire n_14278;
-   wire n_14279;
-   wire n_14280;
-   wire n_14281;
-   wire n_14282;
-   wire n_14283;
-   wire n_14284;
-   wire n_14285;
-   wire n_14286;
-   wire n_14287;
-   wire n_14288;
-   wire n_14289;
-   wire n_14290;
-   wire n_14291;
-   wire n_14292;
-   wire n_14293;
-   wire n_14294;
-   wire n_14295;
-   wire n_14296;
-   wire n_14297;
-   wire n_14298;
-   wire n_14299;
-   wire n_14300;
-   wire n_14301;
-   wire n_14302;
-   wire n_14303;
-   wire n_14304;
-   wire n_14305;
-   wire n_14306;
-   wire n_14307;
-   wire n_14308;
-   wire n_14309;
-   wire n_14310;
-   wire n_14311;
-   wire n_14312;
-   wire n_14313;
-   wire n_14314;
-   wire n_14315;
-   wire n_14316;
-   wire n_14317;
-   wire n_14318;
-   wire n_14319;
-   wire n_14320;
-   wire n_14321;
-   wire n_14322;
-   wire n_14323;
-   wire n_14324;
-   wire n_14325;
-   wire n_14326;
-   wire n_14327;
-   wire n_14328;
-   wire n_14329;
-   wire n_14330;
-   wire n_14331;
-   wire n_14332;
-   wire n_14333;
-   wire n_14334;
-   wire n_14336;
-   wire n_14337;
-   wire n_14338;
-   wire n_14339;
-   wire n_14340;
-   wire n_14341;
-   wire n_14342;
-   wire n_14343;
-   wire n_14344;
-   wire n_14345;
-   wire n_14346;
-   wire n_14347;
-   wire n_14348;
-   wire n_14349;
-   wire n_14350;
-   wire n_14351;
-   wire n_14352;
-   wire n_14353;
-   wire n_14354;
-   wire n_14355;
-   wire n_14356;
-   wire n_14357;
-   wire n_14358;
-   wire n_14359;
-   wire n_14360;
-   wire n_14361;
-   wire n_14362;
-   wire n_14364;
-   wire n_14365;
-   wire n_14366;
-   wire n_14367;
-   wire n_14368;
-   wire n_14369;
-   wire n_14370;
-   wire n_14371;
-   wire n_14372;
-   wire n_14373;
-   wire n_14374;
-   wire n_14375;
-   wire n_14376;
-   wire n_14377;
-   wire n_14378;
-   wire n_14379;
-   wire n_14380;
-   wire n_14381;
-   wire n_14382;
-   wire n_14383;
-   wire n_14384;
-   wire n_14385;
-   wire n_14386;
-   wire n_14387;
-   wire n_14388;
-   wire n_14389;
-   wire n_14390;
-   wire n_14391;
-   wire n_14392;
-   wire n_14393;
-   wire n_14394;
-   wire n_14395;
-   wire n_14396;
-   wire n_14397;
-   wire n_14398;
-   wire n_14399;
-   wire n_14400;
-   wire n_14401;
-   wire n_14402;
-   wire n_14403;
-   wire n_14404;
-   wire n_14405;
-   wire n_14406;
-   wire n_14407;
-   wire n_14408;
-   wire n_14409;
-   wire n_14410;
-   wire n_14411;
-   wire n_14412;
-   wire n_14413;
-   wire n_14414;
-   wire n_14415;
-   wire n_14416;
-   wire n_14417;
-   wire n_14418;
-   wire n_14419;
-   wire n_14420;
-   wire n_14421;
-   wire n_14422;
-   wire n_14423;
-   wire n_14424;
-   wire n_14425;
-   wire n_14426;
-   wire n_14427;
-   wire n_14428;
-   wire n_14429;
-   wire n_14430;
-   wire n_14431;
-   wire n_14432;
-   wire n_14433;
-   wire n_14434;
-   wire n_14435;
-   wire n_14436;
-   wire n_14437;
-   wire n_14438;
-   wire n_14439;
-   wire n_14440;
-   wire n_14441;
-   wire n_14442;
-   wire n_14443;
-   wire n_14444;
-   wire n_14445;
-   wire n_14446;
-   wire n_14447;
-   wire n_14448;
-   wire n_14449;
-   wire n_14450;
-   wire n_14451;
-   wire n_14452;
-   wire n_14453;
-   wire n_14454;
-   wire n_14455;
-   wire n_14456;
-   wire n_14457;
-   wire n_14458;
-   wire n_14459;
-   wire n_14460;
-   wire n_14461;
-   wire n_14462;
-   wire n_14463;
-   wire n_14464;
-   wire n_14465;
-   wire n_14466;
-   wire n_14467;
-   wire n_14468;
-   wire n_14469;
-   wire n_14470;
-   wire n_14471;
-   wire n_14472;
-   wire n_14473;
-   wire n_14474;
-   wire n_14475;
-   wire n_14476;
-   wire n_14477;
-   wire n_14478;
-   wire n_14479;
-   wire n_14480;
-   wire n_14481;
-   wire n_14482;
-   wire n_14483;
-   wire n_14486;
-   wire n_14487;
-   wire n_14488;
-   wire n_14489;
-   wire n_14490;
-   wire n_14491;
-   wire n_14492;
-   wire n_14493;
-   wire n_14494;
-   wire n_14496;
-   wire n_14497;
-   wire n_14498;
-   wire n_14500;
-   wire n_14501;
-   wire n_14504;
-   wire n_14505;
-   wire n_14507;
-   wire n_14512;
-   wire n_14513;
-   wire n_14514;
-   wire n_14515;
-   wire n_14516;
-   wire n_14517;
-   wire n_14518;
-   wire n_14519;
-   wire n_14520;
-   wire n_14521;
-   wire n_14522;
-   wire n_14523;
-   wire n_14524;
-   wire n_14525;
-   wire n_14526;
-   wire n_14527;
-   wire n_14528;
-   wire n_14529;
-   wire n_14530;
-   wire n_14531;
-   wire n_14532;
-   wire n_14533;
-   wire n_14534;
-   wire n_14535;
-   wire n_14536;
-   wire n_14537;
-   wire n_14538;
-   wire n_14539;
-   wire n_14540;
-   wire n_14541;
-   wire n_14542;
-   wire n_14543;
-   wire n_14544;
-   wire n_14545;
-   wire n_14546;
-   wire n_14547;
-   wire n_14548;
-   wire n_14549;
-   wire n_14550;
-   wire n_14551;
-   wire n_14552;
-   wire n_14553;
-   wire n_14554;
-   wire n_14555;
-   wire n_14556;
-   wire n_14557;
-   wire n_14558;
-   wire n_14560;
-   wire n_14561;
-   wire n_14562;
-   wire n_14563;
-   wire n_14564;
-   wire n_14565;
-   wire n_14566;
-   wire n_14567;
-   wire n_14568;
-   wire n_14569;
-   wire n_14570;
-   wire n_14571;
-   wire n_14572;
-   wire n_14573;
-   wire n_14574;
-   wire n_14575;
-   wire n_14576;
-   wire n_14577;
-   wire n_14578;
-   wire n_14579;
-   wire n_14580;
-   wire n_14581;
-   wire n_14582;
-   wire n_14583;
-   wire n_14584;
-   wire n_14585;
-   wire n_14586;
-   wire n_14587;
-   wire n_14588;
-   wire n_14589;
-   wire n_14590;
-   wire n_14591;
-   wire n_14592;
-   wire n_14593;
-   wire n_14594;
-   wire n_14595;
-   wire n_14596;
-   wire n_14597;
-   wire n_14598;
-   wire n_14599;
-   wire n_14600;
-   wire n_14601;
-   wire n_14602;
-   wire n_14603;
-   wire n_14604;
-   wire n_14605;
-   wire n_14606;
-   wire n_14607;
-   wire n_14609;
-   wire n_14610;
-   wire n_14612;
-   wire n_14613;
-   wire n_14614;
-   wire n_14615;
-   wire n_14616;
-   wire n_14617;
-   wire n_14618;
-   wire n_14619;
-   wire n_14621;
-   wire n_14622;
-   wire n_14623;
-   wire n_14625;
-   wire n_14627;
-   wire n_14628;
-   wire n_14629;
-   wire n_14630;
-   wire n_14631;
-   wire n_14632;
-   wire n_14633;
-   wire n_14634;
-   wire n_14635;
-   wire n_14636;
-   wire n_14637;
-   wire n_14638;
-   wire n_14639;
-   wire n_14640;
-   wire n_14641;
-   wire n_14642;
-   wire n_14643;
-   wire n_14644;
-   wire n_14646;
-   wire n_14647;
-   wire n_14649;
-   wire n_14650;
-   wire n_14651;
-   wire n_14652;
-   wire n_14653;
-   wire n_14654;
-   wire n_14660;
-   wire n_14661;
-   wire n_14662;
-   wire n_14663;
-   wire n_14664;
-   wire n_14665;
-   wire n_14666;
-   wire n_14667;
-   wire n_14668;
-   wire n_14670;
-   wire n_14671;
-   wire n_14672;
-   wire n_14674;
-   wire n_14676;
-   wire n_14677;
-   wire n_14678;
-   wire n_14679;
-   wire n_14681;
-   wire n_14682;
-   wire n_14683;
-   wire n_14684;
-   wire n_14686;
-   wire n_14687;
-   wire n_14688;
-   wire n_14689;
-   wire n_14690;
-   wire n_14691;
-   wire n_14692;
-   wire n_14693;
-   wire n_14694;
-   wire n_14695;
-   wire n_14696;
-   wire n_14697;
-   wire n_14698;
-   wire n_14699;
-   wire n_14700;
-   wire n_14701;
-   wire n_14702;
-   wire n_14703;
-   wire n_14704;
-   wire n_14705;
-   wire n_14706;
-   wire n_14707;
-   wire n_14708;
-   wire n_14709;
-   wire n_14710;
-   wire n_14711;
-   wire n_14712;
-   wire n_14714;
-   wire n_14715;
-   wire n_14716;
-   wire n_14717;
-   wire n_14718;
-   wire n_14719;
-   wire n_14720;
-   wire n_14721;
-   wire n_14722;
-   wire n_14723;
-   wire n_14724;
-   wire n_14728;
-   wire n_14756;
-   wire n_14762;
-   wire n_14765;
-   wire n_14766;
-   wire n_14767;
-   wire n_14769;
-   wire n_14771;
-   wire n_14772;
-   wire n_14774;
-   wire n_14776;
-   wire n_14782;
-   wire n_14786;
-   wire n_14791;
-   wire n_14792;
-   wire n_14793;
-   wire n_14794;
-   wire n_14795;
-   wire n_14796;
-   wire n_14797;
-   wire n_14799;
-   wire n_14800;
-   wire n_14801;
-   wire n_14802;
-   wire n_14803;
-   wire n_14804;
-   wire n_14805;
-   wire n_14806;
-   wire n_14807;
-   wire n_14808;
-   wire n_14809;
-   wire n_14810;
-   wire n_14811;
-   wire n_14812;
-   wire n_14813;
-   wire n_14814;
-   wire n_14815;
-   wire n_14816;
-   wire n_14817;
-   wire n_14818;
-   wire n_14819;
-   wire n_14820;
-   wire n_14821;
-   wire n_14822;
-   wire n_14823;
-   wire n_14824;
-   wire n_14825;
-   wire n_14826;
-   wire n_14827;
-   wire n_14828;
-   wire n_14829;
-   wire n_14832;
-   wire n_14844;
-   wire n_14847;
-   wire n_14853;
-   wire n_14856;
-   wire n_14857;
-   wire n_14858;
-   wire n_14859;
-   wire n_14860;
-   wire n_14861;
-   wire n_14862;
-   wire n_14863;
-   wire n_14864;
-   wire n_14865;
-   wire n_14866;
-   wire n_14867;
-   wire n_14868;
-   wire n_14869;
-   wire n_14870;
-   wire n_14871;
-   wire n_14873;
-   wire n_14874;
-   wire n_14875;
-   wire n_14876;
-   wire n_14877;
-   wire n_14878;
-   wire n_14879;
-   wire n_14880;
-   wire n_14881;
-   wire n_14882;
-   wire n_14883;
-   wire n_14884;
-   wire n_14885;
-   wire n_14886;
-   wire n_14887;
-   wire n_14888;
-   wire n_14889;
-   wire n_14890;
-   wire n_14891;
-   wire n_14892;
-   wire n_14893;
-   wire n_14894;
-   wire n_14895;
-   wire n_14896;
-   wire n_14897;
-   wire n_14898;
-   wire n_14899;
-   wire n_14900;
-   wire n_14901;
-   wire n_14904;
-   wire n_14905;
-   wire n_14906;
-   wire n_14907;
-   wire n_14908;
-   wire n_14909;
-   wire n_14910;
-   wire n_14911;
-   wire n_14912;
-   wire n_14914;
-   wire n_14915;
-   wire n_14916;
-   wire n_14917;
-   wire n_14918;
-   wire n_14919;
-   wire n_14920;
-   wire n_14921;
-   wire n_14922;
-   wire n_14923;
-   wire n_14924;
-   wire n_14925;
-   wire n_14926;
-   wire n_14927;
-   wire n_14928;
-   wire n_14929;
-   wire n_14930;
-   wire n_14931;
-   wire n_14932;
-   wire n_14933;
-   wire n_14934;
-   wire n_14935;
-   wire n_14936;
-   wire n_14937;
-   wire n_14938;
-   wire n_14939;
-   wire n_14940;
-   wire n_14941;
-   wire n_14942;
-   wire n_14943;
-   wire n_14944;
-   wire n_14946;
-   wire n_14948;
-   wire n_14949;
-   wire n_14950;
-   wire n_14951;
-   wire n_14953;
-   wire n_14954;
-   wire n_14956;
-   wire n_14958;
-   wire n_14960;
-   wire n_14962;
-   wire n_14963;
-   wire n_14964;
-   wire n_14965;
-   wire n_14966;
-   wire n_14967;
-   wire n_14968;
-   wire n_14969;
-   wire n_14970;
-   wire n_14971;
-   wire n_14972;
-   wire n_14973;
-   wire n_14974;
-   wire n_14975;
-   wire n_14977;
-   wire n_14978;
-   wire n_14982;
-   wire n_14983;
-   wire n_14984;
-   wire n_14985;
-   wire n_14986;
-   wire n_14989;
-   wire n_14990;
-   wire n_14991;
-   wire n_14992;
-   wire n_14993;
-   wire n_14994;
-   wire n_14995;
-   wire n_14996;
-   wire n_14997;
-   wire n_14998;
-   wire n_14999;
-   wire n_15000;
-   wire n_15001;
-   wire n_15002;
-   wire n_15003;
-   wire n_15005;
-   wire n_15006;
-   wire n_15008;
-   wire n_15009;
-   wire n_15010;
-   wire n_15011;
-   wire n_15012;
-   wire n_15013;
-   wire n_15014;
-   wire n_15015;
-   wire n_15016;
-   wire n_15017;
-   wire n_15018;
-   wire n_15019;
-   wire n_15020;
-   wire n_15021;
-   wire n_15022;
-   wire n_15023;
-   wire n_15024;
-   wire n_15025;
-   wire n_15026;
-   wire n_15027;
-   wire n_15028;
-   wire n_15029;
-   wire n_15030;
-   wire n_15031;
-   wire n_15032;
-   wire n_15033;
-   wire n_15034;
-   wire n_15035;
-   wire n_15036;
-   wire n_15037;
-   wire n_15038;
-   wire n_15039;
-   wire n_15040;
-   wire n_15041;
-   wire n_15042;
-   wire n_15043;
-   wire n_15044;
-   wire n_15045;
-   wire n_15046;
-   wire n_15047;
-   wire n_15048;
-   wire n_15049;
-   wire n_15050;
-   wire n_15051;
-   wire n_15052;
-   wire n_15053;
-   wire n_15054;
-   wire n_15055;
-   wire n_15057;
-   wire n_15059;
-   wire n_15060;
-   wire n_15061;
-   wire n_15062;
-   wire n_15065;
-   wire n_15066;
-   wire n_15067;
-   wire n_15068;
-   wire n_15069;
-   wire n_15070;
-   wire n_15071;
-   wire n_15072;
-   wire n_15073;
-   wire n_15074;
-   wire n_15076;
-   wire n_15077;
-   wire n_15078;
-   wire n_15079;
-   wire n_15080;
-   wire n_15081;
-   wire n_15082;
-   wire n_15083;
-   wire n_15084;
-   wire n_15085;
-   wire n_15086;
-   wire n_15087;
-   wire n_15088;
-   wire n_15089;
-   wire n_15090;
-   wire n_15091;
-   wire n_15092;
-   wire n_15093;
-   wire n_15094;
-   wire n_15095;
-   wire n_15096;
-   wire n_15097;
-   wire n_15098;
-   wire n_15099;
-   wire n_15100;
-   wire n_15101;
-   wire n_15102;
-   wire n_15103;
-   wire n_15104;
-   wire n_15105;
-   wire n_15106;
-   wire n_15107;
-   wire n_15108;
-   wire n_15109;
-   wire n_15110;
-   wire n_15111;
-   wire n_15112;
-   wire n_15114;
-   wire n_15115;
-   wire n_15116;
-   wire n_15117;
-   wire n_15118;
-   wire n_15119;
-   wire n_15120;
-   wire n_15121;
-   wire n_15122;
-   wire n_15123;
-   wire n_15124;
-   wire n_15125;
-   wire n_15126;
-   wire n_15128;
-   wire n_15129;
-   wire n_15130;
-   wire n_15131;
-   wire n_15132;
-   wire n_15133;
-   wire n_15134;
-   wire n_15135;
-   wire n_15136;
-   wire n_15137;
-   wire n_15138;
-   wire n_15139;
-   wire n_15140;
-   wire n_15141;
-   wire n_15142;
-   wire n_15143;
-   wire n_15144;
-   wire n_15145;
-   wire n_15146;
-   wire n_15147;
-   wire n_15148;
-   wire n_15149;
-   wire n_15150;
-   wire n_15151;
-   wire n_15152;
-   wire n_15153;
-   wire n_15154;
-   wire n_15155;
-   wire n_15156;
-   wire n_15157;
-   wire n_15158;
-   wire n_15159;
-   wire n_15160;
-   wire n_15161;
-   wire n_15162;
-   wire n_15164;
-   wire n_15165;
-   wire n_15166;
-   wire n_15167;
-   wire n_15169;
-   wire n_15170;
-   wire n_15171;
-   wire n_15173;
-   wire n_15174;
-   wire n_15175;
-   wire n_15176;
-   wire n_15177;
-   wire n_15178;
-   wire n_15179;
-   wire n_15181;
-   wire n_15182;
-   wire n_15183;
-   wire n_15184;
-   wire n_15185;
-   wire n_15186;
-   wire n_15187;
-   wire n_15188;
-   wire n_15189;
-   wire n_15190;
-   wire n_15191;
-   wire n_15192;
-   wire n_15193;
-   wire n_15194;
-   wire n_15195;
-   wire n_15196;
-   wire n_15197;
-   wire n_15198;
-   wire n_15199;
-   wire n_15200;
-   wire n_15201;
-   wire n_15202;
-   wire n_15203;
-   wire n_15204;
-   wire n_15205;
-   wire n_15206;
-   wire n_15207;
-   wire n_15208;
-   wire n_15209;
-   wire n_15210;
-   wire n_15211;
-   wire n_15212;
-   wire n_15213;
-   wire n_15214;
-   wire n_15215;
-   wire n_15216;
-   wire n_15217;
-   wire n_15218;
-   wire n_15219;
-   wire n_15220;
-   wire n_15221;
-   wire n_15222;
-   wire n_15223;
-   wire n_15224;
-   wire n_15225;
-   wire n_15226;
-   wire n_15227;
-   wire n_15228;
-   wire n_15229;
-   wire n_15230;
-   wire n_15231;
-   wire n_15232;
-   wire n_15234;
-   wire n_15235;
-   wire n_15236;
-   wire n_15237;
-   wire n_15238;
-   wire n_15239;
-   wire n_15240;
-   wire n_15241;
-   wire n_15242;
-   wire n_15243;
-   wire n_15244;
-   wire n_15245;
-   wire n_15246;
-   wire n_15247;
-   wire n_15248;
-   wire n_15249;
-   wire n_15251;
-   wire n_15252;
-   wire n_15253;
-   wire n_15254;
-   wire n_15257;
-   wire n_15258;
-   wire n_15259;
-   wire n_15260;
-   wire n_15262;
-   wire n_15263;
-   wire n_15264;
-   wire n_15265;
-   wire n_15266;
-   wire n_15267;
-   wire n_15268;
-   wire n_15269;
-   wire n_15270;
-   wire n_15271;
-   wire n_15272;
-   wire n_15273;
-   wire n_15274;
-   wire n_15275;
-   wire n_15276;
-   wire n_15277;
-   wire n_15278;
-   wire n_15279;
-   wire n_15280;
-   wire n_15281;
-   wire n_15282;
-   wire n_15283;
-   wire n_15284;
-   wire n_15287;
-   wire n_15288;
-   wire n_15289;
-   wire n_15290;
-   wire n_15293;
-   wire n_15298;
-   wire n_15299;
-   wire n_15300;
-   wire n_15301;
-   wire n_15302;
-   wire n_15303;
-   wire n_15304;
-   wire n_15305;
-   wire n_15306;
-   wire n_15307;
-   wire n_15308;
-   wire n_15309;
-   wire n_15310;
-   wire n_15311;
-   wire n_15312;
-   wire n_15313;
-   wire n_15314;
-   wire n_15315;
-   wire n_15316;
-   wire n_15317;
-   wire n_15318;
-   wire n_15319;
-   wire n_15322;
-   wire n_15324;
-   wire n_15326;
-   wire n_15327;
-   wire n_15328;
-   wire n_15329;
-   wire n_15330;
-   wire n_15333;
-   wire n_15334;
-   wire n_15335;
-   wire n_15336;
-   wire n_15337;
-   wire n_15338;
-   wire n_15339;
-   wire n_15340;
-   wire n_15341;
-   wire n_15342;
-   wire n_15343;
-   wire n_15344;
-   wire n_15345;
-   wire n_15346;
-   wire n_15347;
-   wire n_15348;
-   wire n_15349;
-   wire n_15350;
-   wire n_15351;
-   wire n_15352;
-   wire n_15353;
-   wire n_15354;
-   wire n_15355;
-   wire n_15356;
-   wire n_15357;
-   wire n_15358;
-   wire n_15359;
-   wire n_15360;
-   wire n_15361;
-   wire n_15362;
-   wire n_15363;
-   wire n_15364;
-   wire n_15365;
-   wire n_15366;
-   wire n_15367;
-   wire n_15368;
-   wire n_15369;
-   wire n_15370;
-   wire n_15371;
-   wire n_15372;
-   wire n_15373;
-   wire n_15374;
-   wire n_15375;
-   wire n_15376;
-   wire n_15377;
-   wire n_15378;
-   wire n_15379;
-   wire n_15380;
-   wire n_15381;
-   wire n_15382;
-   wire n_15383;
-   wire n_15384;
-   wire n_15385;
-   wire n_15386;
-   wire n_15387;
-   wire n_15388;
-   wire n_15389;
-   wire n_15390;
-   wire n_15391;
-   wire n_15392;
-   wire n_15393;
-   wire n_15394;
-   wire n_15395;
-   wire n_15396;
-   wire n_15397;
-   wire n_15398;
-   wire n_15399;
-   wire n_15400;
-   wire n_15401;
-   wire n_15402;
-   wire n_15403;
-   wire n_15404;
-   wire n_15405;
-   wire n_15406;
-   wire n_15407;
-   wire n_15408;
-   wire n_15409;
-   wire n_15410;
-   wire n_15411;
-   wire n_15412;
-   wire n_15413;
-   wire n_15414;
-   wire n_15415;
-   wire n_15416;
-   wire n_15417;
-   wire n_15418;
-   wire n_15419;
-   wire n_15420;
-   wire n_15421;
-   wire n_15422;
-   wire n_15423;
-   wire n_15424;
-   wire n_15425;
-   wire n_15426;
-   wire n_15427;
-   wire n_15428;
-   wire n_15429;
-   wire n_15430;
-   wire n_15431;
-   wire n_15432;
-   wire n_15433;
-   wire n_15434;
-   wire n_15435;
-   wire n_15436;
-   wire n_15437;
-   wire n_15438;
-   wire n_15439;
-   wire n_15440;
-   wire n_15441;
-   wire n_15442;
-   wire n_15443;
-   wire n_15444;
-   wire n_15445;
-   wire n_15446;
-   wire n_15447;
-   wire n_15448;
-   wire n_15449;
-   wire n_15450;
-   wire n_15451;
-   wire n_15452;
-   wire n_15453;
-   wire n_15454;
-   wire n_15455;
-   wire n_15456;
-   wire n_15457;
-   wire n_15458;
-   wire n_15459;
-   wire n_15460;
-   wire n_15461;
-   wire n_15462;
-   wire n_15463;
-   wire n_15464;
-   wire n_15465;
-   wire n_15466;
-   wire n_15467;
-   wire n_15468;
-   wire n_15469;
-   wire n_15470;
-   wire n_15471;
-   wire n_15472;
-   wire n_15473;
-   wire n_15474;
-   wire n_15475;
-   wire n_15476;
-   wire n_15477;
-   wire n_15478;
-   wire n_15479;
-   wire n_15480;
-   wire n_15481;
-   wire n_15482;
-   wire n_15483;
-   wire n_15484;
-   wire n_15485;
-   wire n_15486;
-   wire n_15487;
-   wire n_15488;
-   wire n_15489;
-   wire n_15490;
-   wire n_15491;
-   wire n_15492;
-   wire n_15493;
-   wire n_15494;
-   wire n_15495;
-   wire n_15496;
-   wire n_15497;
-   wire n_15498;
-   wire n_15499;
-   wire n_15500;
-   wire n_15501;
-   wire n_15502;
-   wire n_15503;
-   wire n_15504;
-   wire n_15505;
-   wire n_15506;
-   wire n_15507;
-   wire n_15508;
-   wire n_15509;
-   wire n_15510;
-   wire n_15511;
-   wire n_15512;
-   wire n_15513;
-   wire n_15514;
-   wire n_15515;
-   wire n_15516;
-   wire n_15517;
-   wire n_15518;
-   wire n_15519;
-   wire n_15520;
-   wire n_15521;
-   wire n_15522;
-   wire n_15523;
-   wire n_15524;
-   wire n_15525;
-   wire n_15526;
-   wire n_15527;
-   wire n_15528;
-   wire n_15529;
-   wire n_15530;
-   wire n_15531;
-   wire n_15532;
-   wire n_15533;
-   wire n_15534;
-   wire n_15535;
-   wire n_15536;
-   wire n_15537;
-   wire n_15538;
-   wire n_15539;
-   wire n_15540;
-   wire n_15541;
-   wire n_15542;
-   wire n_15543;
-   wire n_15544;
-   wire n_15545;
-   wire n_15546;
-   wire n_15547;
-   wire n_15548;
-   wire n_15549;
-   wire n_15550;
-   wire n_15551;
-   wire n_15552;
-   wire n_15553;
-   wire n_15554;
-   wire n_15555;
-   wire n_15556;
-   wire n_15557;
-   wire n_15558;
-   wire n_15559;
-   wire n_15560;
-   wire n_15561;
-   wire n_15562;
-   wire n_15563;
-   wire n_15564;
-   wire n_15565;
-   wire n_15566;
-   wire n_15567;
-   wire n_15568;
-   wire n_15569;
-   wire n_15570;
-   wire n_15571;
-   wire n_15572;
-   wire n_15573;
-   wire n_15574;
-   wire n_15575;
-   wire n_15576;
-   wire n_15577;
-   wire n_15578;
-   wire n_15579;
-   wire n_15580;
-   wire n_15581;
-   wire n_15582;
-   wire n_15583;
-   wire n_15584;
-   wire n_15585;
-   wire n_15586;
-   wire n_15587;
-   wire n_15588;
-   wire n_15589;
-   wire n_15590;
-   wire n_15591;
-   wire n_15592;
-   wire n_15593;
-   wire n_15594;
-   wire n_15595;
-   wire n_15596;
-   wire n_15597;
-   wire n_15598;
-   wire n_15599;
-   wire n_15600;
-   wire n_15601;
-   wire n_15602;
-   wire n_15603;
-   wire n_15604;
-   wire n_15605;
-   wire n_15606;
-   wire n_15607;
-   wire n_15608;
-   wire n_15609;
-   wire n_15610;
-   wire n_15611;
-   wire n_15612;
-   wire n_15613;
-   wire n_15614;
-   wire n_15615;
-   wire n_15616;
-   wire n_15617;
-   wire n_15618;
-   wire n_15619;
-   wire n_15620;
-   wire n_15621;
-   wire n_15622;
-   wire n_15623;
-   wire n_15624;
-   wire n_15625;
-   wire n_15626;
-   wire n_15627;
-   wire n_15628;
-   wire n_15629;
-   wire n_15630;
-   wire n_15631;
-   wire n_15632;
-   wire n_15633;
-   wire n_15634;
-   wire n_15635;
-   wire n_15636;
-   wire n_15637;
-   wire n_15638;
-   wire n_15639;
-   wire n_15640;
-   wire n_15641;
-   wire n_15642;
-   wire n_15643;
-   wire n_15644;
-   wire n_15645;
-   wire n_15646;
-   wire n_15647;
-   wire n_15648;
-   wire n_15649;
-   wire n_15650;
-   wire n_15651;
-   wire n_15652;
-   wire n_15653;
-   wire n_15654;
-   wire n_15655;
-   wire n_15656;
-   wire n_15657;
-   wire n_15658;
-   wire n_15659;
-   wire n_15660;
-   wire n_15661;
-   wire n_15662;
-   wire n_15663;
-   wire n_15664;
-   wire n_15665;
-   wire n_15666;
-   wire n_15667;
-   wire n_15668;
-   wire n_15669;
-   wire n_15670;
-   wire n_15671;
-   wire n_15672;
-   wire n_15673;
-   wire n_15674;
-   wire n_15675;
-   wire n_15676;
-   wire n_15677;
-   wire n_15678;
-   wire n_15679;
-   wire n_15680;
-   wire n_15681;
-   wire n_15682;
-   wire n_15683;
-   wire n_15684;
-   wire n_15685;
-   wire n_15686;
-   wire n_15687;
-   wire n_15688;
-   wire n_15689;
-   wire n_15690;
-   wire n_15691;
-   wire n_15692;
-   wire n_15693;
-   wire n_15694;
-   wire n_15695;
-   wire n_15696;
-   wire n_15697;
-   wire n_15698;
-   wire n_15699;
-   wire n_15700;
-   wire n_15701;
-   wire n_15702;
-   wire n_15703;
-   wire n_15704;
-   wire n_15705;
-   wire n_15706;
-   wire n_15707;
-   wire n_15708;
-   wire n_15709;
-   wire n_15710;
-   wire n_15711;
-   wire n_15712;
-   wire n_15713;
-   wire n_15714;
-   wire n_15715;
-   wire n_15716;
-   wire n_15717;
-   wire n_15718;
-   wire n_15719;
-   wire n_15720;
-   wire n_15721;
-   wire n_15722;
-   wire n_15723;
-   wire n_15724;
-   wire n_15725;
-   wire n_15726;
-   wire n_15727;
-   wire n_15728;
-   wire n_15729;
-   wire n_15730;
-   wire n_15731;
-   wire n_15732;
-   wire n_15733;
-   wire n_15734;
-   wire n_15735;
-   wire n_15736;
-   wire n_15737;
-   wire n_15738;
-   wire n_15739;
-   wire n_15740;
-   wire n_15741;
-   wire n_15742;
-   wire n_15743;
-   wire n_15744;
-   wire n_15745;
-   wire n_15746;
-   wire n_15747;
-   wire n_15748;
-   wire n_15749;
-   wire n_15750;
-   wire n_15751;
-   wire n_15752;
-   wire n_15753;
-   wire n_15754;
-   wire n_15755;
-   wire n_15756;
-   wire n_15757;
-   wire n_15758;
-   wire n_15759;
-   wire n_15760;
-   wire n_15761;
-   wire n_15762;
-   wire n_15763;
-   wire n_15764;
-   wire n_15765;
-   wire n_15766;
-   wire n_15767;
-   wire n_15768;
-   wire n_15769;
-   wire n_15770;
-   wire n_15771;
-   wire n_15772;
-   wire n_15773;
-   wire n_15774;
-   wire n_15775;
-   wire n_15776;
-   wire n_15777;
-   wire n_15778;
-   wire n_15779;
-   wire n_15780;
-   wire n_15781;
-   wire n_15782;
-   wire n_15783;
-   wire n_15784;
-   wire n_15785;
-   wire n_15786;
-   wire n_15787;
-   wire n_15788;
-   wire n_15789;
-   wire n_15790;
-   wire n_15791;
-   wire n_15792;
-   wire n_15793;
-   wire n_15794;
-   wire n_15795;
-   wire n_15796;
-   wire n_15797;
-   wire n_15798;
-   wire n_15799;
-   wire n_15800;
-   wire n_15801;
-   wire n_15802;
-   wire n_15803;
-   wire n_15804;
-   wire n_15805;
-   wire n_15806;
-   wire n_15807;
-   wire n_15808;
-   wire n_15809;
-   wire n_15810;
-   wire n_15811;
-   wire n_15812;
-   wire n_15813;
-   wire n_15814;
-   wire n_15815;
-   wire n_15816;
-   wire n_15817;
-   wire n_15818;
-   wire n_15819;
-   wire n_15820;
-   wire n_15821;
-   wire n_15822;
-   wire n_15823;
-   wire n_15824;
-   wire n_15825;
-   wire n_15826;
-   wire n_15827;
-   wire n_15828;
-   wire n_15829;
-   wire n_15830;
-   wire n_15831;
-   wire n_15832;
-   wire n_15833;
-   wire n_15834;
-   wire n_15835;
-   wire n_15836;
-   wire n_15837;
-   wire n_15838;
-   wire n_15839;
-   wire n_15840;
-   wire n_15841;
-   wire n_15842;
-   wire n_15843;
-   wire n_15844;
-   wire n_15845;
-   wire n_15846;
-   wire n_15847;
-   wire n_15848;
-   wire n_15849;
-   wire n_15850;
-   wire n_15851;
-   wire n_15852;
-   wire n_15853;
-   wire n_15854;
-   wire n_15855;
-   wire n_15856;
-   wire n_15857;
-   wire n_15858;
-   wire n_15859;
-   wire n_15860;
-   wire n_15861;
-   wire n_15862;
-   wire n_15863;
-   wire n_15864;
-   wire n_15865;
-   wire n_15866;
-   wire n_15867;
-   wire n_15868;
-   wire n_15869;
-   wire n_15870;
-   wire n_15871;
-   wire n_15872;
-   wire n_15873;
-   wire n_15874;
-   wire n_15875;
-   wire n_15876;
-   wire n_15877;
-   wire n_15878;
-   wire n_15879;
-   wire n_15880;
-   wire n_15881;
-   wire n_15882;
-   wire n_15883;
-   wire n_15884;
-   wire n_15885;
-   wire n_15886;
-   wire n_15887;
-   wire n_15888;
-   wire n_15889;
-   wire n_15890;
-   wire n_15891;
-   wire n_15892;
-   wire n_15893;
-   wire n_15894;
-   wire n_15895;
-   wire n_15896;
-   wire n_15897;
-   wire n_15898;
-   wire n_15899;
-   wire n_15900;
-   wire n_15901;
-   wire n_15902;
-   wire n_15903;
-   wire n_15904;
-   wire n_15905;
-   wire n_15906;
-   wire n_15907;
-   wire n_15908;
-   wire n_15909;
-   wire n_15910;
-   wire n_15911;
-   wire n_15912;
-   wire n_15913;
-   wire n_15914;
-   wire n_15915;
-   wire n_15916;
-   wire n_15917;
-   wire n_15918;
-   wire n_15919;
-   wire n_15920;
-   wire n_15921;
-   wire n_15922;
-   wire n_15923;
-   wire n_15924;
-   wire n_15925;
-   wire n_15926;
-   wire n_15927;
-   wire n_15928;
-   wire n_15929;
-   wire n_15930;
-   wire n_15931;
-   wire n_15932;
-   wire n_15933;
-   wire n_15934;
-   wire n_15935;
-   wire n_15936;
-   wire n_15937;
-   wire n_15938;
-   wire n_15939;
-   wire n_15940;
-   wire n_15941;
-   wire n_15942;
-   wire n_15943;
-   wire n_15944;
-   wire n_15945;
-   wire n_15946;
-   wire n_15947;
-   wire n_15948;
-   wire n_15949;
-   wire n_15950;
-   wire n_15951;
-   wire n_15952;
-   wire n_15953;
-   wire n_15954;
-   wire n_15955;
-   wire n_15956;
-   wire n_15957;
-   wire n_15958;
-   wire n_15959;
-   wire n_15960;
-   wire n_15961;
-   wire n_15962;
-   wire n_15963;
-   wire n_15964;
-   wire n_15965;
-   wire n_15966;
-   wire n_15967;
-   wire n_15968;
-   wire n_15969;
-   wire n_15970;
-   wire n_15971;
-   wire n_15972;
-   wire n_15973;
-   wire n_15974;
-   wire n_15975;
-   wire n_15976;
-   wire n_15977;
-   wire n_15978;
-   wire n_15979;
-   wire n_15980;
-   wire n_15981;
-   wire n_15982;
-   wire n_15983;
-   wire n_15984;
-   wire n_15985;
-   wire n_15986;
-   wire n_15987;
-   wire n_15988;
-   wire n_15989;
-   wire n_15990;
-   wire n_15991;
-   wire n_15992;
-   wire n_15993;
-   wire n_15994;
-   wire n_16003;
-   wire n_16004;
-   wire n_16005;
-   wire n_16006;
-   wire n_16007;
-   wire n_16008;
-   wire n_16009;
-   wire n_16010;
-   wire n_16011;
-   wire n_16012;
-   wire n_16013;
-   wire n_16014;
-   wire n_16015;
-   wire n_16016;
-   wire n_16017;
-   wire n_16018;
-   wire n_16019;
-   wire n_16020;
-   wire n_16021;
-   wire n_16022;
-   wire n_16023;
-   wire n_16024;
-   wire n_16025;
-   wire n_16026;
-   wire n_16027;
-   wire n_16028;
-   wire n_16029;
-   wire n_16030;
-   wire n_16031;
-   wire n_16032;
-   wire n_16033;
-   wire n_16034;
-   wire n_16035;
-   wire n_16036;
-   wire n_16037;
-   wire n_16038;
-   wire n_16039;
-   wire n_16040;
-   wire n_16041;
-   wire n_16042;
-   wire n_16043;
-   wire n_16044;
-   wire n_16045;
-   wire n_16046;
-   wire n_16047;
-   wire n_16048;
-   wire n_16049;
-   wire n_16050;
-   wire n_16051;
-   wire n_16052;
-   wire n_16053;
-   wire n_16054;
-   wire n_16055;
-   wire n_16056;
-   wire n_16057;
-   wire n_16058;
-   wire n_16059;
-   wire n_16060;
-   wire n_16061;
-   wire n_16062;
-   wire n_16063;
-   wire n_16064;
-   wire n_16065;
-   wire n_16066;
-   wire n_16067;
-   wire n_16068;
-   wire n_16069;
-   wire n_16070;
-   wire n_16071;
-   wire n_16072;
-   wire n_16073;
-   wire n_16074;
-   wire n_16075;
-   wire n_16076;
-   wire n_16077;
-   wire n_16078;
-   wire n_16079;
-   wire n_16080;
-   wire n_16081;
-   wire n_16082;
-   wire n_16083;
-   wire n_16084;
-   wire n_16085;
-   wire n_16086;
-   wire n_16087;
-   wire n_16088;
-   wire n_16089;
-   wire n_16090;
-   wire n_16091;
-   wire n_16092;
-   wire n_16093;
-   wire n_16094;
-   wire n_16095;
-   wire n_16096;
-   wire n_16097;
-   wire n_16098;
-   wire n_16099;
-   wire n_16100;
-   wire n_16101;
-   wire n_16102;
-   wire n_16103;
-   wire n_16104;
-   wire n_16105;
-   wire n_16106;
-   wire n_16107;
-   wire n_16108;
-   wire n_16109;
-   wire n_16110;
-   wire n_16111;
-   wire n_16112;
-   wire n_16113;
-   wire n_16114;
-   wire n_16115;
-   wire n_16116;
-   wire n_16117;
-   wire n_16118;
-   wire n_16119;
-   wire n_16120;
-   wire n_16121;
-   wire n_16122;
-   wire n_16123;
-   wire n_16124;
-   wire n_16125;
-   wire n_16126;
-   wire n_16127;
-   wire n_16128;
-   wire n_16129;
-   wire n_16130;
-   wire n_16131;
-   wire n_16132;
-   wire n_16133;
-   wire n_16134;
-   wire n_16135;
-   wire n_16136;
-   wire n_16137;
-   wire n_16138;
-   wire n_16139;
-   wire n_16140;
-   wire n_16141;
-   wire n_16142;
-   wire n_16143;
-   wire n_16144;
-   wire n_16145;
-   wire n_16146;
-   wire n_16147;
-   wire n_16148;
-   wire n_16149;
-   wire n_16150;
-   wire n_16151;
-   wire n_16152;
-   wire n_16153;
-   wire n_16154;
-   wire n_16155;
-   wire n_16156;
-   wire n_16157;
-   wire n_16158;
-   wire n_16159;
-   wire n_16160;
-   wire n_16162;
-   wire n_16165;
-   wire n_16167;
-   wire n_16175;
-   wire n_16178;
-   wire n_16184;
-   wire n_16185;
-   wire n_16186;
-   wire n_16187;
-   wire n_16188;
-   wire n_16189;
-   wire n_16190;
-   wire n_16191;
-   wire n_16192;
-   wire n_16193;
-   wire n_16194;
-   wire n_16195;
-   wire n_16196;
-   wire n_16197;
-   wire n_16198;
-   wire n_16199;
-   wire n_16200;
-   wire n_16201;
-   wire n_16202;
-   wire n_16203;
-   wire n_16204;
-   wire n_16205;
-   wire n_16206;
-   wire n_16207;
-   wire n_16208;
-   wire n_16209;
-   wire n_16210;
-   wire n_16211;
-   wire n_16212;
-   wire n_16213;
-   wire n_16214;
-   wire n_16215;
-   wire n_16216;
-   wire n_16217;
-   wire n_16218;
-   wire n_16219;
-   wire n_16220;
-   wire n_16221;
-   wire n_16222;
-   wire n_16223;
-   wire n_16224;
-   wire n_16225;
-   wire n_16226;
-   wire n_16227;
-   wire n_16228;
-   wire n_16229;
-   wire n_16230;
-   wire n_16231;
-   wire n_16232;
-   wire n_16233;
-   wire n_16234;
-   wire n_16235;
-   wire n_16236;
-   wire n_16237;
-   wire n_16239;
-   wire n_16240;
-   wire n_16241;
-   wire n_16242;
-   wire n_16243;
-   wire n_16244;
-   wire n_16245;
-   wire n_16246;
-   wire n_16247;
-   wire n_16248;
-   wire n_16249;
-   wire n_16250;
-   wire n_16251;
-   wire n_16252;
-   wire n_16253;
-   wire n_16254;
-   wire n_16255;
-   wire n_16256;
-   wire n_16257;
-   wire n_16258;
-   wire n_16259;
-   wire n_16260;
-   wire n_16261;
-   wire n_16262;
-   wire n_16263;
-   wire n_16264;
-   wire n_16265;
-   wire n_16266;
-   wire n_16267;
-   wire n_16268;
-   wire n_16269;
-   wire n_16270;
-   wire n_16271;
-   wire n_16272;
-   wire n_16273;
-   wire n_16274;
-   wire n_16275;
-   wire n_16276;
-   wire n_16277;
-   wire n_16278;
-   wire n_16279;
-   wire n_16280;
-   wire n_16281;
-   wire n_16282;
-   wire n_16283;
-   wire n_16284;
-   wire n_16285;
-   wire n_16286;
-   wire n_16287;
-   wire n_16288;
-   wire n_16289;
-   wire n_16290;
-   wire n_16291;
-   wire n_16292;
-   wire n_16293;
-   wire n_16294;
-   wire n_16295;
-   wire n_16296;
-   wire n_16297;
-   wire n_16298;
-   wire n_16299;
-   wire n_16300;
-   wire n_16301;
-   wire n_16302;
-   wire n_16303;
-   wire n_16304;
-   wire n_16305;
-   wire n_16306;
-   wire n_16308;
-   wire n_16310;
-   wire n_16311;
-   wire n_16315;
-   wire n_16316;
-   wire n_16317;
-   wire n_16318;
-   wire n_16319;
-   wire n_16320;
-   wire n_16321;
-   wire n_16322;
-   wire n_16323;
-   wire n_16324;
-   wire n_16325;
-   wire n_16326;
-   wire n_16327;
-   wire n_16328;
-   wire n_16329;
-   wire n_16330;
-   wire n_16331;
-   wire n_16332;
-   wire n_16333;
-   wire n_16334;
-   wire n_16335;
-   wire n_16336;
-   wire n_16337;
-   wire n_16338;
-   wire n_16339;
-   wire n_16340;
-   wire n_16341;
-   wire n_16342;
-   wire n_16343;
-   wire n_16344;
-   wire n_16345;
-   wire n_16346;
-   wire n_16347;
-   wire n_16348;
-   wire n_16351;
-   wire n_16352;
-   wire n_16353;
-   wire n_16354;
-   wire n_16355;
-   wire n_16356;
-   wire n_16357;
-   wire n_16358;
-   wire n_16359;
-   wire n_16360;
-   wire n_16361;
-   wire n_16362;
-   wire n_16367;
-   wire n_16368;
-   wire n_16370;
-   wire n_16371;
-   wire n_16375;
-   wire n_16376;
-   wire n_16385;
-   wire n_16386;
-   wire n_16387;
-   wire n_16391;
-   wire n_16392;
-   wire n_16393;
-   wire n_16394;
-   wire n_16395;
-   wire n_16396;
-   wire n_16397;
-   wire n_16398;
-   wire n_16399;
-   wire n_16400;
-   wire n_16401;
-   wire n_16402;
-   wire n_16403;
-   wire n_16404;
-   wire n_16405;
-   wire n_16406;
-   wire n_16407;
-   wire n_16408;
-   wire n_16409;
-   wire n_16410;
-   wire n_16411;
-   wire n_16412;
-   wire n_16413;
-   wire n_16414;
-   wire n_16415;
-   wire n_16416;
-   wire n_16417;
-   wire n_16419;
-   wire n_16420;
-   wire n_16421;
-   wire n_16422;
-   wire n_16423;
-   wire n_16424;
-   wire n_16425;
-   wire n_16426;
-   wire n_16427;
-   wire n_16428;
-   wire n_16429;
-   wire n_16430;
-   wire n_16431;
-   wire n_16432;
-   wire n_16433;
-   wire n_16434;
-   wire n_16435;
-   wire n_16436;
-   wire n_16437;
-   wire n_16438;
-   wire n_16439;
-   wire n_16440;
-   wire n_16441;
-   wire n_16442;
-   wire n_16443;
-   wire n_16444;
-   wire n_16445;
-   wire n_16446;
-   wire n_16447;
-   wire n_16448;
-   wire n_16449;
-   wire n_16450;
-   wire n_16451;
-   wire n_16452;
-   wire n_16453;
-   wire n_16454;
-   wire n_16455;
-   wire n_16456;
-   wire n_16457;
-   wire n_16458;
-   wire n_16459;
-   wire n_16460;
-   wire n_16461;
-   wire n_16462;
-   wire n_16465;
-   wire n_16466;
-   wire n_16467;
-   wire n_16468;
-   wire n_16469;
-   wire n_16470;
-   wire n_16471;
-   wire n_16472;
-   wire n_16473;
-   wire n_16474;
-   wire n_16475;
-   wire n_16476;
-   wire n_16489;
-   wire n_16490;
-   wire n_16491;
-   wire n_16492;
-   wire n_16493;
-   wire n_16494;
-   wire n_16495;
-   wire n_16496;
-   wire n_16497;
-   wire n_16498;
-   wire n_16499;
-   wire n_16500;
-   wire n_16501;
-   wire n_16502;
-   wire n_16503;
-   wire n_16507;
-   wire n_16512;
-   wire n_16514;
-   wire n_16515;
-   wire n_16516;
-   wire n_16517;
-   wire n_16518;
-   wire n_16519;
-   wire n_16520;
-   wire n_16521;
-   wire n_16522;
-   wire n_16523;
-   wire n_16524;
-   wire n_16525;
-   wire n_16526;
-   wire n_16527;
-   wire n_16528;
-   wire n_16529;
-   wire n_16530;
-   wire n_16531;
-   wire n_16532;
-   wire n_16533;
-   wire n_16535;
-   wire n_16536;
-   wire n_16537;
-   wire n_16538;
-   wire n_16539;
-   wire n_16540;
-   wire n_16541;
-   wire n_16542;
-   wire n_16543;
-   wire n_16544;
-   wire n_16545;
-   wire n_16546;
-   wire n_16547;
-   wire n_16548;
-   wire n_16549;
-   wire n_16550;
-   wire n_16551;
-   wire n_16552;
-   wire n_16553;
-   wire n_16554;
-   wire n_16555;
-   wire n_16556;
-   wire n_16557;
-   wire n_16558;
-   wire n_16559;
-   wire n_16560;
-   wire n_16561;
-   wire n_16562;
-   wire n_16563;
-   wire n_16564;
-   wire n_16565;
-   wire n_16566;
-   wire n_16567;
-   wire n_16568;
-   wire n_16569;
-   wire n_16570;
-   wire n_16571;
-   wire n_16572;
-   wire n_16573;
-   wire n_16574;
-   wire n_16575;
-   wire n_16576;
-   wire n_16577;
-   wire n_16578;
-   wire n_16579;
-   wire n_16580;
-   wire n_16581;
-   wire n_16582;
-   wire n_16583;
-   wire n_16585;
-   wire n_16586;
-   wire n_16587;
-   wire n_16588;
-   wire n_16589;
-   wire n_16590;
-   wire n_16591;
-   wire n_16592;
-   wire n_16593;
-   wire n_16594;
-   wire n_16595;
-   wire n_16596;
-   wire n_16598;
-   wire n_16599;
-   wire n_16600;
-   wire n_16601;
-   wire n_16602;
-   wire n_16603;
-   wire n_16604;
-   wire n_16605;
-   wire n_16606;
-   wire n_16607;
-   wire n_16608;
-   wire n_16609;
-   wire n_16610;
-   wire n_16611;
-   wire n_16612;
-   wire n_16613;
-   wire n_16614;
-   wire n_16615;
-   wire n_16616;
-   wire n_16617;
-   wire n_16618;
-   wire n_16619;
-   wire n_16620;
-   wire n_16621;
-   wire n_16623;
-   wire n_16624;
-   wire n_16625;
-   wire n_16626;
-   wire n_16627;
-   wire n_16628;
-   wire n_16629;
-   wire n_16630;
-   wire n_16631;
-   wire n_16632;
-   wire n_16633;
-   wire n_16634;
-   wire n_16635;
-   wire n_16636;
-   wire n_16637;
-   wire n_16638;
-   wire n_16639;
-   wire n_16640;
-   wire n_16641;
-   wire n_16642;
-   wire n_16643;
-   wire n_16644;
-   wire n_16645;
-   wire n_16646;
-   wire n_16647;
-   wire n_16648;
-   wire n_16649;
-   wire n_16650;
-   wire n_16651;
-   wire n_16652;
-   wire n_16653;
-   wire n_16654;
-   wire n_16655;
-   wire n_16656;
-   wire n_16657;
-   wire n_16658;
-   wire n_16659;
-   wire n_16660;
-   wire n_16661;
-   wire n_16662;
-   wire n_16663;
-   wire n_16664;
-   wire n_16665;
-   wire n_16666;
-   wire n_16667;
-   wire n_16668;
-   wire n_16669;
-   wire n_16670;
-   wire n_16671;
-   wire n_16672;
-   wire n_16673;
-   wire n_16674;
-   wire n_16675;
-   wire n_16676;
-   wire n_16677;
-   wire n_16678;
-   wire n_16679;
-   wire n_16680;
-   wire n_16681;
-   wire n_16682;
-   wire n_16683;
-   wire n_16684;
-   wire n_16685;
-   wire n_16686;
-   wire n_16687;
-   wire n_16688;
-   wire n_16689;
-   wire n_16690;
-   wire n_16691;
-   wire n_16692;
-   wire n_16693;
-   wire n_16694;
-   wire n_16695;
-   wire n_16696;
-   wire n_16697;
-   wire n_16698;
-   wire n_16699;
-   wire n_16700;
-   wire n_16701;
-   wire n_16702;
-   wire n_16703;
-   wire n_16704;
-   wire n_16705;
-   wire n_16706;
-   wire n_16707;
-   wire n_16708;
-   wire n_16709;
-   wire n_16710;
-   wire n_16711;
-   wire n_16712;
-   wire n_16713;
-   wire n_16714;
-   wire n_16715;
-   wire n_16716;
-   wire n_16717;
-   wire n_16718;
-   wire n_16719;
-   wire n_16720;
-   wire n_16721;
-   wire n_16722;
-   wire n_16723;
-   wire n_16724;
-   wire n_16725;
-   wire n_16726;
-   wire n_16727;
-   wire n_16728;
-   wire n_16729;
-   wire n_16730;
-   wire n_16731;
-   wire n_16732;
-   wire n_16733;
-   wire n_16734;
-   wire n_16735;
-   wire n_16736;
-   wire n_16737;
-   wire n_16738;
-   wire n_16739;
-   wire n_16740;
-   wire n_16741;
-   wire n_16742;
-   wire n_16743;
-   wire n_16744;
-   wire n_16745;
-   wire n_16746;
-   wire n_16747;
-   wire n_16748;
-   wire n_16749;
-   wire n_16750;
-   wire n_16752;
-   wire n_16753;
-   wire n_16754;
-   wire n_16755;
-   wire n_16756;
-   wire n_16757;
-   wire n_16758;
-   wire n_16763;
-   wire n_16764;
-   wire n_16765;
-   wire n_16766;
-   wire n_16768;
-   wire n_16769;
-   wire n_16770;
-   wire n_16771;
-   wire n_16772;
-   wire n_16773;
-   wire n_16774;
-   wire n_16779;
-   wire n_16780;
-   wire n_16781;
-   wire n_16782;
-   wire n_16783;
-   wire n_16784;
-   wire n_16785;
-   wire n_16786;
-   wire n_16787;
-   wire n_16788;
-   wire n_16789;
-   wire n_16790;
-   wire n_16791;
-   wire n_16792;
-   wire n_16793;
-   wire n_16794;
-   wire n_16795;
-   wire n_16796;
-   wire n_16797;
-   wire n_16798;
-   wire n_16799;
-   wire n_16800;
-   wire n_16801;
-   wire n_16802;
-   wire n_16803;
-   wire n_16804;
-   wire n_16805;
-   wire n_16806;
-   wire n_16807;
-   wire n_16808;
-   wire n_16809;
-   wire n_16810;
-   wire n_16811;
-   wire n_16813;
-   wire n_16814;
-   wire n_16815;
-   wire n_16816;
-   wire n_16817;
-   wire n_16818;
-   wire n_16819;
-   wire n_16820;
-   wire n_16822;
-   wire n_16823;
-   wire n_16824;
-   wire n_16825;
-   wire n_16826;
-   wire n_16827;
-   wire n_16828;
-   wire n_16829;
-   wire n_16830;
-   wire n_16831;
-   wire n_16832;
-   wire n_16833;
-   wire n_16834;
-   wire n_16835;
-   wire n_16836;
-   wire n_16837;
-   wire n_16838;
-   wire n_16839;
-   wire n_16840;
-   wire n_16841;
-   wire n_16842;
-   wire n_16843;
-   wire n_16844;
-   wire n_16845;
-   wire n_16846;
-   wire n_16847;
-   wire n_16848;
-   wire n_16849;
-   wire n_16851;
-   wire n_16852;
-   wire n_16853;
-   wire n_16854;
-   wire n_16855;
-   wire n_16856;
-   wire n_16857;
-   wire n_16858;
-   wire n_16859;
-   wire n_16860;
-   wire n_16861;
-   wire n_16862;
-   wire n_16863;
-   wire n_16864;
-   wire n_16865;
-   wire n_16866;
-   wire n_16867;
-   wire n_16868;
-   wire n_16869;
-   wire n_16870;
-   wire n_16871;
-   wire n_16872;
-   wire n_16873;
-   wire n_16874;
-   wire n_16875;
-   wire n_16876;
-   wire n_16877;
-   wire n_16878;
-   wire n_16879;
-   wire n_16880;
-   wire n_16881;
-   wire n_16882;
-   wire n_16883;
-   wire n_16884;
-   wire n_16885;
-   wire n_16886;
-   wire n_16887;
-   wire n_16888;
-   wire n_16889;
-   wire n_16890;
-   wire n_16891;
-   wire n_16892;
-   wire n_16893;
-   wire n_16894;
-   wire n_16895;
-   wire n_16896;
-   wire n_16897;
-   wire n_16898;
-   wire n_16899;
-   wire n_16900;
-   wire n_16901;
-   wire n_16902;
-   wire n_16903;
-   wire n_16904;
-   wire n_16905;
-   wire n_16906;
-   wire n_16907;
-   wire n_16908;
-   wire n_16909;
-   wire n_16910;
-   wire n_16911;
-   wire n_16912;
-   wire n_16913;
-   wire n_16914;
-   wire n_16915;
-   wire n_16916;
-   wire n_16917;
-   wire n_16918;
-   wire n_16919;
-   wire n_16920;
-   wire n_16921;
-   wire n_16922;
-   wire n_16923;
-   wire n_16924;
-   wire n_16925;
-   wire n_16926;
-   wire n_16927;
-   wire n_16928;
-   wire n_16929;
-   wire n_16930;
-   wire n_16931;
-   wire n_16932;
-   wire n_16933;
-   wire n_16934;
-   wire n_16935;
-   wire n_16936;
-   wire n_16937;
-   wire n_16938;
-   wire n_16939;
-   wire n_16940;
-   wire n_16941;
-   wire n_16942;
-   wire n_16943;
-   wire n_16944;
-   wire n_16945;
-   wire n_16946;
-   wire n_16947;
-   wire n_16948;
-   wire n_16949;
-   wire n_16950;
-   wire n_16951;
-   wire n_16952;
-   wire n_16953;
-   wire n_16954;
-   wire n_16955;
-   wire n_16957;
-   wire n_16958;
-   wire n_16959;
-   wire n_16960;
-   wire n_16961;
-   wire n_16962;
-   wire n_16963;
-   wire n_16964;
-   wire n_16965;
-   wire n_16966;
-   wire n_16967;
-   wire n_16968;
-   wire n_16969;
-   wire n_16970;
-   wire n_16971;
-   wire n_16972;
-   wire n_16973;
-   wire n_16974;
-   wire n_16975;
-   wire n_16976;
-   wire n_16977;
-   wire n_16978;
-   wire n_16979;
-   wire n_16980;
-   wire n_16981;
-   wire n_16982;
-   wire n_16983;
-   wire n_16984;
-   wire n_16985;
-   wire n_16986;
-   wire n_16987;
-   wire n_16988;
-   wire n_16989;
-   wire n_16990;
-   wire n_16991;
-   wire n_16992;
-   wire n_16993;
-   wire n_16994;
-   wire n_16995;
-   wire n_16996;
-   wire n_16997;
-   wire n_16998;
-   wire n_16999;
-   wire n_17000;
-   wire n_17001;
-   wire n_17002;
-   wire n_17003;
-   wire n_17004;
-   wire n_17005;
-   wire n_17006;
-   wire n_17007;
-   wire n_17008;
-   wire n_17009;
-   wire n_17010;
-   wire n_17011;
-   wire n_17012;
-   wire n_17013;
-   wire n_17014;
-   wire n_17015;
-   wire n_17016;
-   wire n_17017;
-   wire n_17018;
-   wire n_17019;
-   wire n_17020;
-   wire n_17021;
-   wire n_17022;
-   wire n_17023;
-   wire n_17024;
-   wire n_17025;
-   wire n_17026;
-   wire n_17027;
-   wire n_17028;
-   wire n_17029;
-   wire n_17030;
-   wire n_17031;
-   wire n_17032;
-   wire n_17033;
-   wire n_17034;
-   wire n_17035;
-   wire n_17036;
-   wire n_17037;
-   wire n_17038;
-   wire n_17039;
-   wire n_17040;
-   wire n_17041;
-   wire n_17042;
-   wire n_17043;
-   wire n_17044;
-   wire n_17045;
-   wire n_17046;
-   wire n_17047;
-   wire n_17048;
-   wire n_17049;
-   wire n_17050;
-   wire n_17051;
-   wire n_17052;
-   wire n_17053;
-   wire n_17054;
-   wire n_17055;
-   wire n_17056;
-   wire n_17057;
-   wire n_17058;
-   wire n_17059;
-   wire n_17060;
-   wire n_17061;
-   wire n_17062;
-   wire n_17063;
-   wire n_17064;
-   wire n_17065;
-   wire n_17066;
-   wire n_17067;
-   wire n_17068;
-   wire n_17069;
-   wire n_17070;
-   wire n_17071;
-   wire n_17072;
-   wire n_17073;
-   wire n_17074;
-   wire n_17075;
-   wire n_17076;
-   wire n_17077;
-   wire n_17078;
-   wire n_17079;
-   wire n_17080;
-   wire n_17081;
-   wire n_17082;
-   wire n_17083;
-   wire n_17084;
-   wire n_17085;
-   wire n_17086;
-   wire n_17087;
-   wire n_17088;
-   wire n_17089;
-   wire n_17090;
-   wire n_17091;
-   wire n_17092;
-   wire n_17093;
-   wire n_17094;
-   wire n_17095;
-   wire n_17096;
-   wire n_17097;
-   wire n_17098;
-   wire n_17099;
-   wire n_17100;
-   wire n_17101;
-   wire n_17102;
-   wire n_17103;
-   wire n_17104;
-   wire n_17105;
-   wire n_17106;
-   wire n_17107;
-   wire n_17108;
-   wire n_17109;
-   wire n_17110;
-   wire n_17111;
-   wire n_17112;
-   wire n_17113;
-   wire n_17114;
-   wire n_17115;
-   wire n_17116;
-   wire n_17117;
-   wire n_17118;
-   wire n_17119;
-   wire n_17120;
-   wire n_17121;
-   wire n_17122;
-   wire n_17123;
-   wire n_17124;
-   wire n_17125;
-   wire n_17126;
-   wire n_17127;
-   wire n_17128;
-   wire n_17129;
-   wire n_17130;
-   wire n_17131;
-   wire n_17132;
-   wire n_17133;
-   wire n_17134;
-   wire n_17135;
-   wire n_17136;
-   wire n_17137;
-   wire n_17138;
-   wire n_17139;
-   wire n_17140;
-   wire n_17141;
-   wire n_17142;
-   wire n_17143;
-   wire n_17144;
-   wire n_17145;
-   wire n_17146;
-   wire n_17147;
-   wire n_17148;
-   wire n_17149;
-   wire n_17150;
-   wire n_17151;
-   wire n_17152;
-   wire n_17153;
-   wire n_17154;
-   wire n_17155;
-   wire n_17156;
-   wire n_17157;
-   wire n_17158;
-   wire n_17159;
-   wire n_17160;
-   wire n_17161;
-   wire n_17162;
-   wire n_17163;
-   wire n_17164;
-   wire n_17165;
-   wire n_17166;
-   wire n_17167;
-   wire n_17168;
-   wire n_17169;
-   wire n_17170;
-   wire n_17171;
-   wire n_17172;
-   wire n_17173;
-   wire n_17174;
-   wire n_17175;
-   wire n_17176;
-   wire n_17177;
-   wire n_17178;
-   wire n_17179;
-   wire n_17180;
-   wire n_17181;
-   wire n_17182;
-   wire n_17183;
-   wire n_17184;
-   wire n_17185;
-   wire n_17186;
-   wire n_17187;
-   wire n_17188;
-   wire n_17189;
-   wire n_17190;
-   wire n_17191;
-   wire n_17192;
-   wire n_17193;
-   wire n_17194;
-   wire n_17195;
-   wire n_17196;
-   wire n_17197;
-   wire n_17198;
-   wire n_17199;
-   wire n_17200;
-   wire n_17201;
-   wire n_17202;
-   wire n_17203;
-   wire n_17204;
-   wire n_17205;
-   wire n_17206;
-   wire n_17207;
-   wire n_17208;
-   wire n_17209;
-   wire n_17210;
-   wire n_17211;
-   wire n_17212;
-   wire n_17213;
-   wire n_17214;
-   wire n_17215;
-   wire n_17216;
-   wire n_17217;
-   wire n_17218;
-   wire n_17219;
-   wire n_17220;
-   wire n_17221;
-   wire n_17222;
-   wire n_17223;
-   wire n_17225;
-   wire n_17230;
-   wire n_17231;
-   wire n_17232;
-   wire n_17233;
-   wire n_17234;
-   wire n_17235;
-   wire n_17236;
-   wire n_17237;
-   wire n_17238;
-   wire n_17239;
-   wire n_17240;
-   wire n_17241;
-   wire n_17242;
-   wire n_17243;
-   wire n_17244;
-   wire n_17245;
-   wire n_17246;
-   wire n_17247;
-   wire n_17248;
-   wire n_17249;
-   wire n_17250;
-   wire n_17251;
-   wire n_17252;
-   wire n_17253;
-   wire n_17254;
-   wire n_17255;
-   wire n_17256;
-   wire n_17257;
-   wire n_17258;
-   wire n_17259;
-   wire n_17260;
-   wire n_17261;
-   wire n_17262;
-   wire n_17263;
-   wire n_17264;
-   wire n_17265;
-   wire n_17266;
-   wire n_17267;
-   wire n_17268;
-   wire n_17269;
-   wire n_17270;
-   wire n_17271;
-   wire n_17272;
-   wire n_17273;
-   wire n_17274;
-   wire n_17275;
-   wire n_17276;
-   wire n_17277;
-   wire n_17278;
-   wire n_17279;
-   wire n_17280;
-   wire n_17281;
-   wire n_17282;
-   wire n_17283;
-   wire n_17284;
-   wire n_17285;
-   wire n_17286;
-   wire n_17287;
-   wire n_17288;
-   wire n_17289;
-   wire n_17290;
-   wire n_17291;
-   wire n_17292;
-   wire n_17293;
-   wire n_17294;
-   wire n_17295;
-   wire n_17296;
-   wire n_17297;
-   wire n_17298;
-   wire n_17299;
-   wire n_17300;
-   wire n_17301;
-   wire n_17302;
-   wire n_17303;
-   wire n_17304;
-   wire n_17305;
-   wire n_17306;
-   wire n_17307;
-   wire n_17308;
-   wire n_17309;
-   wire n_17310;
-   wire n_17311;
-   wire n_17312;
-   wire n_17313;
-   wire n_17314;
-   wire n_17315;
-   wire n_17316;
-   wire n_17317;
-   wire n_17318;
-   wire n_17319;
-   wire n_17320;
-   wire n_17321;
-   wire n_17322;
-   wire n_17323;
-   wire n_17324;
-   wire n_17325;
-   wire n_17326;
-   wire n_17327;
-   wire n_17328;
-   wire n_17329;
-   wire n_17330;
-   wire n_17331;
-   wire n_17332;
-   wire n_17333;
-   wire n_17334;
-   wire n_17335;
-   wire n_17336;
-   wire n_17337;
-   wire n_17338;
-   wire n_17339;
-   wire n_17340;
-   wire n_17341;
-   wire n_17342;
-   wire n_17343;
-   wire n_17344;
-   wire n_17345;
-   wire n_17346;
-   wire n_17347;
-   wire n_17348;
-   wire n_17349;
-   wire n_17350;
-   wire n_17351;
-   wire n_17352;
-   wire n_17353;
-   wire n_17354;
-   wire n_17355;
-   wire n_17356;
-   wire n_17357;
-   wire n_17358;
-   wire n_17359;
-   wire n_17360;
-   wire n_17403;
-   wire n_17404;
-   wire n_17405;
-   wire n_17406;
-   wire n_17407;
-   wire n_17408;
-   wire n_17409;
-   wire n_17410;
-   wire n_17411;
-   wire n_17412;
-   wire n_17413;
-   wire n_17414;
-   wire n_17415;
-   wire n_17416;
-   wire n_17417;
-   wire n_17418;
-   wire n_17419;
-   wire n_17420;
-   wire n_17421;
-   wire n_17422;
-   wire n_17425;
-   wire n_17427;
-   wire n_17428;
-   wire n_17429;
-   wire n_17430;
-   wire n_17431;
-   wire n_17433;
-   wire n_17434;
-   wire n_17436;
-   wire n_17437;
-   wire n_17438;
-   wire n_17440;
-   wire n_17441;
-   wire n_17442;
-   wire n_17443;
-   wire n_17444;
-   wire n_17445;
-   wire n_17446;
-   wire n_17447;
-   wire n_17448;
-   wire n_17449;
-   wire n_17450;
-   wire n_17451;
-   wire n_17452;
-   wire n_17453;
-   wire n_17455;
-   wire n_17456;
-   wire n_17457;
-   wire n_17458;
-   wire n_17459;
-   wire n_17460;
-   wire n_17461;
-   wire n_17462;
-   wire n_17463;
-   wire n_17464;
-   wire n_17467;
-   wire n_17474;
-   wire n_17475;
-   wire n_17476;
-   wire n_17477;
-   wire n_17478;
-   wire n_17479;
-   wire n_17480;
-   wire n_17481;
-   wire n_17482;
-   wire n_17483;
-   wire n_17484;
-   wire n_17485;
-   wire n_17486;
-   wire n_17489;
-   wire n_17490;
-   wire n_17491;
-   wire n_17492;
-   wire n_17493;
-   wire n_17494;
-   wire n_17495;
-   wire n_17496;
-   wire n_17497;
-   wire n_17498;
-   wire n_17499;
-   wire n_17500;
-   wire n_17501;
-   wire n_17502;
-   wire n_17503;
-   wire n_17504;
-   wire n_17505;
-   wire n_17506;
-   wire n_17507;
-   wire n_17508;
-   wire n_17509;
-   wire n_17510;
-   wire n_17511;
-   wire n_17512;
-   wire n_17513;
-   wire n_17514;
-   wire n_17515;
-   wire n_17516;
-   wire n_17517;
-   wire n_17518;
-   wire n_17519;
-   wire n_17520;
-   wire n_17521;
-   wire n_17522;
-   wire n_17523;
-   wire n_17524;
-   wire n_17525;
-   wire n_17526;
-   wire n_17527;
-   wire n_17528;
-   wire n_17531;
-   wire n_17532;
-   wire n_17533;
-   wire n_17534;
-   wire n_17535;
-   wire n_17536;
-   wire n_17537;
-   wire n_17538;
-   wire n_17539;
-   wire n_17544;
-   wire n_17549;
-   wire n_17554;
-   wire n_17556;
-   wire n_17557;
-   wire n_17558;
-   wire n_17559;
-   wire n_17560;
-   wire n_17561;
-   wire n_17562;
-   wire n_17563;
-   wire n_17564;
-   wire n_17565;
-   wire n_17566;
-   wire n_17567;
-   wire n_17568;
-   wire n_17569;
-   wire n_17570;
-   wire n_17571;
-   wire n_17572;
-   wire n_17573;
-   wire n_17574;
-   wire n_17576;
-   wire n_17577;
-   wire n_18153;
-   wire n_18154;
-   wire n_18155;
-   wire n_18156;
-   wire n_18157;
-   wire n_18158;
-   wire n_18159;
-   wire n_18160;
-   wire n_18161;
-   wire n_18162;
-   wire n_18163;
-   wire n_18164;
-   wire n_18165;
-   wire n_18166;
-   wire n_18167;
-   wire n_18168;
-   wire n_18169;
-   wire n_18170;
-   wire n_18171;
-   wire n_18172;
-   wire n_18173;
-   wire n_18174;
-   wire n_18175;
-   wire n_18177;
-   wire n_18179;
-   wire n_18180;
-   wire n_18181;
-   wire n_18182;
-   wire n_18183;
-   wire n_18184;
-   wire n_18185;
-   wire n_18186;
-   wire n_18187;
-   wire n_18208;
-   wire n_18209;
-   wire n_18210;
-   wire n_18211;
-   wire n_18212;
-   wire n_18213;
-   wire n_18214;
-   wire n_18215;
-   wire n_18216;
-   wire n_18217;
-   wire n_18218;
-   wire n_18219;
-   wire n_18220;
-   wire n_18221;
-   wire n_18222;
-   wire n_18223;
-   wire n_18224;
-   wire n_18225;
-   wire n_18226;
-   wire n_18227;
-   wire n_18228;
-   wire n_18229;
-   wire n_18230;
-   wire n_18231;
-   wire n_18232;
-   wire n_18233;
-   wire n_18234;
-   wire n_18235;
-   wire n_18236;
-   wire n_18237;
-   wire n_18238;
-   wire n_18241;
-   wire n_18242;
-   wire n_18243;
-   wire n_18244;
-   wire n_18245;
-   wire n_18246;
-   wire n_18247;
-   wire n_18248;
-   wire n_18258;
-   wire n_18263;
-   wire n_18278;
-   wire n_18281;
-   wire n_18286;
-   wire n_18287;
-   wire n_18288;
-   wire n_18289;
-   wire n_18290;
-   wire n_18291;
-   wire n_18292;
-   wire n_18293;
-   wire n_18294;
-   wire n_18295;
-   wire n_18296;
-   wire n_18297;
-   wire n_18298;
-   wire n_18299;
-   wire n_18302;
-   wire n_18303;
-   wire n_18304;
-   wire n_18305;
-   wire n_18306;
-   wire n_18307;
-   wire n_18308;
-   wire n_18309;
-   wire n_18310;
-   wire n_18311;
-   wire n_18312;
-   wire n_18313;
-   wire n_18314;
-   wire n_18315;
-   wire n_18316;
-   wire n_18317;
-   wire n_18318;
-   wire n_18319;
-   wire n_18320;
-   wire n_18322;
-   wire n_18323;
-   wire n_18324;
-   wire n_18325;
-   wire n_18326;
-   wire n_18328;
-   wire n_18329;
-   wire n_18330;
-   wire n_18331;
-   wire n_18332;
-   wire n_18333;
-   wire n_18334;
-   wire n_18335;
-   wire n_18336;
-   wire n_18337;
-   wire n_18339;
-   wire n_18340;
-   wire n_18341;
-   wire n_18342;
-   wire n_18343;
-   wire n_18344;
-   wire n_18345;
-   wire n_18346;
-   wire n_18347;
-   wire n_18348;
-   wire n_18349;
-   wire n_18350;
-   wire n_18351;
-   wire n_18352;
-   wire n_18353;
-   wire n_18354;
-   wire n_18355;
-   wire n_18356;
-   wire n_18357;
-   wire n_18358;
-   wire n_18359;
-   wire n_18360;
-   wire n_18361;
-   wire n_18362;
-   wire n_18364;
-   wire n_18365;
-   wire n_18366;
-   wire n_18367;
-   wire n_18370;
-   wire n_18371;
-   wire n_18372;
-   wire n_18373;
-   wire n_18374;
-   wire n_18375;
-   wire n_18376;
-   wire n_18377;
-   wire n_18378;
-   wire n_18379;
-   wire n_18380;
-   wire n_18382;
-   wire n_18383;
-   wire n_18384;
-   wire n_18386;
-   wire n_18387;
-   wire n_18388;
-   wire n_18389;
-   wire n_18390;
-   wire n_18391;
-   wire n_18392;
-   wire n_18393;
-   wire n_18394;
-   wire n_18395;
-   wire n_18396;
-   wire n_18397;
-   wire n_18398;
-   wire n_18399;
-   wire n_18400;
-   wire n_18401;
-   wire n_18402;
-   wire n_18403;
-   wire n_18406;
-   wire n_18407;
-   wire n_18408;
-   wire n_18409;
-   wire n_18411;
-   wire n_18412;
-   wire n_18413;
-   wire n_18414;
-   wire n_18415;
-   wire n_18416;
-   wire n_18417;
-   wire n_18418;
-   wire n_18419;
-   wire n_18420;
-   wire n_18423;
-   wire n_18424;
-   wire n_18425;
-   wire n_18427;
-   wire n_18430;
-   wire n_18432;
-   wire n_18433;
-   wire n_18438;
-   wire n_18439;
-   wire n_18440;
-   wire n_18441;
-   wire n_18442;
-   wire n_18443;
-   wire n_18444;
-   wire n_18445;
-   wire n_18446;
-   wire n_18447;
-   wire n_18448;
-   wire n_18449;
-   wire n_18450;
-   wire n_18451;
-   wire n_18452;
-   wire n_18453;
-   wire n_18454;
-   wire n_18455;
-   wire n_18456;
-   wire n_18457;
-   wire n_18458;
-   wire n_18459;
-   wire n_18460;
-   wire n_18461;
-   wire n_18462;
-   wire n_18463;
-   wire n_18464;
-   wire n_18465;
-   wire n_18466;
-   wire n_18467;
-   wire n_18468;
-   wire n_18469;
-   wire n_18470;
-   wire n_18471;
-   wire n_18472;
-   wire n_18473;
-   wire n_18474;
-   wire n_18475;
-   wire n_18476;
-   wire n_18477;
-   wire n_18478;
-   wire n_18479;
-   wire n_18480;
-   wire n_18481;
-   wire n_18482;
-   wire n_18483;
-   wire n_18484;
-   wire n_18485;
-   wire n_18486;
-   wire n_18487;
-   wire n_18508;
-   wire n_18509;
-   wire n_18512;
-   wire n_18513;
-   wire n_18514;
-   wire n_18515;
-   wire n_18516;
-   wire n_18517;
-   wire n_18518;
-   wire n_18520;
-   wire n_18521;
-   wire n_18522;
-   wire n_18523;
-   wire n_18524;
-   wire n_18525;
-   wire n_18526;
-   wire n_18527;
-   wire n_18528;
-   wire n_18529;
-   wire n_18532;
-   wire n_18535;
-   wire n_18536;
-   wire n_24420_BAR;
-   wire n_24421_BAR;
-   wire n_24423_BAR;
-   wire n_266796_BAR;
-   wire n_266879_BAR;
-   wire n_267254_BAR;
-   wire n_267261_BAR;
-   wire n_267275_BAR;
-   wire n_267279_BAR;
-   wire n_306872_BAR;
-   wire n_311402_BAR;
-   wire n_311439_BAR;
-   wire n_311447_BAR;
-   wire n_311448_BAR;
-   wire n_314059_BAR;
-   wire n_319568_BAR;
-   wire n_319572_BAR;
-   wire n_319577_BAR;
-   wire pwm1_oe;
-   wire pwm2_oe;
-   wire sd_o;
-   wire sd_oe;
-   wire \soc_top_GPIO_gen_filter[0].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[0].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[1].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[1].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[2].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[2].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[3].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[3].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[4].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[4].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[5].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[5].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[6].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[6].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[7].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[7].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[8].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[8].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[9].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[9].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[10].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[10].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[11].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[11].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[12].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[12].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[13].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[13].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[14].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[14].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[15].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[15].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[16].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[16].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[17].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[17].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[19].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[19].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[20].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[20].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[21].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[21].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[22].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[22].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[23].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[23].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[24].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[24].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[25].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[25].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[26].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[26].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[27].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[27].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[28].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[28].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[29].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[29].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[30].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[30].filter_stored_value_q ;
-   wire \soc_top_GPIO_gen_filter[31].filter_filter_q ;
-   wire \soc_top_GPIO_gen_filter[31].filter_stored_value_q ;
-   wire soc_top_data_we;
-   wire \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ;
-   wire soc_top_dccm_adapter_data_mem_u_reqfifo_n_85;
-   wire soc_top_dccm_adapter_data_mem_u_reqfifo_n_326;
-   wire soc_top_dccm_adapter_data_mem_u_reqfifo_n_327;
-   wire soc_top_dccm_adapter_data_mem_u_rspfifo_n_15;
-   wire soc_top_dccm_adapter_data_mem_u_rspfifo_n_125;
-   wire soc_top_dccm_adapter_data_mem_u_rspfifo_n_628;
-   wire soc_top_dccm_adapter_data_mem_u_rspfifo_n_629;
-   wire soc_top_dccm_adapter_data_mem_u_rspfifo_n_638;
-   wire soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_15;
-   wire soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_69;
-   wire soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_206;
-   wire soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_207;
-   wire soc_top_dccm_adapter_rvalid_o;
-   wire \soc_top_gpio_to_xbarp[d_error] ;
-   wire \soc_top_gpio_to_xbarp[d_valid] ;
-   wire soc_top_iccm_adapter_inst_mem_u_reqfifo_n_68;
-   wire soc_top_iccm_adapter_inst_mem_u_rspfifo_n_108;
-   wire soc_top_iccm_adapter_inst_mem_u_rspfifo_n_390;
-   wire soc_top_iccm_adapter_inst_mem_u_sramreqfifo_n_52;
-   wire soc_top_iccm_adapter_inst_mem_u_sramreqfifo_n_136;
-   wire soc_top_iccm_adapter_rvalid;
-   wire soc_top_iccm_ctrl_we;
-   wire \soc_top_ifu_to_xbar[a_valid] ;
-   wire soc_top_instr_we;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_0 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_1 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_2 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_3 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_4 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_5 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_6 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_7 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_8 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_9 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_10 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_11 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_12 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_13 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_14 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_15 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_16 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_17 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_18 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_19 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_20 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_21 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_22 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_23 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_24 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_25 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_26 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_27 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_28 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_29 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_30 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_31 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_32 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_33 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_34 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_35 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_36 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_37 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_38 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_39 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_40 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_41 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_42 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_43 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_44 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_45 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_46 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_47 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_48 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_49 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_50 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_51 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_52 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_53 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_54 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_55 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_56 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_57 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_58 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_59 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_60 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_61 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_62 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_63 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_64 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_65 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_66 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_67 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_68 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_69 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_70 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_71 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_72 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_73 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_74 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_75 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_76 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_77 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_78 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_79 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_80 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_81 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_82 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_83 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_84 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_85 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_86 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_87 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_88 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_89 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_90 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_91 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_92 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_93 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_94 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_95 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_96 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_97 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_98 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_99 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_100 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_101 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_102 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_103 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_104 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_105 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_106 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_107 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_108 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_109 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_110 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_111 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_112 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_113 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_114 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_115 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_116 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_117 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_118 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_119 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_120 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_121 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_122 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_123 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_124 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_125 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_126 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_127 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_128 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_129 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_130 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_131 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_132 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_133 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_134 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_135 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_136 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_137 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_138 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_139 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_140 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_141 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_142 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_143 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_144 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_145 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_146 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_147 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_148 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_149 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_150 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_151 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_152 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_153 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_154 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_155 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_156 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_157 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_158 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_159 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_160 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_161 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_162 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_163 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_164 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_165 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_166 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_167 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_168 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_169 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_170 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_171 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_172 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_173 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_174 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_175 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_176 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_177 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_178 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_179 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_180 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_181 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_182 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_183 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_184 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_185 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_186 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_187 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_188 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_189 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_190 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_191 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_192 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_193 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_194 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_195 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_196 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_197 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_198 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_199 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_200 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_201 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_202 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_203 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_204 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_205 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_206 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_207 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_208 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_209 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_210 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_211 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_212 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_213 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_214 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_215 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_216 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_217 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_218 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_219 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_220 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_221 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_222 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_223 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_224 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_225 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_226 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_227 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_228 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_229 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_230 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_231 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_232 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_233 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_234 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_235 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_236 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_237 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_238 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_239 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_240 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_241 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_242 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_243 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_244 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_245 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_246 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_247 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_248 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_249 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_250 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_251 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_252 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_253 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_254 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_255 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_256 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_257 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_258 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_259 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_260 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_261 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_262 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_263 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_264 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_265 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_266 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_267 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_268 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_269 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_270 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_271 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_272 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_273 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_274 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_275 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_276 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_277 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_278 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_279 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_280 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_281 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_282 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_283 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_284 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_285 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_286 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_287 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_288 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_289 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_290 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_291 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_292 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_293 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_294 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_295 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_296 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_297 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_298 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_299 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_300 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_301 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_302 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_303 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_304 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_305 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_306 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_307 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_308 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_309 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_310 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_311 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_312 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_313 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_314 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_315 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_316 ;
-   wire \soc_top_intr_controller_gen_target[0].u_target_n_317 ;
-   wire \soc_top_intr_controller_reg2hw[ie0][0][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][1][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][2][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][3][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][4][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][5][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][6][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][7][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][8][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][9][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][10][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][11][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][12][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][13][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][14][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][15][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][16][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][17][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][18][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][19][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][20][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][21][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][22][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][23][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][24][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][25][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][26][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][27][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][28][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][29][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][30][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][31][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][32][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][33][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][34][q] ;
-   wire \soc_top_intr_controller_reg2hw[ie0][35][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][1][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][2][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][3][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][4][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][5][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][6][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][7][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][8][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][9][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][10][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][11][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][12][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][13][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][14][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][15][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][16][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][17][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][18][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][19][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][20][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][21][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][22][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][23][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][24][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][25][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][26][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][27][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][28][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][29][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][30][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][31][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][32][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][33][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][34][q] ;
-   wire \soc_top_intr_controller_reg2hw[le][35][q] ;
-   wire soc_top_intr_controller_u_reg_ip_0_p_1_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_2_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_3_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_4_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_5_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_6_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_7_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_8_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_9_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_10_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_11_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_12_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_13_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_14_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_15_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_16_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_17_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_18_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_19_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_20_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_21_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_22_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_23_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_24_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_25_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_26_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_27_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_28_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_29_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_30_qs;
-   wire soc_top_intr_controller_u_reg_ip_0_p_31_qs;
-   wire soc_top_intr_controller_u_reg_le_0_le_0_qs;
-   wire soc_top_intr_controller_u_reg_msip0_qs;
-   wire soc_top_intr_req;
-   wire soc_top_intr_srx;
-   wire soc_top_intr_stx;
-   wire soc_top_intr_timer;
-   wire soc_top_intr_u_tx;
-   wire \soc_top_lsu_to_xbar[a_valid] ;
-   wire \soc_top_plic_resp[d_error] ;
-   wire \soc_top_plic_resp[d_valid] ;
-   wire soc_top_prog_rst_ni;
-   wire \soc_top_pwm_to_xbar[d_valid] ;
-   wire soc_top_reset_manager_n_0;
-   wire soc_top_reset_manager_n_2;
-   wire soc_top_reset_manager_n_3;
-   wire soc_top_reset_manager_n_4;
-   wire soc_top_reset_manager_n_5;
-   wire soc_top_reset_manager_n_6;
-   wire soc_top_reset_manager_n_7;
-   wire soc_top_reset_manager_n_8;
-   wire soc_top_reset_manager_n_9;
-   wire soc_top_reset_manager_n_11;
-   wire soc_top_reset_manager_n_12;
-   wire soc_top_reset_manager_n_13;
-   wire soc_top_reset_manager_rst_run_d;
-   wire soc_top_reset_manager_rst_run_q;
-   wire soc_top_rx_dv_i;
-   wire \soc_top_spi_to_xbar[d_valid] ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_0 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_2 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_4 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_6 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_8 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_10 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_12 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_14 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_16 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_18 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_20 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_22 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_24 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_26 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_28 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_30 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_32 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_34 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_36 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_38 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_40 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_42 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_44 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_46 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_48 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_50 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_52 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_54 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_56 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_58 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_60 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_62 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_64 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_66 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_68 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_70 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_72 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_74 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_76 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_78 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_80 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_82 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_84 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_86 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_88 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_90 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_92 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_94 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_96 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_98 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_100 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_102 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_104 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_106 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_108 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_110 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_112 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_114 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_116 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_118 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_120 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_123 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_124 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_0 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_1 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_2 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_3 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_4 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_5 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_6 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_7 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_8 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_9 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_10 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_11 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_12 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_13 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_14 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_15 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_16 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_17 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_18 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_19 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_20 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_21 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_22 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_23 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_24 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_25 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_26 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_27 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_28 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_29 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_30 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_31 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_32 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_33 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_34 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_35 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_36 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_37 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_38 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_39 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_40 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_41 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_42 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_43 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_44 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_45 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_46 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_47 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_48 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_49 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_50 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_51 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_52 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_53 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_54 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_55 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_56 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_57 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_58 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_59 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_60 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_61 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_62 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_63 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_64 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_65 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_66 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_67 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_68 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_69 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_70 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_71 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_72 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_73 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_74 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_75 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_76 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_77 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_78 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_79 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_80 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_81 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_82 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_83 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_84 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_85 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_86 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_87 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_88 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_89 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_90 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_91 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_92 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_93 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_94 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_95 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_96 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_97 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_98 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_99 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_100 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_101 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_102 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_103 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_104 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_105 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_106 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_107 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_108 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_109 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_110 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_111 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_112 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_113 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_114 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_115 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_116 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_117 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_118 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_119 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_120 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_121 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_122 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_123 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_124 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_125 ;
-   wire \soc_top_timer0_gen_harts[0].u_core_n_81 ;
-   wire \soc_top_timer0_gen_harts[0].u_intr_hw_n_0 ;
-   wire \soc_top_timer0_hw2reg[intr_state0][0][d] ;
-   wire \soc_top_timer0_hw2reg[intr_state0][0][de] ;
-   wire \soc_top_timer0_reg2hw[compare_lower0_0][qe] ;
-   wire \soc_top_timer0_reg2hw[compare_upper0_0][qe] ;
-   wire \soc_top_timer0_reg2hw[ctrl][0][q] ;
-   wire \soc_top_timer0_reg2hw[intr_enable0][0][q] ;
-   wire \soc_top_timer0_reg2hw[intr_state0][0][q] ;
-   wire \soc_top_timer0_reg2hw[intr_test0][0][qe] ;
-   wire soc_top_timer0_u_reg_compare_lower0_0_we;
-   wire soc_top_timer0_u_reg_compare_upper0_0_we;
-   wire soc_top_timer0_u_reg_intr_state0_we;
-   wire soc_top_timer0_u_reg_n_778;
-   wire soc_top_timer0_u_reg_u_intr_state0_wr_en;
-   wire soc_top_timer0_u_reg_u_intr_state0_wr_en_data_arb_n_1;
-   wire soc_top_timer0_u_reg_wr_err;
-   wire \soc_top_timer_to_xbar[d_error] ;
-   wire \soc_top_timer_to_xbar[d_valid] ;
-   wire soc_top_u_dccm_n_65;
-   wire soc_top_u_dut_n_0;
-   wire soc_top_u_dut_n_1;
-   wire soc_top_u_dut_n_2;
-   wire soc_top_u_dut_n_3;
-   wire soc_top_u_dut_n_4;
-   wire soc_top_u_dut_n_5;
-   wire soc_top_u_dut_n_6;
-   wire soc_top_u_dut_n_7;
-   wire soc_top_u_dut_n_8;
-   wire soc_top_u_dut_n_9;
-   wire soc_top_u_dut_n_10;
-   wire soc_top_u_dut_n_11;
-   wire soc_top_u_dut_n_12;
-   wire soc_top_u_dut_n_13;
-   wire soc_top_u_dut_n_14;
-   wire soc_top_u_dut_n_15;
-   wire soc_top_u_dut_n_16;
-   wire soc_top_u_dut_n_17;
-   wire soc_top_u_dut_n_18;
-   wire soc_top_u_dut_n_19;
-   wire soc_top_u_dut_n_20;
-   wire soc_top_u_dut_n_21;
-   wire soc_top_u_dut_n_22;
-   wire soc_top_u_dut_n_23;
-   wire soc_top_u_dut_n_24;
-   wire soc_top_u_dut_n_25;
-   wire soc_top_u_dut_n_26;
-   wire soc_top_u_dut_n_27;
-   wire soc_top_u_dut_n_28;
-   wire soc_top_u_dut_n_29;
-   wire soc_top_u_dut_n_30;
-   wire soc_top_u_dut_n_31;
-   wire soc_top_u_dut_n_32;
-   wire soc_top_u_dut_n_33;
-   wire soc_top_u_dut_n_34;
-   wire soc_top_u_dut_n_35;
-   wire soc_top_u_dut_n_36;
-   wire soc_top_u_dut_n_37;
-   wire soc_top_u_dut_n_38;
-   wire soc_top_u_dut_n_39;
-   wire soc_top_u_dut_n_40;
-   wire soc_top_u_dut_n_41;
-   wire soc_top_u_dut_n_42;
-   wire soc_top_u_dut_n_43;
-   wire soc_top_u_dut_n_44;
-   wire soc_top_u_dut_n_45;
-   wire soc_top_u_dut_n_46;
-   wire soc_top_u_dut_n_47;
-   wire soc_top_u_dut_n_48;
-   wire soc_top_u_dut_n_49;
-   wire soc_top_u_dut_n_50;
-   wire soc_top_u_dut_n_51;
-   wire soc_top_u_dut_n_52;
-   wire soc_top_u_dut_n_53;
-   wire soc_top_u_dut_n_54;
-   wire soc_top_u_dut_n_55;
-   wire soc_top_u_dut_n_56;
-   wire soc_top_u_dut_n_57;
-   wire soc_top_u_dut_n_58;
-   wire soc_top_u_dut_n_59;
-   wire soc_top_u_dut_n_60;
-   wire soc_top_u_dut_n_61;
-   wire soc_top_u_dut_n_62;
-   wire soc_top_u_dut_n_63;
-   wire soc_top_u_dut_n_64;
-   wire soc_top_u_dut_n_65;
-   wire soc_top_u_dut_n_66;
-   wire soc_top_u_dut_n_67;
-   wire soc_top_u_dut_n_68;
-   wire soc_top_u_dut_n_69;
-   wire soc_top_u_dut_n_70;
-   wire soc_top_u_dut_n_71;
-   wire soc_top_u_dut_n_72;
-   wire soc_top_u_dut_n_73;
-   wire soc_top_u_dut_n_74;
-   wire soc_top_u_dut_n_75;
-   wire soc_top_u_dut_n_76;
-   wire soc_top_u_dut_n_77;
-   wire soc_top_u_dut_n_78;
-   wire soc_top_u_dut_n_79;
-   wire soc_top_u_dut_n_80;
-   wire soc_top_u_dut_n_81;
-   wire soc_top_u_dut_n_82;
-   wire soc_top_u_dut_n_83;
-   wire soc_top_u_dut_n_84;
-   wire soc_top_u_dut_n_85;
-   wire soc_top_u_dut_n_86;
-   wire soc_top_u_dut_n_87;
-   wire soc_top_u_dut_n_88;
-   wire soc_top_u_dut_n_89;
-   wire soc_top_u_dut_n_90;
-   wire soc_top_u_dut_n_91;
-   wire soc_top_u_dut_n_92;
-   wire soc_top_u_dut_n_93;
-   wire soc_top_u_dut_n_94;
-   wire soc_top_u_dut_n_95;
-   wire soc_top_u_dut_n_96;
-   wire soc_top_u_dut_n_97;
-   wire soc_top_u_dut_n_98;
-   wire soc_top_u_dut_n_99;
-   wire soc_top_u_dut_n_100;
-   wire soc_top_u_dut_n_101;
-   wire soc_top_u_dut_n_102;
-   wire soc_top_u_dut_n_103;
-   wire soc_top_u_dut_n_104;
-   wire soc_top_u_dut_n_105;
-   wire soc_top_u_dut_n_106;
-   wire soc_top_u_dut_n_107;
-   wire soc_top_u_dut_n_108;
-   wire soc_top_u_dut_n_109;
-   wire soc_top_u_dut_n_110;
-   wire soc_top_u_dut_n_111;
-   wire soc_top_u_dut_n_112;
-   wire soc_top_u_dut_n_113;
-   wire soc_top_u_dut_n_114;
-   wire soc_top_u_dut_n_115;
-   wire soc_top_u_dut_n_116;
-   wire soc_top_u_dut_n_117;
-   wire soc_top_u_dut_n_118;
-   wire soc_top_u_dut_n_119;
-   wire soc_top_u_dut_n_120;
-   wire soc_top_u_dut_n_121;
-   wire soc_top_u_dut_n_122;
-   wire soc_top_u_dut_n_123;
-   wire soc_top_u_dut_n_124;
-   wire soc_top_u_dut_n_125;
-   wire soc_top_u_dut_n_126;
-   wire soc_top_u_dut_n_127;
-   wire soc_top_u_dut_n_128;
-   wire soc_top_u_dut_n_129;
-   wire soc_top_u_dut_n_130;
-   wire soc_top_u_dut_n_131;
-   wire soc_top_u_dut_n_132;
-   wire soc_top_u_dut_n_133;
-   wire soc_top_u_dut_n_134;
-   wire soc_top_u_pwm_pwm_core_clock_p1;
-   wire soc_top_u_pwm_pwm_core_clock_p2;
-   wire soc_top_u_pwm_pwm_core_n_49;
-   wire soc_top_u_pwm_pwm_core_n_149;
-   wire soc_top_u_pwm_pwm_core_n_1204;
-   wire soc_top_u_pwm_pwm_core_n_1288;
-   wire soc_top_u_pwm_pwm_core_pts;
-   wire soc_top_u_pwm_pwm_core_pts_2;
-   wire soc_top_u_spi_host_spi_host_clgen_n_0;
-   wire soc_top_u_spi_host_spi_host_clgen_n_1;
-   wire soc_top_u_spi_host_spi_host_clgen_n_3;
-   wire soc_top_u_spi_host_spi_host_clgen_n_4;
-   wire soc_top_u_spi_host_spi_host_clgen_n_5;
-   wire soc_top_u_spi_host_spi_host_clgen_n_6;
-   wire soc_top_u_spi_host_spi_host_clgen_n_7;
-   wire soc_top_u_spi_host_spi_host_clgen_n_8;
-   wire soc_top_u_spi_host_spi_host_clgen_n_9;
-   wire soc_top_u_spi_host_spi_host_clgen_n_10;
-   wire soc_top_u_spi_host_spi_host_clgen_n_11;
-   wire soc_top_u_spi_host_spi_host_clgen_n_12;
-   wire soc_top_u_spi_host_spi_host_clgen_n_13;
-   wire soc_top_u_spi_host_spi_host_clgen_n_14;
-   wire soc_top_u_spi_host_spi_host_clgen_n_15;
-   wire soc_top_u_spi_host_spi_host_clgen_n_16;
-   wire soc_top_u_spi_host_spi_host_clgen_n_17;
-   wire soc_top_u_spi_host_spi_host_clgen_n_18;
-   wire soc_top_u_spi_host_spi_host_clgen_n_19;
-   wire soc_top_u_spi_host_spi_host_clgen_n_20;
-   wire soc_top_u_spi_host_spi_host_clgen_n_21;
-   wire soc_top_u_spi_host_spi_host_clgen_n_22;
-   wire soc_top_u_spi_host_spi_host_clgen_n_23;
-   wire soc_top_u_spi_host_spi_host_clgen_n_24;
-   wire soc_top_u_spi_host_spi_host_clgen_n_25;
-   wire soc_top_u_spi_host_spi_host_clgen_n_26;
-   wire soc_top_u_spi_host_spi_host_clgen_n_27;
-   wire soc_top_u_spi_host_spi_host_clgen_n_28;
-   wire soc_top_u_spi_host_spi_host_clgen_n_29;
-   wire soc_top_u_spi_host_spi_host_clgen_n_30;
-   wire soc_top_u_spi_host_spi_host_clgen_n_31;
-   wire soc_top_u_spi_host_spi_host_clgen_n_32;
-   wire soc_top_u_spi_host_spi_host_clgen_n_33;
-   wire soc_top_u_spi_host_spi_host_clgen_n_34;
-   wire soc_top_u_spi_host_spi_host_clgen_n_35;
-   wire soc_top_u_spi_host_spi_host_clgen_n_36;
-   wire soc_top_u_spi_host_spi_host_clgen_n_37;
-   wire soc_top_u_spi_host_spi_host_clgen_n_38;
-   wire soc_top_u_spi_host_spi_host_clgen_n_39;
-   wire soc_top_u_spi_host_spi_host_clgen_n_40;
-   wire soc_top_u_spi_host_spi_host_clgen_n_41;
-   wire soc_top_u_spi_host_spi_host_clgen_n_42;
-   wire soc_top_u_spi_host_spi_host_clgen_n_43;
-   wire soc_top_u_spi_host_spi_host_clgen_n_44;
-   wire soc_top_u_spi_host_spi_host_clgen_n_45;
-   wire soc_top_u_spi_host_spi_host_clgen_n_46;
-   wire soc_top_u_spi_host_spi_host_clgen_n_47;
-   wire soc_top_u_spi_host_spi_host_clgen_n_48;
-   wire soc_top_u_spi_host_spi_host_clgen_n_49;
-   wire soc_top_u_spi_host_spi_host_clgen_n_50;
-   wire soc_top_u_spi_host_spi_host_clgen_n_51;
-   wire soc_top_u_spi_host_spi_host_clgen_n_52;
-   wire soc_top_u_spi_host_spi_host_clgen_n_53;
-   wire soc_top_u_spi_host_spi_host_clgen_n_54;
-   wire soc_top_u_spi_host_spi_host_clgen_n_55;
-   wire soc_top_u_spi_host_spi_host_clgen_n_56;
-   wire soc_top_u_spi_host_spi_host_clgen_n_57;
-   wire soc_top_u_spi_host_spi_host_clgen_n_58;
-   wire soc_top_u_spi_host_spi_host_clgen_n_59;
-   wire soc_top_u_spi_host_spi_host_clgen_n_60;
-   wire soc_top_u_spi_host_spi_host_clgen_n_61;
-   wire soc_top_u_spi_host_spi_host_clgen_n_62;
-   wire soc_top_u_spi_host_spi_host_clgen_n_63;
-   wire soc_top_u_spi_host_spi_host_clgen_n_64;
-   wire soc_top_u_spi_host_spi_host_clgen_n_65;
-   wire soc_top_u_spi_host_spi_host_clgen_n_66;
-   wire soc_top_u_spi_host_spi_host_clgen_n_67;
-   wire soc_top_u_spi_host_spi_host_last_bit;
-   wire soc_top_u_spi_host_spi_host_n_186;
-   wire soc_top_u_spi_host_spi_host_neg_edge;
-   wire soc_top_u_spi_host_spi_host_pos_edge;
-   wire soc_top_u_spi_host_spi_host_shift_n_0;
-   wire soc_top_u_spi_host_spi_host_shift_n_1;
-   wire soc_top_u_spi_host_spi_host_shift_n_3;
-   wire soc_top_u_spi_host_spi_host_shift_n_5;
-   wire soc_top_u_spi_host_spi_host_shift_n_6;
-   wire soc_top_u_spi_host_spi_host_shift_n_8;
-   wire soc_top_u_spi_host_spi_host_shift_n_9;
-   wire soc_top_u_spi_host_spi_host_shift_n_10;
-   wire soc_top_u_spi_host_spi_host_shift_n_11;
-   wire soc_top_u_spi_host_spi_host_shift_n_12;
-   wire soc_top_u_spi_host_spi_host_shift_n_13;
-   wire soc_top_u_spi_host_spi_host_shift_n_14;
-   wire soc_top_u_spi_host_spi_host_shift_n_15;
-   wire soc_top_u_spi_host_spi_host_shift_n_16;
-   wire soc_top_u_spi_host_spi_host_shift_n_17;
-   wire soc_top_u_spi_host_spi_host_shift_n_18;
-   wire soc_top_u_spi_host_spi_host_shift_n_19;
-   wire soc_top_u_spi_host_spi_host_shift_n_20;
-   wire soc_top_u_spi_host_spi_host_shift_n_21;
-   wire soc_top_u_spi_host_spi_host_shift_n_22;
-   wire soc_top_u_spi_host_spi_host_shift_n_23;
-   wire soc_top_u_spi_host_spi_host_shift_n_24;
-   wire soc_top_u_spi_host_spi_host_shift_n_25;
-   wire soc_top_u_spi_host_spi_host_shift_n_26;
-   wire soc_top_u_spi_host_spi_host_shift_n_27;
-   wire soc_top_u_spi_host_spi_host_shift_n_28;
-   wire soc_top_u_spi_host_spi_host_shift_n_29;
-   wire soc_top_u_spi_host_spi_host_shift_n_30;
-   wire soc_top_u_spi_host_spi_host_shift_n_31;
-   wire soc_top_u_spi_host_spi_host_shift_n_32;
-   wire soc_top_u_spi_host_spi_host_shift_n_33;
-   wire soc_top_u_spi_host_spi_host_shift_n_34;
-   wire soc_top_u_spi_host_spi_host_shift_n_35;
-   wire soc_top_u_spi_host_spi_host_shift_n_36;
-   wire soc_top_u_spi_host_spi_host_shift_n_37;
-   wire soc_top_u_spi_host_spi_host_shift_n_38;
-   wire soc_top_u_spi_host_spi_host_shift_n_39;
-   wire soc_top_u_spi_host_spi_host_shift_n_40;
-   wire soc_top_u_spi_host_spi_host_shift_n_41;
-   wire soc_top_u_spi_host_spi_host_shift_n_42;
-   wire soc_top_u_spi_host_spi_host_shift_n_43;
-   wire soc_top_u_spi_host_spi_host_shift_n_44;
-   wire soc_top_u_spi_host_spi_host_shift_n_45;
-   wire soc_top_u_spi_host_spi_host_shift_n_46;
-   wire soc_top_u_spi_host_spi_host_shift_n_47;
-   wire soc_top_u_spi_host_spi_host_shift_n_48;
-   wire soc_top_u_spi_host_spi_host_shift_n_49;
-   wire soc_top_u_spi_host_spi_host_shift_n_50;
-   wire soc_top_u_spi_host_spi_host_shift_n_51;
-   wire soc_top_u_spi_host_spi_host_shift_n_52;
-   wire soc_top_u_spi_host_spi_host_shift_n_53;
-   wire soc_top_u_spi_host_spi_host_shift_n_54;
-   wire soc_top_u_spi_host_spi_host_shift_n_55;
-   wire soc_top_u_spi_host_spi_host_shift_n_56;
-   wire soc_top_u_spi_host_spi_host_shift_n_57;
-   wire soc_top_u_spi_host_spi_host_shift_n_58;
-   wire soc_top_u_spi_host_spi_host_shift_n_59;
-   wire soc_top_u_spi_host_spi_host_shift_n_60;
-   wire soc_top_u_spi_host_spi_host_shift_n_61;
-   wire soc_top_u_spi_host_spi_host_shift_n_62;
-   wire soc_top_u_spi_host_spi_host_shift_n_63;
-   wire soc_top_u_spi_host_spi_host_shift_n_64;
-   wire soc_top_u_spi_host_spi_host_shift_n_65;
-   wire soc_top_u_spi_host_spi_host_shift_n_66;
-   wire soc_top_u_spi_host_spi_host_shift_n_67;
-   wire soc_top_u_spi_host_spi_host_shift_n_68;
-   wire soc_top_u_spi_host_spi_host_shift_n_69;
-   wire soc_top_u_spi_host_spi_host_shift_n_70;
-   wire soc_top_u_spi_host_spi_host_shift_n_72;
-   wire soc_top_u_spi_host_spi_host_shift_n_73;
-   wire soc_top_u_spi_host_spi_host_shift_n_74;
-   wire soc_top_u_spi_host_spi_host_shift_n_75;
-   wire soc_top_u_spi_host_spi_host_shift_n_76;
-   wire soc_top_u_spi_host_spi_host_shift_n_77;
-   wire soc_top_u_spi_host_spi_host_shift_n_78;
-   wire soc_top_u_spi_host_spi_host_shift_n_79;
-   wire soc_top_u_spi_host_spi_host_shift_n_80;
-   wire soc_top_u_spi_host_spi_host_shift_n_81;
-   wire soc_top_u_spi_host_spi_host_shift_n_82;
-   wire soc_top_u_spi_host_spi_host_shift_n_83;
-   wire soc_top_u_spi_host_spi_host_shift_n_84;
-   wire soc_top_u_spi_host_spi_host_shift_n_85;
-   wire soc_top_u_spi_host_spi_host_shift_n_86;
-   wire soc_top_u_spi_host_spi_host_shift_n_87;
-   wire soc_top_u_spi_host_spi_host_shift_n_88;
-   wire soc_top_u_spi_host_spi_host_shift_n_89;
-   wire soc_top_u_spi_host_spi_host_shift_n_90;
-   wire soc_top_u_spi_host_spi_host_shift_n_91;
-   wire soc_top_u_spi_host_spi_host_shift_n_92;
-   wire soc_top_u_spi_host_spi_host_shift_n_93;
-   wire soc_top_u_spi_host_spi_host_shift_n_94;
-   wire soc_top_u_spi_host_spi_host_shift_n_95;
-   wire soc_top_u_spi_host_spi_host_shift_n_96;
-   wire soc_top_u_spi_host_spi_host_shift_n_97;
-   wire soc_top_u_spi_host_spi_host_shift_n_98;
-   wire soc_top_u_spi_host_spi_host_shift_n_99;
-   wire soc_top_u_spi_host_spi_host_shift_n_100;
-   wire soc_top_u_spi_host_spi_host_shift_n_101;
-   wire soc_top_u_spi_host_spi_host_shift_n_102;
-   wire soc_top_u_spi_host_spi_host_shift_n_103;
-   wire soc_top_u_spi_host_spi_host_shift_n_104;
-   wire soc_top_u_spi_host_spi_host_shift_n_105;
-   wire soc_top_u_spi_host_spi_host_shift_n_106;
-   wire soc_top_u_spi_host_spi_host_shift_n_108;
-   wire soc_top_u_spi_host_spi_host_shift_n_109;
-   wire soc_top_u_spi_host_spi_host_shift_n_110;
-   wire soc_top_u_spi_host_spi_host_shift_n_111;
-   wire soc_top_u_spi_host_spi_host_shift_n_112;
-   wire soc_top_u_spi_host_spi_host_shift_n_113;
-   wire soc_top_u_spi_host_spi_host_shift_n_114;
-   wire soc_top_u_spi_host_spi_host_shift_n_115;
-   wire soc_top_u_spi_host_spi_host_shift_n_116;
-   wire soc_top_u_spi_host_spi_host_shift_n_117;
-   wire soc_top_u_spi_host_spi_host_shift_n_118;
-   wire soc_top_u_spi_host_spi_host_shift_n_119;
-   wire soc_top_u_spi_host_spi_host_shift_n_120;
-   wire soc_top_u_spi_host_spi_host_shift_n_121;
-   wire soc_top_u_spi_host_spi_host_shift_n_122;
-   wire soc_top_u_spi_host_spi_host_shift_n_123;
-   wire soc_top_u_spi_host_spi_host_shift_n_124;
-   wire soc_top_u_spi_host_spi_host_shift_n_125;
-   wire soc_top_u_spi_host_spi_host_shift_n_126;
-   wire soc_top_u_spi_host_spi_host_shift_n_127;
-   wire soc_top_u_spi_host_spi_host_shift_n_128;
-   wire soc_top_u_spi_host_spi_host_shift_n_129;
-   wire soc_top_u_spi_host_spi_host_shift_n_130;
-   wire soc_top_u_spi_host_spi_host_shift_n_131;
-   wire soc_top_u_spi_host_spi_host_shift_n_132;
-   wire soc_top_u_spi_host_spi_host_shift_n_133;
-   wire soc_top_u_spi_host_spi_host_shift_n_134;
-   wire soc_top_u_spi_host_spi_host_shift_n_135;
-   wire soc_top_u_spi_host_spi_host_shift_n_136;
-   wire soc_top_u_spi_host_spi_host_shift_n_137;
-   wire soc_top_u_spi_host_spi_host_shift_n_138;
-   wire soc_top_u_spi_host_spi_host_shift_n_139;
-   wire soc_top_u_spi_host_spi_host_shift_n_140;
-   wire soc_top_u_spi_host_spi_host_shift_n_141;
-   wire soc_top_u_spi_host_spi_host_shift_n_142;
-   wire soc_top_u_spi_host_spi_host_shift_n_143;
-   wire soc_top_u_spi_host_spi_host_shift_n_144;
-   wire soc_top_u_spi_host_spi_host_shift_n_145;
-   wire soc_top_u_spi_host_spi_host_shift_n_146;
-   wire soc_top_u_spi_host_spi_host_shift_n_147;
-   wire soc_top_u_spi_host_spi_host_shift_n_148;
-   wire soc_top_u_spi_host_spi_host_shift_n_149;
-   wire soc_top_u_spi_host_spi_host_shift_n_150;
-   wire soc_top_u_spi_host_spi_host_shift_n_151;
-   wire soc_top_u_spi_host_spi_host_shift_n_152;
-   wire soc_top_u_spi_host_spi_host_shift_n_153;
-   wire soc_top_u_spi_host_spi_host_shift_n_154;
-   wire soc_top_u_spi_host_spi_host_shift_n_155;
-   wire soc_top_u_spi_host_spi_host_shift_n_156;
-   wire soc_top_u_spi_host_spi_host_shift_n_157;
-   wire soc_top_u_spi_host_spi_host_shift_n_158;
-   wire soc_top_u_spi_host_spi_host_shift_n_159;
-   wire soc_top_u_spi_host_spi_host_shift_n_160;
-   wire soc_top_u_spi_host_spi_host_shift_n_161;
-   wire soc_top_u_spi_host_spi_host_shift_n_162;
-   wire soc_top_u_spi_host_spi_host_shift_n_163;
-   wire soc_top_u_spi_host_spi_host_shift_n_164;
-   wire soc_top_u_spi_host_spi_host_shift_n_165;
-   wire soc_top_u_spi_host_spi_host_shift_n_166;
-   wire soc_top_u_spi_host_spi_host_shift_n_167;
-   wire soc_top_u_spi_host_spi_host_shift_n_168;
-   wire soc_top_u_spi_host_spi_host_shift_n_169;
-   wire soc_top_u_spi_host_spi_host_shift_n_170;
-   wire soc_top_u_spi_host_spi_host_shift_n_171;
-   wire soc_top_u_spi_host_spi_host_shift_n_172;
-   wire soc_top_u_spi_host_spi_host_shift_n_173;
-   wire soc_top_u_spi_host_spi_host_shift_n_174;
-   wire soc_top_u_spi_host_spi_host_shift_n_175;
-   wire soc_top_u_spi_host_spi_host_shift_n_176;
-   wire soc_top_u_spi_host_spi_host_shift_n_177;
-   wire soc_top_u_spi_host_spi_host_shift_n_178;
-   wire soc_top_u_spi_host_spi_host_shift_n_179;
-   wire soc_top_u_spi_host_spi_host_shift_n_180;
-   wire soc_top_u_spi_host_spi_host_shift_n_181;
-   wire soc_top_u_spi_host_spi_host_shift_n_182;
-   wire soc_top_u_spi_host_spi_host_shift_n_183;
-   wire soc_top_u_spi_host_spi_host_shift_n_184;
-   wire soc_top_u_spi_host_spi_host_shift_n_185;
-   wire soc_top_u_spi_host_spi_host_shift_n_186;
-   wire soc_top_u_spi_host_spi_host_shift_n_187;
-   wire soc_top_u_spi_host_spi_host_shift_n_188;
-   wire soc_top_u_spi_host_spi_host_shift_n_189;
-   wire soc_top_u_spi_host_spi_host_shift_n_190;
-   wire soc_top_u_spi_host_spi_host_shift_n_191;
-   wire soc_top_u_spi_host_spi_host_shift_n_192;
-   wire soc_top_u_spi_host_spi_host_shift_n_193;
-   wire soc_top_u_spi_host_spi_host_shift_n_194;
-   wire soc_top_u_spi_host_spi_host_shift_n_195;
-   wire soc_top_u_spi_host_spi_host_shift_n_196;
-   wire soc_top_u_spi_host_spi_host_shift_n_197;
-   wire soc_top_u_spi_host_spi_host_shift_n_198;
-   wire soc_top_u_spi_host_spi_host_shift_n_199;
-   wire soc_top_u_spi_host_spi_host_shift_n_200;
-   wire soc_top_u_spi_host_spi_host_shift_n_201;
-   wire soc_top_u_spi_host_spi_host_shift_n_202;
-   wire soc_top_u_spi_host_spi_host_shift_n_203;
-   wire soc_top_u_spi_host_spi_host_shift_n_204;
-   wire soc_top_u_spi_host_spi_host_shift_n_205;
-   wire soc_top_u_spi_host_spi_host_shift_n_206;
-   wire soc_top_u_spi_host_spi_host_shift_n_207;
-   wire soc_top_u_spi_host_spi_host_shift_n_208;
-   wire soc_top_u_spi_host_spi_host_shift_n_209;
-   wire soc_top_u_spi_host_spi_host_shift_n_210;
-   wire soc_top_u_spi_host_spi_host_shift_n_211;
-   wire soc_top_u_spi_host_spi_host_shift_n_212;
-   wire soc_top_u_spi_host_spi_host_shift_n_213;
-   wire soc_top_u_spi_host_spi_host_shift_n_214;
-   wire soc_top_u_spi_host_spi_host_shift_n_215;
-   wire soc_top_u_spi_host_spi_host_shift_n_216;
-   wire soc_top_u_spi_host_spi_host_shift_n_217;
-   wire soc_top_u_spi_host_spi_host_shift_n_218;
-   wire soc_top_u_spi_host_spi_host_shift_n_219;
-   wire soc_top_u_spi_host_spi_host_shift_n_220;
-   wire soc_top_u_spi_host_spi_host_shift_n_221;
-   wire soc_top_u_spi_host_spi_host_shift_n_222;
-   wire soc_top_u_spi_host_spi_host_shift_n_223;
-   wire soc_top_u_spi_host_spi_host_shift_n_224;
-   wire soc_top_u_spi_host_spi_host_shift_n_225;
-   wire soc_top_u_spi_host_spi_host_shift_n_226;
-   wire soc_top_u_spi_host_spi_host_shift_n_227;
-   wire soc_top_u_spi_host_spi_host_shift_n_228;
-   wire soc_top_u_spi_host_spi_host_shift_n_229;
-   wire soc_top_u_spi_host_spi_host_shift_n_230;
-   wire soc_top_u_spi_host_spi_host_shift_n_231;
-   wire soc_top_u_spi_host_spi_host_shift_n_232;
-   wire soc_top_u_spi_host_spi_host_shift_n_233;
-   wire soc_top_u_spi_host_spi_host_shift_n_234;
-   wire soc_top_u_spi_host_spi_host_shift_n_235;
-   wire soc_top_u_spi_host_spi_host_shift_n_236;
-   wire soc_top_u_spi_host_spi_host_shift_n_237;
-   wire soc_top_u_spi_host_spi_host_shift_n_238;
-   wire soc_top_u_spi_host_spi_host_shift_n_239;
-   wire soc_top_u_spi_host_spi_host_shift_n_240;
-   wire soc_top_u_spi_host_spi_host_shift_n_241;
-   wire soc_top_u_spi_host_spi_host_shift_n_242;
-   wire soc_top_u_spi_host_spi_host_shift_n_243;
-   wire soc_top_u_spi_host_spi_host_shift_n_244;
-   wire soc_top_u_spi_host_spi_host_shift_n_245;
-   wire soc_top_u_spi_host_spi_host_shift_n_246;
-   wire soc_top_u_spi_host_spi_host_shift_n_247;
-   wire soc_top_u_spi_host_spi_host_shift_n_248;
-   wire soc_top_u_spi_host_spi_host_shift_n_249;
-   wire soc_top_u_spi_host_spi_host_shift_n_250;
-   wire soc_top_u_spi_host_spi_host_shift_n_251;
-   wire soc_top_u_spi_host_spi_host_shift_n_252;
-   wire soc_top_u_spi_host_spi_host_shift_n_253;
-   wire soc_top_u_spi_host_spi_host_shift_n_254;
-   wire soc_top_u_spi_host_spi_host_shift_n_255;
-   wire soc_top_u_spi_host_spi_host_shift_n_256;
-   wire soc_top_u_spi_host_spi_host_shift_n_257;
-   wire soc_top_u_spi_host_spi_host_shift_n_258;
-   wire soc_top_u_spi_host_spi_host_shift_n_259;
-   wire soc_top_u_spi_host_spi_host_shift_n_260;
-   wire soc_top_u_spi_host_spi_host_shift_n_261;
-   wire soc_top_u_spi_host_spi_host_shift_n_262;
-   wire soc_top_u_spi_host_spi_host_shift_n_263;
-   wire soc_top_u_spi_host_spi_host_shift_n_264;
-   wire soc_top_u_spi_host_spi_host_shift_n_265;
-   wire soc_top_u_spi_host_spi_host_shift_n_266;
-   wire soc_top_u_spi_host_spi_host_shift_n_267;
-   wire soc_top_u_spi_host_spi_host_shift_n_268;
-   wire soc_top_u_spi_host_spi_host_shift_n_269;
-   wire soc_top_u_spi_host_spi_host_shift_n_270;
-   wire soc_top_u_spi_host_spi_host_shift_n_271;
-   wire soc_top_u_spi_host_spi_host_shift_n_272;
-   wire soc_top_u_spi_host_spi_host_shift_n_273;
-   wire soc_top_u_spi_host_spi_host_shift_n_274;
-   wire soc_top_u_spi_host_spi_host_shift_n_275;
-   wire soc_top_u_spi_host_spi_host_shift_n_276;
-   wire soc_top_u_spi_host_spi_host_shift_n_277;
-   wire soc_top_u_spi_host_spi_host_shift_n_278;
-   wire soc_top_u_spi_host_spi_host_shift_n_279;
-   wire soc_top_u_spi_host_spi_host_shift_n_280;
-   wire soc_top_u_spi_host_spi_host_shift_n_281;
-   wire soc_top_u_spi_host_spi_host_shift_n_282;
-   wire soc_top_u_spi_host_spi_host_shift_n_283;
-   wire soc_top_u_spi_host_spi_host_shift_n_284;
-   wire soc_top_u_spi_host_spi_host_shift_n_285;
-   wire soc_top_u_spi_host_spi_host_shift_n_286;
-   wire soc_top_u_spi_host_spi_host_shift_n_287;
-   wire soc_top_u_spi_host_spi_host_shift_n_288;
-   wire soc_top_u_spi_host_spi_host_shift_n_289;
-   wire soc_top_u_spi_host_spi_host_shift_n_290;
-   wire soc_top_u_spi_host_spi_host_shift_n_291;
-   wire soc_top_u_spi_host_spi_host_shift_n_292;
-   wire soc_top_u_spi_host_spi_host_shift_n_293;
-   wire soc_top_u_spi_host_spi_host_shift_n_294;
-   wire soc_top_u_spi_host_spi_host_shift_n_295;
-   wire soc_top_u_spi_host_spi_host_shift_n_296;
-   wire soc_top_u_spi_host_spi_host_shift_n_297;
-   wire soc_top_u_spi_host_spi_host_shift_n_298;
-   wire soc_top_u_spi_host_spi_host_shift_n_299;
-   wire soc_top_u_spi_host_spi_host_shift_n_300;
-   wire soc_top_u_spi_host_spi_host_shift_n_301;
-   wire soc_top_u_spi_host_spi_host_shift_n_302;
-   wire soc_top_u_spi_host_spi_host_shift_n_303;
-   wire soc_top_u_spi_host_spi_host_shift_n_304;
-   wire soc_top_u_spi_host_spi_host_shift_n_305;
-   wire soc_top_u_spi_host_spi_host_shift_n_306;
-   wire soc_top_u_spi_host_spi_host_shift_n_307;
-   wire soc_top_u_spi_host_spi_host_shift_n_308;
-   wire soc_top_u_spi_host_spi_host_shift_n_309;
-   wire soc_top_u_spi_host_spi_host_shift_n_310;
-   wire soc_top_u_spi_host_spi_host_shift_n_311;
-   wire soc_top_u_spi_host_spi_host_shift_n_312;
-   wire soc_top_u_spi_host_spi_host_shift_n_313;
-   wire soc_top_u_spi_host_spi_host_shift_n_314;
-   wire soc_top_u_spi_host_spi_host_shift_n_315;
-   wire soc_top_u_spi_host_spi_host_shift_n_316;
-   wire soc_top_u_spi_host_spi_host_shift_n_317;
-   wire soc_top_u_spi_host_spi_host_shift_n_318;
-   wire soc_top_u_spi_host_spi_host_shift_n_319;
-   wire soc_top_u_spi_host_spi_host_shift_n_320;
-   wire soc_top_u_spi_host_spi_host_shift_n_321;
-   wire soc_top_u_spi_host_spi_host_shift_n_322;
-   wire soc_top_u_spi_host_spi_host_shift_n_323;
-   wire soc_top_u_spi_host_spi_host_shift_n_324;
-   wire soc_top_u_spi_host_spi_host_shift_n_325;
-   wire soc_top_u_spi_host_spi_host_shift_n_326;
-   wire soc_top_u_spi_host_spi_host_shift_n_327;
-   wire soc_top_u_spi_host_spi_host_shift_n_328;
-   wire soc_top_u_spi_host_spi_host_shift_n_329;
-   wire soc_top_u_spi_host_spi_host_shift_n_330;
-   wire soc_top_u_spi_host_spi_host_shift_n_333;
-   wire soc_top_u_spi_host_spi_host_shift_n_334;
-   wire soc_top_u_spi_host_spi_host_shift_n_335;
-   wire soc_top_u_spi_host_spi_host_shift_n_336;
-   wire soc_top_u_spi_host_spi_host_shift_n_337;
-   wire soc_top_u_spi_host_spi_host_shift_n_391;
-   wire soc_top_u_spi_host_spi_host_tip;
-   wire soc_top_u_top_u_core_core_clock_gate_i_logic_1_1_net;
-   wire soc_top_u_top_u_core_cs_registers_i_csr_we_int;
-   wire \soc_top_u_top_u_core_cs_registers_i_dcsr_d[ebreakm] ;
-   wire \soc_top_u_top_u_core_cs_registers_i_dcsr_d[ebreaks] ;
-   wire \soc_top_u_top_u_core_cs_registers_i_dcsr_d[ebreaku] ;
-   wire \soc_top_u_top_u_core_cs_registers_i_dcsr_d[step] ;
-   wire \soc_top_u_top_u_core_cs_registers_i_dcsr_d[stepie] ;
-   wire \soc_top_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ;
-   wire \soc_top_u_top_u_core_cs_registers_i_dcsr_q[stepie] ;
-   wire \soc_top_u_top_u_core_cs_registers_i_fflags_q[DZ] ;
-   wire \soc_top_u_top_u_core_cs_registers_i_fflags_q[NV] ;
-   wire \soc_top_u_top_u_core_cs_registers_i_fflags_q[NX] ;
-   wire \soc_top_u_top_u_core_cs_registers_i_fflags_q[OF] ;
-   wire \soc_top_u_top_u_core_cs_registers_i_fflags_q[UF] ;
-   wire \soc_top_u_top_u_core_cs_registers_i_mstatus_d[mprv] ;
-   wire \soc_top_u_top_u_core_cs_registers_i_mstatus_d[tw] ;
-   wire soc_top_u_top_u_core_cs_registers_i_mtvec_en;
-   wire soc_top_u_top_u_core_cs_registers_i_n_5927;
-   wire soc_top_u_top_u_core_cs_registers_i_n_5928;
-   wire soc_top_u_top_u_core_cs_registers_i_n_5929;
-   wire soc_top_u_top_u_core_cs_registers_i_n_5930;
-   wire soc_top_u_top_u_core_cs_registers_i_n_5931;
-   wire soc_top_u_top_u_core_cs_registers_i_n_5932;
-   wire soc_top_u_top_u_core_cs_registers_i_n_5933;
-   wire soc_top_u_top_u_core_cs_registers_i_n_5934;
-   wire soc_top_u_top_u_core_cs_registers_i_n_5935;
-   wire soc_top_u_top_u_core_cs_registers_i_n_5936;
-   wire soc_top_u_top_u_core_cs_registers_i_n_5937;
-   wire soc_top_u_top_u_core_cs_registers_i_n_5938;
-   wire soc_top_u_top_u_core_cs_registers_i_n_5939;
-   wire soc_top_u_top_u_core_cs_registers_i_n_5940;
-   wire soc_top_u_top_u_core_cs_registers_i_n_5941;
-   wire soc_top_u_top_u_core_cs_registers_i_n_5942;
-   wire soc_top_u_top_u_core_cs_registers_i_n_6470;
-   wire soc_top_u_top_u_core_cs_registers_i_n_6472;
-   wire soc_top_u_top_u_core_cs_registers_i_n_6477;
-   wire soc_top_u_top_u_core_cs_registers_i_n_6485;
-   wire soc_top_u_top_u_core_cs_registers_i_n_6487;
-   wire soc_top_u_top_u_core_csr_mstatus_mie;
-   wire soc_top_u_top_u_core_csr_mstatus_tw;
-   wire soc_top_u_top_u_core_csr_restore_mret_id;
-   wire soc_top_u_top_u_core_csr_save_cause;
-   wire soc_top_u_top_u_core_csr_save_id;
-   wire soc_top_u_top_u_core_csr_save_if;
-   wire soc_top_u_top_u_core_csr_save_wb;
-   wire soc_top_u_top_u_core_debug_csr_save;
-   wire soc_top_u_top_u_core_debug_ebreakm;
-   wire soc_top_u_top_u_core_debug_ebreaku;
-   wire soc_top_u_top_u_core_debug_mode;
-   wire soc_top_u_top_u_core_debug_single_step;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_0;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_1;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_2;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_5;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_6;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_7;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_8;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_9;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_10;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_11;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_12;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_13;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_14;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_15;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_16;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_17;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_18;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_19;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_20;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_21;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_22;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_23;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_24;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_25;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_26;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_27;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_28;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_29;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_30;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_31;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_32;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_33;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_34;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_35;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_36;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_37;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_38;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_39;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_40;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_41;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_42;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_43;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_44;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_45;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_46;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_47;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_48;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_49;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_50;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_51;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_52;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_53;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_54;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_55;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_56;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_57;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_58;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_59;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_60;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_61;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_62;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_63;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_64;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_65;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_66;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_67;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_68;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_69;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_70;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_71;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_72;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_73;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_74;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_75;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_76;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_77;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_78;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_79;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_80;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_81;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_82;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_83;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_84;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_85;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_86;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_87;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_88;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_89;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_90;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_91;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_92;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_93;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_94;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_95;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_97;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_99;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_100;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_102;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_103;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_105;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_106;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_108;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_109;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_111;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_112;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_114;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_115;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_117;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_118;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_120;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_121;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_123;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_124;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_126;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_127;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_129;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_130;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_132;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_133;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_135;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_136;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_138;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_139;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_141;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_142;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_144;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_145;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_147;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_148;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_150;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_151;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_153;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_154;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_156;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_157;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_159;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_160;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_162;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_163;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_165;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_166;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_168;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_170;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_172;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_173;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_175;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_177;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_178;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_180;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_182;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_183;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_185;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_187;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_189;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_191;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_193;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_194;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_196;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_197;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_198;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_199;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_n_803;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_n_879;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_5;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_7;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_8;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_10;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_11;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_12;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_13;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_14;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_15;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_16;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_17;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_18;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_19;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_20;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_21;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_22;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_23;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_24;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_25;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_26;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_27;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_28;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_29;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_30;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_31;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_32;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_33;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_34;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_35;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_37;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_38;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_39;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_40;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_41;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_42;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_43;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_44;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_45;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_46;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_47;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_48;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_49;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_50;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_51;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_52;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_53;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_54;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_55;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_56;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_57;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_58;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_59;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_60;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_61;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_62;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_63;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_64;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_65;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_66;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_67;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_68;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_69;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_70;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_71;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_72;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_73;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_74;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_75;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_76;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_77;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_78;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_79;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_80;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_81;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_82;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_83;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_84;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_85;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_86;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_87;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_88;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_89;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_90;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_91;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_92;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_93;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_94;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_95;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_96;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_97;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_98;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_99;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_100;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_101;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_102;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_103;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_104;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_105;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_106;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_107;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_108;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_109;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_110;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_111;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_112;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_113;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_114;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_115;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_116;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_117;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_118;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_119;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_120;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_121;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_122;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_123;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_124;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_125;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_126;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_127;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_128;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_129;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_130;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_131;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_132;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_133;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_134;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_135;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_136;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_137;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_138;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_139;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_140;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_5;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_7;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_8;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_10;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_11;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_12;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_13;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_14;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_15;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_16;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_17;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_18;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_19;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_20;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_21;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_22;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_23;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_24;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_25;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_26;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_27;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_28;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_29;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_30;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_31;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_32;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_33;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_34;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_35;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_37;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_38;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_39;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_40;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_41;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_42;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_43;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_44;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_45;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_46;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_47;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_48;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_49;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_50;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_51;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_52;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_53;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_54;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_55;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_56;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_57;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_58;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_59;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_60;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_61;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_62;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_63;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_64;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_65;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_66;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_67;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_68;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_69;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_70;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_71;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_72;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_73;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_74;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_75;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_76;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_77;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_78;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_79;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_80;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_81;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_82;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_83;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_84;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_85;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_86;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_87;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_88;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_89;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_90;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_91;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_92;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_93;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_94;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_95;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_96;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_97;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_98;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_99;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_100;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_101;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_102;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_103;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_104;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_105;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_106;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_107;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_108;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_109;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_110;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_111;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_112;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_113;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_114;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_115;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_116;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_117;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_118;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_119;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_120;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_121;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_122;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_123;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_124;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_125;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_126;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_127;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_128;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_129;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_130;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_131;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_132;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_133;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_134;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_135;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_136;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_137;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_138;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_139;
-   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_140;
-   wire \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q ;
-   wire \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_n_1705 ;
-   wire soc_top_u_top_u_core_fp_alu_op_mod;
-   wire soc_top_u_top_u_core_fp_flush;
-   wire soc_top_u_top_u_core_fpu_busy_idu;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_final_sign ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][0] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][1] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][2] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][4] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][5] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][6] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][2] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][5] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_0 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_2 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_3 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_4 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_6 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_7 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_8 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_30 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_31 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_32 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_35 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_36 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_37 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_38 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_39 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_41 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_42 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_43 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_44 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_45 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_46 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_47 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_48 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_49 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_53 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_54 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_55 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_56 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_57 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_58 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_59 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_60 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_61 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_62 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_63 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_66 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_67 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_68 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_70 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_71 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_72 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_73 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_75 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_76 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_77 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_78 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_79 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_80 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_81 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_82 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_83 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_84 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_85 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_86 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_89 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_90 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_92 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_93 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_94 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_95 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_96 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_97 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_98 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_102 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_109 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_110 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_114 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_115 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_116 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_117 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_118 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_119 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_n_12 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_1 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_2 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_3 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_4 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_5 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_6 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_8 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_10 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_11 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_17 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_19 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_20 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_21 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_23 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_24 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_25 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_27 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_29 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_30 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_32 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_34 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_36 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_39 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_40 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_43 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_45 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_46 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_48 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_49 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_51 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_52 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_53 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_54 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_55 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_56 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_57 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_58 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_59 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_60 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_62 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_63 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_64 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_65 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_66 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_68 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_69 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_70 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_71 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_72 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_73 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_74 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_75 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_76 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_77 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_79 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_80 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_81 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_82 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_83 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_84 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_85 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_86 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_88 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_89 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_90 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_91 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_92 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_93 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_94 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_95 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_96 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_97 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_98 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_99 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_100 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_101 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_102 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_104 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_105 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_106 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_107 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_108 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_109 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_110 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_111 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_112 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_113 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_114 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_115 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_116 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_117 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_118 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_119 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_120 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_121 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_122 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_123 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_124 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_125 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_127 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_128 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_129 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_130 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_131 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_132 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_133 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_134 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_135 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_137 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_138 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_141 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_142 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_145 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_146 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_147 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_153 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_154 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_155 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_157 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_158 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_159 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_160 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_161 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_162 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[0][is_inf] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[0][is_nan] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[0][is_normal] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[0][is_signalling] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[0][is_subnormal] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[0][is_zero] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[1][is_inf] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[1][is_nan] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[1][is_normal] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[1][is_signalling] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[1][is_subnormal] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[1][is_zero] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[2][is_inf] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[2][is_nan] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[2][is_normal] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[2][is_signalling] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_inject_carry_in ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_lzc_zeroes ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_0 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_1 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_2 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_3 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_4 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_5 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_6 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_7 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_8 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_9 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_10 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_11 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_12 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_13 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_14 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_15 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_16 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_17 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_18 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_19 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_20 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_21 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_22 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_23 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_24 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_25 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_26 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_27 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_28 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_29 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_30 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_31 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_32 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_33 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_34 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_35 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_39 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_40 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_43 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_44 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_45 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_47 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_49 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_51 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_53 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_55 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_56 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_58 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_60 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_61 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_63 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_65 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_66 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_68 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_70 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_71 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_74 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_75 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_78 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_81 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_84 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_88 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_92 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_95 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_98 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_101 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_104 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_107 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_110 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_114 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_118 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_121 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_124 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_127 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_131 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_134 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_135 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_136 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_139 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_142 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_144 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_146 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_147 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_149 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_151 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_153 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_155 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_157 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_159 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_161 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_163 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_165 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_167 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_169 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_171 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_173 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_175 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_177 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_179 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_181 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_183 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_5 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_7 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_8 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_9 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_11 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_12 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_13 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_14 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_15 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_16 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_17 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_18 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_19 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_20 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_21 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_22 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_23 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_24 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_25 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_26 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_27 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_28 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_29 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_30 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_31 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_32 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_33 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_34 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_35 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_36 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_37 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_38 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_39 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_40 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_41 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_42 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_43 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_44 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_45 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_46 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_47 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_48 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_49 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_50 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_51 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_52 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_53 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_54 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_55 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_56 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_57 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_58 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_59 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_60 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_61 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_62 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_63 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_64 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_65 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_66 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_67 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_68 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_69 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_70 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_71 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_72 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_73 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_74 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_75 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_76 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_77 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_78 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_79 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_80 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_81 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_82 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_83 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_84 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_85 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_86 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_87 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_88 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_89 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_90 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_91 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_92 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_93 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_94 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_95 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_96 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_97 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_98 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_99 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_100 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_101 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_102 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_103 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_104 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_105 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_106 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_107 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_108 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_109 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_110 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_111 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_112 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_113 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_114 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_115 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_116 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_117 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_118 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_119 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_120 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_121 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_122 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_123 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_124 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_125 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_126 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_127 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_128 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_129 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_130 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_131 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_132 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_133 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_134 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_135 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_136 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_137 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_138 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_139 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_140 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_141 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_142 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_143 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_144 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_145 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_146 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_147 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_148 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_149 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_150 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_151 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_152 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_153 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_154 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_155 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_156 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_157 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_158 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_159 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_160 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_161 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_162 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_163 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_164 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_165 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_166 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_167 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_168 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_169 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_170 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_171 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_172 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_173 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_174 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_175 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_176 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_177 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_178 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_179 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_180 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_181 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_182 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_183 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_184 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_185 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_186 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_187 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_188 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_189 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_190 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_191 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_192 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_193 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_194 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_195 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_196 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_197 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_198 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_199 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_200 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_201 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_202 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_203 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_204 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_205 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_206 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_207 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_208 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_209 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_210 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_212 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_213 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_214 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_215 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_216 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_217 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_218 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_219 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_220 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_221 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_222 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_223 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_224 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_225 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_226 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_227 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_228 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_229 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_230 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_231 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_232 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_233 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_234 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_235 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_236 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_237 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_238 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_239 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_240 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_241 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_242 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_243 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_244 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_245 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_246 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_247 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_249 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_250 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_251 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_252 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_253 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_254 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_255 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_256 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_257 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_258 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_259 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_260 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_261 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_262 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_263 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_264 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_265 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_266 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_267 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_268 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_269 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_270 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_271 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_272 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_273 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_274 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_275 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_276 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_277 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_278 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_279 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_280 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_281 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_282 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_283 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_284 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_285 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_286 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_287 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_288 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_289 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_290 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_291 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_292 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_294 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_295 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_296 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_297 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_298 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_299 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_301 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_302 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_303 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_304 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_305 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_306 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_307 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_308 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_309 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_310 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_311 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_312 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_313 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_314 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_315 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_316 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_317 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_318 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_319 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_320 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_321 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_322 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_323 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_324 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_325 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_326 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_327 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_328 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_329 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_334 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_335 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_336 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_337 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_338 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_339 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_340 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_341 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_342 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_343 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_344 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_345 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_346 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_347 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_348 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_349 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_350 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_351 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_352 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_353 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_354 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_355 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_356 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_357 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_358 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_359 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_360 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_361 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_362 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_363 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_364 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_365 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_366 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_367 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_368 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_369 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_370 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_371 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_372 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_373 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_374 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_375 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_376 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_378 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_379 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_380 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_381 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_382 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_383 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_384 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_385 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_386 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_388 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_389 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_390 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_397 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_398 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_399 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_400 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_401 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_402 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_403 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_404 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_405 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_406 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_407 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_408 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_409 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_410 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_411 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_413 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_414 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_415 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_416 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_417 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_418 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_425 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_426 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_433 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_436 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_437 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_438 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[DZ] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[NV] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[NX] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[OF] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[UF] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Div_enable_S ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_a_S ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_b_S ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_NaN_a_S ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_NaN_b_S ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_SNaN_S ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sign_z_D ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Special_case_dly_SB ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_a_S ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_b_S ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_fpu_norm_U0_n_22 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_fpu_norm_U0_n_44 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Div_start_dly_S_8434 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Hb_a_D ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Hb_b_D ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_4 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_5 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_6 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_7 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_8 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_9 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_10 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_11 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_12 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_13 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_14 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_15 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_16 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_17 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_18 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_19 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_20 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_21 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_22 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_23 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_24 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_25 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_26 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_27 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_28 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_29 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_30 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_31 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_32 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_33 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_4 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_5 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_6 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_7 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_8 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_9 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_10 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_11 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_12 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_13 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_14 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_15 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_16 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_17 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_18 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_19 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_20 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_21 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_22 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_23 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_24 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_25 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_26 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_27 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_28 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_29 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_30 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_31 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_32 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_33 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_1 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_3 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_4 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_6 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_7 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_8 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_9 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_10 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_11 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_12 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_13 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_14 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_15 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_16 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_17 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_18 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_19 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_20 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_21 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_22 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_23 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_24 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_25 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_26 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_27 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_28 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_29 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_30 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_31 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_32 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_33 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_34 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_35 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_36 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_37 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_38 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_39 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_40 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_41 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_42 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_43 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_44 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_45 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_46 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_47 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_48 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_49 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_50 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_51 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_52 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_53 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_54 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_55 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_56 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_57 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_58 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_59 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_60 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_61 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_62 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_63 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_65 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_66 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_67 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_68 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_69 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_70 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_71 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_72 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_73 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_74 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_76 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_77 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_78 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_79 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_80 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_81 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_82 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_83 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_84 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_85 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_86 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_87 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_88 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_89 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_92 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_93 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_94 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_95 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_96 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_97 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_98 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_103 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_1 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_6 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_7 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_8 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_9 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_10 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_11 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_12 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_13 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_14 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_15 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_16 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_17 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_18 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_19 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_20 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_21 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_22 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_23 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_24 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_25 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_26 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_27 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_28 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_29 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_30 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_31 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_32 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_33 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_34 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_35 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_36 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_37 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_38 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_39 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_40 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_41 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_42 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_43 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_44 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_45 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_46 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_47 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_48 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_49 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_50 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_51 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_52 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_53 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_54 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_55 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_56 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_57 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_58 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_59 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_60 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_61 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_62 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_63 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_65 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_66 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_67 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_68 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_69 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_70 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_71 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_72 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_73 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_74 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_76 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_77 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_78 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_79 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_80 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_81 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_82 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_83 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_84 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_85 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_86 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_87 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_88 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_89 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_92 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_93 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_94 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_95 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_96 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_97 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_98 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_103 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_done ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_ready ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_2 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_3 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_4 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_5 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_6 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_7 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_8 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_9 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_10 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_11 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_12 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_13 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_14 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_15 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_16 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_17 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_18 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_19 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_20 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_21 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_22 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_23 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_24 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_25 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_26 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_28 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_29 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_34 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_36 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_38 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_inf] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_nan] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_normal] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_signalling] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_subnormal] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_zero] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[1][is_nan] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[1][is_signalling] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[1][is_zero] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_0 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_1 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_2 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_3 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_4 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_5 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_6 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_7 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_9 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_11 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_13 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_15 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_17 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_18 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_19 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_20 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_21 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_22 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_23 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_24 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_25 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_26 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_27 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_28 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_29 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_30 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_31 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_32 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_33 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_34 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_35 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_36 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_37 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_38 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_39 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_40 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_41 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_42 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_43 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_44 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_45 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_46 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_47 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_48 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_49 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_50 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_51 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_52 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_53 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_54 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_55 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_56 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_57 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_58 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_59 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_60 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_61 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_1 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_2 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_3 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_4 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_5 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_6 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_7 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_8 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_9 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_10 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_11 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_12 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_13 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_15 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_0 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_1 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_2 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_3 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_4 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_5 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_6 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_7 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_8 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_9 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_10 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_11 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_12 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_13 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_14 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_15 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_16 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_17 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_18 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_19 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_20 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_21 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_22 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_23 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_24 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_25 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_26 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_27 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_28 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_29 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_30 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_31 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_32 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_33 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_34 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_37 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_38 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_39 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_40 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_41 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_42 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_43 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_44 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_45 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_46 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_47 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_48 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_52 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_info[0][is_inf]_2237 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_info[0][is_nan]_2238 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_info[0][is_normal] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_info[0][is_subnormal] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mant_is_zero ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mid_pipe_info_q[0][is_inf] ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_0 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_1 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_3 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_5 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_6 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_8 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_10 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_11 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_13 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_15 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_17 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_19 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_21 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_23 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_25 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_27 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_29 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_31 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_33 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_35 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_37 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_39 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_41 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_43 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_45 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_47 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_49 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_51 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_53 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_55 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_57 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_59 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_61 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_5 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_6 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_7 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_8 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_9 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_10 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_11 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_12 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_13 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_14 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_15 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_16 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_17 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_18 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_19 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_20 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_21 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_22 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_23 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_24 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_25 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_26 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_27 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_28 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_29 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_30 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_31 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_32 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_33 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_34 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_35 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_36 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_37 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_38 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_39 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_40 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_41 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_42 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_43 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_44 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_45 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_46 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_47 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_48 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_49 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_50 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_51 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_52 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_53 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_54 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_55 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_56 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_57 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_58 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_59 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_60 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_61 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_62 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_63 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_64 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_65 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_66 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_67 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_68 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_69 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_70 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_71 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_72 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_73 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_74 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_75 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_76 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_77 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_78 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_79 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_80 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_81 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_82 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_83 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_85 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_86 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_87 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_88 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_89 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_90 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_91 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_92 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_93 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_94 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_95 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_96 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_97 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_98 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_99 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_100 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_102 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_103 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_104 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_105 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_106 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_107 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_108 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_109 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_110 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_111 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_112 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_113 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_114 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_115 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_116 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_117 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_118 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_121 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_122 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_123 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_124 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_125 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_126 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_127 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_128 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_129 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_130 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_131 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_136 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_137 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_138 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_139 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_140 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_7 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_8 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_9 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_10 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_11 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_12 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_13 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_14 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_15 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_16 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_17 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_18 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_19 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_20 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_21 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_22 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_23 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_24 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_25 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_26 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_27 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_28 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_29 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_30 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_31 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_32 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_33 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_34 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_35 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_36 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_37 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_38 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_39 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_40 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_41 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_42 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_43 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_44 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_45 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_46 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_47 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_48 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_49 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_50 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_51 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_52 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_53 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_54 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_55 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_56 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_57 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_58 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_59 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_60 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_61 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_62 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_63 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_64 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_65 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_66 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_67 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_68 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_69 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_70 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_71 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_72 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_73 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_74 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_75 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_76 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_77 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_78 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_79 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_80 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_81 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_82 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_83 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_84 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_85 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_86 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_87 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_88 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_89 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_90 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_91 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_92 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_93 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_94 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_95 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_96 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_97 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_98 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_99 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_100 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_101 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_102 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_103 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_105 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_106 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_107 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_108 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_109 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_110 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_111 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_112 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_113 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_114 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_115 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_116 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_117 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_118 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_119 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_120 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_121 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_122 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_123 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_124 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_125 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_126 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_127 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_128 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_129 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_130 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_131 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_132 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_133 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_134 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_135 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_136 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_137 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_139 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_140 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_141 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_142 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_143 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_144 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_145 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_146 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_147 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_148 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_149 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_150 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_151 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_152 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_153 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_154 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_155 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_156 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_157 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_158 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_159 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_160 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_161 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_162 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_163 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_164 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_165 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_166 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_167 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_168 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_169 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_170 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_171 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_172 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_173 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_174 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_175 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_176 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_177 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_178 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_179 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_180 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_181 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_182 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_183 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_184 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_185 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_186 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_187 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_188 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_189 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_191 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_192 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_193 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_194 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_195 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_196 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_197 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_198 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_199 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_200 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_201 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_202 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_203 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_204 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_205 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_206 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_207 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_208 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_209 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_210 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_211 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_212 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_213 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_214 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_215 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_216 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_217 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_218 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_219 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_220 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_221 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_222 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_223 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_226 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_227 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_228 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_229 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_230 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_231 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_232 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_233 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_234 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_235 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_236 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_237 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_238 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_239 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_240 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_241 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_242 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_243 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_244 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_245 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_246 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_247 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_248 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_249 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_250 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_251 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_252 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_253 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_254 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_255 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_256 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_257 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_258 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_259 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_262 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_263 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_264 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_265 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_266 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_267 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_268 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_269 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_270 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_271 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_272 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_273 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_274 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_275 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_276 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_277 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_278 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_279 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_280 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_281 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_282 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_283 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_284 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_285 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_286 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_287 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_288 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_289 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_290 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_291 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_296 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_297 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_298 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_299 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_300 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_301 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_302 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_303 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_304 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_305 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_306 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_307 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_308 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_309 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_310 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_311 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_312 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_313 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_314 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_315 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_316 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_317 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_318 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_319 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_320 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_321 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_322 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_323 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_324 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_325 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_326 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_327 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_336 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_337 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_338 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_339 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_340 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_341 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_342 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_343 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_344 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_345 ;
-   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_346 ;
-   wire soc_top_u_top_u_core_id_in_ready;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_ebreak_into_debug;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_exc_req_q;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_illegal_insn_q;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_illegal_umode;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_load_err_q;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_0;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_1;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_2;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_3;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_4;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_5;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_6;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_8;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_9;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_10;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_11;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_12;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_13;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_14;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_15;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_16;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_17;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_18;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_19;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_20;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_22;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_23;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_24;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_25;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_26;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_27;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_28;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_29;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_30;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_31;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_32;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_34;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_35;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_36;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_38;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_39;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_40;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_41;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_42;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_44;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_45;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_46;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_47;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_48;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_49;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_50;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_51;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_52;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_53;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_54;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_55;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_56;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_57;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_58;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_59;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_60;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_61;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_62;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_63;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_64;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_65;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_66;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_67;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_68;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_69;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_70;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_71;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_72;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_73;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_74;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_75;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_76;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_77;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_78;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_79;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_80;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_81;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_82;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_84;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_86;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_87;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_88;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_89;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_90;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_91;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_92;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_93;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_94;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_95;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_96;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_97;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_98;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_99;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_100;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_101;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_102;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_103;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_104;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_105;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_106;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_107;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_109;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_113;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_115;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_116;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_117;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_118;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_119;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_120;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_121;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_122;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_123;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_124;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_125;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_127;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_128;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_129;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_130;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_131;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_133;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_186;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_187;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_197;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_215;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_333;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_334;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_336;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_337;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_338;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_339;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_340;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_n_3969_BAR;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_special_req_all;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_stall;
-   wire soc_top_u_top_u_core_id_stage_i_controller_i_store_err_q;
-   wire soc_top_u_top_u_core_id_stage_i_controller_run;
-   wire soc_top_u_top_u_core_id_stage_i_csr_pipe_flush;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_9;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_44;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_281;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_2427;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_2518;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_2522;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_2552;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_2747;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_2749;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_2787;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_2788;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_2789;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_2791;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_2794;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_2797;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_2801;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_2809;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_2812;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_2835;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_2846;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_2860;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_2864;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_2884;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_2908;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_2928;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_2940;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_2941;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_2951;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_2972;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_2986;
-   wire soc_top_u_top_u_core_id_stage_i_decoder_i_n_3081;
-   wire soc_top_u_top_u_core_id_stage_i_dret_insn_dec;
-   wire soc_top_u_top_u_core_id_stage_i_ebrk_insn;
-   wire soc_top_u_top_u_core_id_stage_i_ecall_insn_dec;
-   wire \soc_top_u_top_u_core_id_stage_i_g_branch_set_flop.branch_set_q ;
-   wire soc_top_u_top_u_core_id_stage_i_id_fsm_q;
-   wire soc_top_u_top_u_core_id_stage_i_illegal_insn_dec;
-   wire soc_top_u_top_u_core_id_stage_i_jump_set;
-   wire soc_top_u_top_u_core_id_stage_i_mret_insn_dec;
-   wire soc_top_u_top_u_core_id_stage_i_n_993;
-   wire soc_top_u_top_u_core_id_stage_i_n_1397;
-   wire soc_top_u_top_u_core_id_stage_i_stall_id;
-   wire soc_top_u_top_u_core_id_stage_i_stall_wb;
-   wire soc_top_u_top_u_core_id_stage_i_wb_exception;
-   wire soc_top_u_top_u_core_id_stage_i_wfi_insn_dec;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_discard_req_q;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_1;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_2;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_6;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_8;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_10;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_12;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_14;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_16;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_18;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_20;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_22;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_24;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_26;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_28;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_30;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_32;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_34;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_36;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_38;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_40;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_42;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_44;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_46;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_48;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_50;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_52;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_54;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_56;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_58;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_60;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_addr_incr_two;
-   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_valid_req_q;
-   wire soc_top_u_top_u_core_illegal_c_insn_id;
-   wire soc_top_u_top_u_core_illegal_csr_insn_id;
-   wire soc_top_u_top_u_core_instr_is_compressed_id;
-   wire soc_top_u_top_u_core_instr_req_int;
-   wire soc_top_u_top_u_core_instr_valid_clear;
-   wire soc_top_u_top_u_core_instr_valid_id;
-   wire soc_top_u_top_u_core_irq_pending;
-   wire \soc_top_u_top_u_core_irqs[irq_external] ;
-   wire \soc_top_u_top_u_core_irqs[irq_timer] ;
-   wire soc_top_u_top_u_core_is_fp_instr;
-   wire soc_top_u_top_u_core_load_store_unit_i_data_or_pmp_err;
-   wire soc_top_u_top_u_core_load_store_unit_i_data_sign_ext_q;
-   wire soc_top_u_top_u_core_load_store_unit_i_data_we_q;
-   wire soc_top_u_top_u_core_load_store_unit_i_handle_misaligned_q;
-   wire soc_top_u_top_u_core_load_store_unit_i_lsu_err_q;
-   wire soc_top_u_top_u_core_load_store_unit_i_n_753;
-   wire soc_top_u_top_u_core_load_store_unit_i_n_935;
-   wire soc_top_u_top_u_core_load_store_unit_i_n_936;
-   wire soc_top_u_top_u_core_load_store_unit_i_n_937;
-   wire soc_top_u_top_u_core_load_store_unit_i_split_misaligned_access;
-   wire soc_top_u_top_u_core_lsu_load_err;
-   wire soc_top_u_top_u_core_lsu_store_err;
-   wire soc_top_u_top_u_core_out_valid_fpu2c;
-   wire soc_top_u_top_u_core_pc_set;
-   wire soc_top_u_top_u_core_ready_wb;
-   wire soc_top_u_top_u_core_trigger_match;
-   wire soc_top_u_top_u_core_use_fp_rs2;
-   wire soc_top_u_top_u_core_valid_id_fpu;
-   wire \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_load_q ;
-   wire \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_rf_we_wb_q ;
-   wire \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ;
-   wire \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ;
-   wire \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ;
-   wire soc_top_u_uart_rx_prog_n_0;
-   wire soc_top_u_uart_rx_prog_n_1;
-   wire soc_top_u_uart_rx_prog_n_2;
-   wire soc_top_u_uart_rx_prog_n_3;
-   wire soc_top_u_uart_rx_prog_n_4;
-   wire soc_top_u_uart_rx_prog_n_5;
-   wire soc_top_u_uart_rx_prog_n_6;
-   wire soc_top_u_uart_rx_prog_n_7;
-   wire soc_top_u_uart_rx_prog_n_8;
-   wire soc_top_u_uart_rx_prog_n_9;
-   wire soc_top_u_uart_rx_prog_n_10;
-   wire soc_top_u_uart_rx_prog_n_11;
-   wire soc_top_u_uart_rx_prog_n_12;
-   wire soc_top_u_uart_rx_prog_n_13;
-   wire soc_top_u_uart_rx_prog_n_14;
-   wire soc_top_u_uart_rx_prog_n_15;
-   wire soc_top_u_uart_rx_prog_n_16;
-   wire soc_top_u_uart_rx_prog_n_17;
-   wire soc_top_u_uart_rx_prog_n_18;
-   wire soc_top_u_uart_rx_prog_n_19;
-   wire soc_top_u_uart_rx_prog_n_20;
-   wire soc_top_u_uart_rx_prog_n_21;
-   wire soc_top_u_uart_rx_prog_n_22;
-   wire soc_top_u_uart_rx_prog_n_23;
-   wire soc_top_u_uart_rx_prog_n_24;
-   wire soc_top_u_uart_rx_prog_n_25;
-   wire soc_top_u_uart_rx_prog_n_26;
-   wire soc_top_u_uart_rx_prog_n_27;
-   wire soc_top_u_uart_rx_prog_n_28;
-   wire soc_top_u_uart_rx_prog_n_29;
-   wire soc_top_u_uart_rx_prog_n_30;
-   wire soc_top_u_uart_rx_prog_n_31;
-   wire soc_top_u_uart_rx_prog_n_32;
-   wire soc_top_u_uart_rx_prog_n_33;
-   wire soc_top_u_uart_rx_prog_n_34;
-   wire soc_top_u_uart_rx_prog_n_35;
-   wire soc_top_u_uart_rx_prog_n_36;
-   wire soc_top_u_uart_rx_prog_n_37;
-   wire soc_top_u_uart_rx_prog_n_38;
-   wire soc_top_u_uart_rx_prog_n_39;
-   wire soc_top_u_uart_rx_prog_n_40;
-   wire soc_top_u_uart_rx_prog_n_41;
-   wire soc_top_u_uart_rx_prog_n_42;
-   wire soc_top_u_uart_rx_prog_n_43;
-   wire soc_top_u_uart_rx_prog_n_44;
-   wire soc_top_u_uart_rx_prog_n_45;
-   wire soc_top_u_uart_rx_prog_n_46;
-   wire soc_top_u_uart_rx_prog_n_47;
-   wire soc_top_u_uart_rx_prog_n_48;
-   wire soc_top_u_uart_rx_prog_n_49;
-   wire soc_top_u_uart_rx_prog_n_50;
-   wire soc_top_u_uart_rx_prog_n_51;
-   wire soc_top_u_uart_rx_prog_n_52;
-   wire soc_top_u_uart_rx_prog_n_53;
-   wire soc_top_u_uart_rx_prog_n_54;
-   wire soc_top_u_uart_rx_prog_n_55;
-   wire soc_top_u_uart_rx_prog_n_56;
-   wire soc_top_u_uart_rx_prog_n_57;
-   wire soc_top_u_uart_rx_prog_n_58;
-   wire soc_top_u_uart_rx_prog_n_59;
-   wire soc_top_u_uart_rx_prog_n_60;
-   wire soc_top_u_uart_rx_prog_n_61;
-   wire soc_top_u_uart_rx_prog_n_62;
-   wire soc_top_u_uart_rx_prog_n_63;
-   wire soc_top_u_uart_rx_prog_n_64;
-   wire soc_top_u_uart_rx_prog_n_65;
-   wire soc_top_u_uart_rx_prog_n_66;
-   wire soc_top_u_uart_rx_prog_n_67;
-   wire soc_top_u_uart_rx_prog_n_68;
-   wire soc_top_u_uart_rx_prog_n_69;
-   wire soc_top_u_uart_rx_prog_n_70;
-   wire soc_top_u_uart_rx_prog_n_71;
-   wire soc_top_u_uart_rx_prog_n_72;
-   wire soc_top_u_uart_rx_prog_n_73;
-   wire soc_top_u_uart_rx_prog_n_74;
-   wire soc_top_u_uart_rx_prog_n_75;
-   wire soc_top_u_uart_rx_prog_n_76;
-   wire soc_top_u_uart_rx_prog_n_77;
-   wire soc_top_u_uart_rx_prog_n_78;
-   wire soc_top_u_uart_rx_prog_n_79;
-   wire soc_top_u_uart_rx_prog_n_80;
-   wire soc_top_u_uart_rx_prog_n_81;
-   wire soc_top_u_uart_rx_prog_n_82;
-   wire soc_top_u_uart_rx_prog_n_83;
-   wire soc_top_u_uart_rx_prog_n_84;
-   wire soc_top_u_uart_rx_prog_n_85;
-   wire soc_top_u_uart_rx_prog_n_86;
-   wire soc_top_u_uart_rx_prog_n_87;
-   wire soc_top_u_uart_rx_prog_n_88;
-   wire soc_top_u_uart_rx_prog_n_89;
-   wire soc_top_u_uart_rx_prog_n_90;
-   wire soc_top_u_uart_rx_prog_n_91;
-   wire soc_top_u_uart_rx_prog_n_92;
-   wire soc_top_u_uart_rx_prog_n_93;
-   wire soc_top_u_uart_rx_prog_n_94;
-   wire soc_top_u_uart_rx_prog_n_95;
-   wire soc_top_u_uart_rx_prog_n_96;
-   wire soc_top_u_uart_rx_prog_n_97;
-   wire soc_top_u_uart_rx_prog_n_98;
-   wire soc_top_u_uart_rx_prog_n_99;
-   wire soc_top_u_uart_rx_prog_n_100;
-   wire soc_top_u_uart_rx_prog_n_101;
-   wire soc_top_u_uart_rx_prog_n_102;
-   wire soc_top_u_uart_rx_prog_n_103;
-   wire soc_top_u_uart_rx_prog_n_104;
-   wire soc_top_u_uart_rx_prog_n_105;
-   wire soc_top_u_uart_rx_prog_n_106;
-   wire soc_top_u_uart_rx_prog_n_107;
-   wire soc_top_u_uart_rx_prog_n_108;
-   wire soc_top_u_uart_rx_prog_n_109;
-   wire soc_top_u_uart_rx_prog_n_110;
-   wire soc_top_u_uart_rx_prog_n_111;
-   wire soc_top_u_uart_rx_prog_n_112;
-   wire soc_top_u_uart_rx_prog_n_113;
-   wire soc_top_u_uart_rx_prog_n_114;
-   wire soc_top_u_uart_rx_prog_n_115;
-   wire soc_top_u_uart_rx_prog_n_116;
-   wire soc_top_u_uart_rx_prog_n_117;
-   wire soc_top_u_uart_rx_prog_n_118;
-   wire soc_top_u_uart_rx_prog_n_119;
-   wire soc_top_u_uart_rx_prog_n_120;
-   wire soc_top_u_uart_rx_prog_n_121;
-   wire soc_top_u_uart_rx_prog_n_122;
-   wire soc_top_u_uart_rx_prog_n_123;
-   wire soc_top_u_uart_rx_prog_n_124;
-   wire soc_top_u_uart_rx_prog_n_125;
-   wire soc_top_u_uart_rx_prog_n_126;
-   wire soc_top_u_uart_rx_prog_n_127;
-   wire soc_top_u_uart_rx_prog_n_128;
-   wire soc_top_u_uart_rx_prog_n_129;
-   wire soc_top_u_uart_rx_prog_n_130;
-   wire soc_top_u_uart_rx_prog_n_131;
-   wire soc_top_u_uart_rx_prog_n_132;
-   wire soc_top_u_uart_rx_prog_n_133;
-   wire soc_top_u_uart_rx_prog_n_134;
-   wire soc_top_u_uart_rx_prog_n_135;
-   wire soc_top_u_uart_rx_prog_n_136;
-   wire soc_top_u_uart_rx_prog_n_137;
-   wire soc_top_u_uart_rx_prog_n_138;
-   wire soc_top_u_uart_rx_prog_n_139;
-   wire soc_top_u_uart_rx_prog_n_140;
-   wire soc_top_u_uart_rx_prog_n_141;
-   wire soc_top_u_uart_rx_prog_n_142;
-   wire soc_top_u_uart_rx_prog_n_143;
-   wire soc_top_u_uart_rx_prog_n_144;
-   wire soc_top_u_uart_rx_prog_n_145;
-   wire soc_top_u_uart_rx_prog_n_146;
-   wire soc_top_u_uart_rx_prog_n_147;
-   wire soc_top_u_uart_rx_prog_n_148;
-   wire soc_top_u_uart_rx_prog_n_149;
-   wire soc_top_u_uart_rx_prog_n_150;
-   wire soc_top_u_uart_rx_prog_n_151;
-   wire soc_top_u_uart_rx_prog_n_152;
-   wire soc_top_u_uart_rx_prog_n_153;
-   wire soc_top_u_uart_rx_prog_n_154;
-   wire soc_top_u_uart_rx_prog_n_155;
-   wire soc_top_u_uart_rx_prog_n_156;
-   wire soc_top_u_uart_rx_prog_n_157;
-   wire soc_top_u_uart_rx_prog_n_158;
-   wire soc_top_u_uart_rx_prog_n_159;
-   wire soc_top_u_uart_rx_prog_n_160;
-   wire soc_top_u_uart_rx_prog_n_161;
-   wire soc_top_u_uart_rx_prog_n_162;
-   wire soc_top_u_uart_rx_prog_n_163;
-   wire soc_top_u_uart_rx_prog_n_164;
-   wire soc_top_u_uart_rx_prog_n_165;
-   wire soc_top_u_uart_rx_prog_n_166;
-   wire soc_top_u_uart_rx_prog_n_167;
-   wire soc_top_u_uart_rx_prog_n_168;
-   wire soc_top_u_uart_rx_prog_n_169;
-   wire soc_top_u_uart_rx_prog_n_170;
-   wire soc_top_u_uart_rx_prog_n_171;
-   wire soc_top_u_uart_rx_prog_n_172;
-   wire soc_top_u_uart_rx_prog_n_173;
-   wire soc_top_u_uart_rx_prog_n_174;
-   wire soc_top_u_uart_rx_prog_n_175;
-   wire soc_top_u_uart_rx_prog_n_176;
-   wire soc_top_u_uart_rx_prog_n_177;
-   wire soc_top_u_uart_rx_prog_n_178;
-   wire soc_top_u_uart_rx_prog_n_179;
-   wire soc_top_u_uart_rx_prog_n_180;
-   wire soc_top_u_uart_rx_prog_n_181;
-   wire soc_top_u_uart_rx_prog_n_182;
-   wire soc_top_u_uart_rx_prog_n_183;
-   wire soc_top_u_uart_rx_prog_n_184;
-   wire soc_top_u_uart_rx_prog_n_185;
-   wire soc_top_u_uart_rx_prog_n_186;
-   wire soc_top_u_uart_rx_prog_n_187;
-   wire soc_top_u_uart_rx_prog_n_188;
-   wire soc_top_u_uart_rx_prog_n_189;
-   wire soc_top_u_uart_rx_prog_n_190;
-   wire soc_top_u_uart_rx_prog_n_191;
-   wire soc_top_u_uart_rx_prog_n_192;
-   wire soc_top_u_uart_rx_prog_n_193;
-   wire soc_top_u_uart_rx_prog_n_194;
-   wire soc_top_u_uart_rx_prog_n_195;
-   wire soc_top_u_uart_rx_prog_r_Rx_Data;
-   wire soc_top_u_uart_rx_prog_r_Rx_Data_R;
-   wire soc_top_u_uart_u_uart_core_rx_status;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_0;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_1;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_2;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_3;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_4;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_5;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_6;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_7;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_8;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_9;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_10;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_11;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_12;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_13;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_14;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_15;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_16;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_17;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_18;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_19;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_20;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_21;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_22;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_23;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_24;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_25;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_26;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_27;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_28;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_29;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_30;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_31;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_32;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_33;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_34;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_35;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_36;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_37;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_38;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_39;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_40;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_41;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_42;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_43;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_44;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_45;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_46;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_47;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_48;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_49;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_50;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_51;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_52;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_53;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_54;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_55;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_56;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_57;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_58;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_59;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_60;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_61;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_62;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_63;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_64;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_65;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_66;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_67;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_68;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_69;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_70;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_71;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_72;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_73;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_74;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_75;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_76;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_77;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_78;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_79;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_80;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_81;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_82;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_83;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_84;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_85;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_86;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_87;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_88;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_89;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_90;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_91;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_92;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_93;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_94;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_95;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_96;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_97;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_98;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_99;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_100;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_101;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_102;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_103;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_104;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_105;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_106;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_107;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_108;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_109;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_110;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_111;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_112;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_113;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_115;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_116;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_117;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_118;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_119;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_120;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_121;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_122;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_123;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_124;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_125;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_126;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_127;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_128;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_129;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_130;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_131;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_132;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_133;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_134;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_135;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_136;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_137;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_138;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_139;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_140;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_141;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_142;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_143;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_144;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_145;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_146;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_147;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_148;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_149;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_150;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_151;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_152;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_153;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_154;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_155;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_156;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_157;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_158;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_159;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_160;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_161;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_162;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_163;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_164;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_165;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_166;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_167;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_168;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_169;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_170;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_171;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_172;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_173;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_174;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_175;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_176;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_177;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_178;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_179;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_180;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_181;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_182;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_183;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_184;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_185;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_186;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_187;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_188;
-   wire soc_top_u_uart_u_uart_core_u_rx_n_209;
-   wire soc_top_u_uart_u_uart_core_u_rx_r_Rx_Data;
-   wire soc_top_u_uart_u_uart_core_u_rx_r_Rx_Data_R;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_0;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_1;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_2;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_3;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_4;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_6;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_7;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_8;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_9;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_10;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_11;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_12;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_13;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_14;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_15;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_16;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_17;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_18;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_19;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_20;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_21;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_22;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_23;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_24;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_25;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_26;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_27;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_28;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_29;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_30;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_31;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_32;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_33;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_34;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_35;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_36;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_37;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_38;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_39;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_40;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_41;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_42;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_43;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_44;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_45;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_46;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_47;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_48;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_49;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_50;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_51;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_52;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_53;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_54;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_55;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_56;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_57;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_58;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_59;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_60;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_61;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_62;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_63;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_64;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_65;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_66;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_67;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_68;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_69;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_70;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_71;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_72;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_73;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_74;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_75;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_76;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_77;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_78;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_79;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_80;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_81;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_82;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_83;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_84;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_85;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_86;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_87;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_88;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_89;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_90;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_91;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_92;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_93;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_94;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_95;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_96;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_97;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_98;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_99;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_100;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_101;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_102;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_103;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_104;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_105;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_106;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_107;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_108;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_109;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_110;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_111;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_112;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_113;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_114;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_115;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_116;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_117;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_118;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_119;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_120;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_121;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_122;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_123;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_124;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_125;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_126;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_127;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_128;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_129;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_130;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_131;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_132;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_133;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_134;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_135;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_136;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_137;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_138;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_139;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_140;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_141;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_142;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_143;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_144;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_145;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_146;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_147;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_148;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_149;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_150;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_151;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_152;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_153;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_154;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_155;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_156;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_157;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_158;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_159;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_160;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_161;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_162;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_163;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_164;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_165;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_166;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_167;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_168;
-   wire soc_top_u_uart_u_uart_core_u_tx_n_169;
-   wire \soc_top_uart_to_xbar[d_valid] ;
-   wire \soc_top_xbar_to_lsu[d_error] ;
-   wire \soc_top_xbar_to_lsu[d_valid] ;
-
-   assign io_out[2] = io_oeb[4];
-   assign io_oeb[7] = io_oeb[2];
-   assign io_oeb[6] = io_oeb[4];
-   assign io_oeb[5] = io_oeb[2];
-
-   // Module instantiations
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00026 (
-	.A(CTS_8),
-	.X(CTS_91), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00024 (
-	.A(CTS_8),
-	.X(CTS_90), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00022 (
-	.A(CTS_8),
-	.X(CTS_94), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00020 (
-	.A(CTS_8),
-	.X(CTS_114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00068 (
-	.A(CTS_87),
-	.X(CTS_86), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00066 (
-	.A(CTS_87),
-	.X(CTS_85), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00064 (
-	.A(CTS_87),
-	.X(CTS_84), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00062 (
-	.A(CTS_87),
-	.X(CTS_83), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00060 (
-	.A(CTS_87),
-	.X(CTS_82), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00058 (
-	.A(CTS_87),
-	.X(CTS_81), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00056 (
-	.A(CTS_87),
-	.X(CTS_80), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00054 (
-	.A(CTS_87),
-	.X(CTS_79), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00052 (
-	.A(CTS_87),
-	.X(CTS_78), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00050 (
-	.A(CTS_87),
-	.X(CTS_77), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00034 (
-	.A(CTS_87),
-	.X(CTS_76), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00030 (
-	.A(CTS_87),
-	.X(CTS_113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00071 (
-	.A(CTS_9),
-	.X(CTS_87), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_cid_buf_00294 (
-	.A(CTS_75),
-	.X(CTS_111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_cid_buf_00298 (
-	.A(CTS_74),
-	.X(CTS_110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_cid_buf_00302 (
-	.A(CTS_73),
-	.X(CTS_109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_cid_buf_00334 (
-	.A(CTS_71),
-	.X(CTS_108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_cid_buf_00326 (
-	.A(CTS_70),
-	.X(CTS_107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_cid_buf_00286 (
-	.A(CTS_68),
-	.X(CTS_106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_cid_buf_00310 (
-	.A(CTS_67),
-	.X(CTS_105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_cid_buf_00282 (
-	.A(CTS_66),
-	.X(CTS_104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_cid_buf_00306 (
-	.A(CTS_65),
-	.X(CTS_63), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00081 (
-	.A(CTS_64),
-	.X(CTS_112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 CTS_ccl_a_buf_00074 (
-	.A(CTS_47),
-	.X(CTS_103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_cid_buf_00330 (
-	.A(CTS_60),
-	.X(CTS_102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_cid_buf_00342 (
-	.A(CTS_59),
-	.X(CTS_101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_cid_buf_00318 (
-	.A(CTS_58),
-	.X(CTS_100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_cid_buf_00314 (
-	.A(CTS_55),
-	.X(CTS_99), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_cid_buf_00322 (
-	.A(CTS_54),
-	.X(CTS_98), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_cid_buf_00350 (
-	.A(CTS_53),
-	.X(CTS_97), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_cid_buf_00354 (
-	.A(CTS_52),
-	.X(CTS_96), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_cid_buf_00338 (
-	.A(CTS_50),
-	.X(CTS_95), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_cid_buf_00290 (
-	.A(CTS_49),
-	.X(CTS_93), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_cid_buf_00346 (
-	.A(CTS_48),
-	.X(CTS_46), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00079 (
-	.A(CTS_64),
-	.X(CTS_47), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 CTS_cid_buf_00276 (
-	.A(CTS_10),
-	.X(CTS_64), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00085 (
-	.A(CTS_11),
-	.X(CTS_45), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00118 (
-	.A(CTS_12),
-	.X(CTS_44), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00116 (
-	.A(CTS_12),
-	.X(CTS_43), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00114 (
-	.A(CTS_12),
-	.X(CTS_42), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00112 (
-	.A(CTS_12),
-	.X(CTS_41), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00110 (
-	.A(CTS_12),
-	.X(CTS_40), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00108 (
-	.A(CTS_12),
-	.X(CTS_39), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00106 (
-	.A(CTS_12),
-	.X(CTS_38), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00104 (
-	.A(CTS_12),
-	.X(CTS_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00102 (
-	.A(CTS_12),
-	.X(CTS_36), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00100 (
-	.A(CTS_12),
-	.X(CTS_89), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00098 (
-	.A(CTS_12),
-	.X(CTS_88), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00172 (
-	.A(CTS_33),
-	.X(CTS_32), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00170 (
-	.A(CTS_33),
-	.X(CTS_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00168 (
-	.A(CTS_33),
-	.X(CTS_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00166 (
-	.A(CTS_33),
-	.X(CTS_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00164 (
-	.A(CTS_33),
-	.X(CTS_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00162 (
-	.A(CTS_33),
-	.X(CTS_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00160 (
-	.A(CTS_33),
-	.X(CTS_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00158 (
-	.A(CTS_33),
-	.X(CTS_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00156 (
-	.A(CTS_33),
-	.X(CTS_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00154 (
-	.A(CTS_33),
-	.X(CTS_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00152 (
-	.A(CTS_33),
-	.X(CTS_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00150 (
-	.A(CTS_33),
-	.X(CTS_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00148 (
-	.A(CTS_33),
-	.X(CTS_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00146 (
-	.A(CTS_33),
-	.X(CTS_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00144 (
-	.A(CTS_33),
-	.X(CTS_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00142 (
-	.A(CTS_33),
-	.X(CTS_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00140 (
-	.A(CTS_33),
-	.X(CTS_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_cid_buf_00279 (
-	.A(CTS_13),
-	.X(CTS_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 CTS_ccl_a_buf_00185 (
-	.A(CTS_14),
-	.X(CTS_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 CTS_ccl_a_buf_00183 (
-	.A(CTS_14),
-	.X(CTS_35), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00179 (
-	.A(CTS_14),
-	.X(CTS_34), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dlclkp_1 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_88 (
-	.CLK(CTS_112),
-	.GATE(soc_top_u_top_u_core_core_clock_gate_i_logic_1_1_net),
-	.GCLK(CTS_75), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dlclkp_1 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_87 (
-	.CLK(CTS_112),
-	.GATE(soc_top_u_top_u_core_core_clock_gate_i_logic_1_1_net),
-	.GCLK(CTS_74), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dlclkp_1 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_86 (
-	.CLK(CTS_112),
-	.GATE(soc_top_u_top_u_core_core_clock_gate_i_logic_1_1_net),
-	.GCLK(CTS_73), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_85 (
-	.CLK(CTS_112),
-	.GATE(soc_top_u_top_u_core_core_clock_gate_i_logic_1_1_net),
-	.GCLK(CTS_72), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dlclkp_1 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_84 (
-	.CLK(CTS_112),
-	.GATE(soc_top_u_top_u_core_core_clock_gate_i_logic_1_1_net),
-	.GCLK(CTS_71), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dlclkp_1 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_83 (
-	.CLK(CTS_112),
-	.GATE(soc_top_u_top_u_core_core_clock_gate_i_logic_1_1_net),
-	.GCLK(CTS_70), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_82 (
-	.CLK(CTS_47),
-	.GATE(soc_top_u_top_u_core_core_clock_gate_i_logic_1_1_net),
-	.GCLK(CTS_62), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_81 (
-	.CLK(CTS_47),
-	.GATE(soc_top_u_top_u_core_core_clock_gate_i_logic_1_1_net),
-	.GCLK(CTS_61), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dlclkp_1 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_80 (
-	.CLK(CTS_47),
-	.GATE(soc_top_u_top_u_core_core_clock_gate_i_logic_1_1_net),
-	.GCLK(CTS_60), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_79 (
-	.CLK(CTS_112),
-	.GATE(soc_top_u_top_u_core_core_clock_gate_i_logic_1_1_net),
-	.GCLK(CTS_69), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dlclkp_1 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_78 (
-	.CLK(CTS_112),
-	.GATE(soc_top_u_top_u_core_core_clock_gate_i_logic_1_1_net),
-	.GCLK(CTS_68), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dlclkp_1 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_77 (
-	.CLK(CTS_47),
-	.GATE(soc_top_u_top_u_core_core_clock_gate_i_logic_1_1_net),
-	.GCLK(CTS_59), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dlclkp_1 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_76 (
-	.CLK(CTS_47),
-	.GATE(soc_top_u_top_u_core_core_clock_gate_i_logic_1_1_net),
-	.GCLK(CTS_58), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_75 (
-	.CLK(CTS_47),
-	.GATE(soc_top_u_top_u_core_core_clock_gate_i_logic_1_1_net),
-	.GCLK(CTS_57), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_74 (
-	.CLK(CTS_47),
-	.GATE(soc_top_u_top_u_core_core_clock_gate_i_logic_1_1_net),
-	.GCLK(CTS_56), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dlclkp_1 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_73 (
-	.CLK(CTS_112),
-	.GATE(soc_top_u_top_u_core_core_clock_gate_i_logic_1_1_net),
-	.GCLK(CTS_67), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dlclkp_1 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_72 (
-	.CLK(CTS_47),
-	.GATE(soc_top_u_top_u_core_core_clock_gate_i_logic_1_1_net),
-	.GCLK(CTS_55), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dlclkp_1 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_71 (
-	.CLK(CTS_47),
-	.GATE(soc_top_u_top_u_core_core_clock_gate_i_logic_1_1_net),
-	.GCLK(CTS_54), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dlclkp_1 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_70 (
-	.CLK(CTS_112),
-	.GATE(soc_top_u_top_u_core_core_clock_gate_i_logic_1_1_net),
-	.GCLK(CTS_66), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dlclkp_1 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_69 (
-	.CLK(CTS_112),
-	.GATE(soc_top_u_top_u_core_core_clock_gate_i_logic_1_1_net),
-	.GCLK(CTS_65), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dlclkp_1 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_68 (
-	.CLK(CTS_47),
-	.GATE(soc_top_u_top_u_core_core_clock_gate_i_logic_1_1_net),
-	.GCLK(CTS_53), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dlclkp_1 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_67 (
-	.CLK(CTS_47),
-	.GATE(soc_top_u_top_u_core_core_clock_gate_i_logic_1_1_net),
-	.GCLK(CTS_52), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_66 (
-	.CLK(CTS_47),
-	.GATE(soc_top_u_top_u_core_core_clock_gate_i_logic_1_1_net),
-	.GCLK(CTS_51), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dlclkp_1 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_65 (
-	.CLK(CTS_47),
-	.GATE(soc_top_u_top_u_core_core_clock_gate_i_logic_1_1_net),
-	.GCLK(CTS_50), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dlclkp_1 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_64 (
-	.CLK(CTS_47),
-	.GATE(soc_top_u_top_u_core_core_clock_gate_i_logic_1_1_net),
-	.GCLK(CTS_49), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dlclkp_1 soc_top_u_top_u_core_core_clock_gate_i_CG_clone (
-	.CLK(CTS_47),
-	.GATE(soc_top_u_top_u_core_core_clock_gate_i_logic_1_1_net),
-	.GCLK(CTS_48), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_14 (
-	.A(CTS_5),
-	.X(CTS_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_10 (
-	.A(CTS_5),
-	.X(CTS_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00012 (
-	.A(CTS_6),
-	.X(CTS_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_6 (
-	.A(CTS_4),
-	.X(CTS_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_2 (
-	.A(CTS_4),
-	.X(CTS_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00009 (
-	.A(CTS_6),
-	.X(CTS_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_13 (
-	.A(CTS_3),
-	.X(CTS_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_9 (
-	.A(CTS_3),
-	.X(CTS_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00006 (
-	.A(CTS_6),
-	.X(CTS_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_5 (
-	.A(CTS_2),
-	.X(CTS_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_1 (
-	.A(CTS_2),
-	.X(CTS_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00003 (
-	.A(CTS_6),
-	.X(CTS_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00002 (
-	.A(CTS_7),
-	.X(CTS_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00001 (
-	.A(wb_clk_i),
-	.X(CTS_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 FE_DBTC27_soc_top_u_dccm_n_65 (
-	.A(soc_top_u_dccm_n_65),
-	.Y(FE_DBTN27_soc_top_u_dccm_n_65), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_DBTC26_soc_top_lsu_to_xbar_a_address_31 (
-	.A(\soc_top_lsu_to_xbar[a_address] [31]),
-	.Y(FE_DBTN26_soc_top_lsu_to_xbar_a_address_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_DBTC25_soc_top_lsu_to_xbar_a_address_30 (
-	.A(\soc_top_lsu_to_xbar[a_address] [30]),
-	.Y(FE_DBTN25_soc_top_lsu_to_xbar_a_address_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 FE_DBTC24_soc_top_u_top_u_core_fp_operands_0_21 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [21]),
-	.Y(FE_DBTN24_soc_top_u_top_u_core_fp_operands_0_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_DBTC23_soc_top_u_top_u_core_fp_operands_0_18 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [18]),
-	.Y(FE_DBTN23_soc_top_u_top_u_core_fp_operands_0_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_DBTC22_soc_top_u_top_u_core_fp_operands_0_14 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [14]),
-	.Y(FE_DBTN22_soc_top_u_top_u_core_fp_operands_0_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_DBTC21_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_0_value_mantissa_22 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [22]),
-	.Y(FE_DBTN21_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_0_value_mantissa_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 FE_DBTC20_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_0_value_mantissa_3 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [3]),
-	.Y(FE_DBTN20_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_0_value_mantissa_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 FE_DBTC19_soc_top_u_top_u_core_lsu_wdata_30 (
-	.A(soc_top_u_top_u_core_lsu_wdata[30]),
-	.Y(FE_DBTN19_soc_top_u_top_u_core_lsu_wdata_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 FE_DBTC18_soc_top_u_top_u_core_lsu_wdata_29 (
-	.A(soc_top_u_top_u_core_lsu_wdata[29]),
-	.Y(FE_DBTN18_soc_top_u_top_u_core_lsu_wdata_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 FE_DBTC17_soc_top_u_top_u_core_lsu_wdata_27 (
-	.A(soc_top_u_top_u_core_lsu_wdata[27]),
-	.Y(FE_DBTN17_soc_top_u_top_u_core_lsu_wdata_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 FE_DBTC16_soc_top_u_top_u_core_lsu_wdata_26 (
-	.A(soc_top_u_top_u_core_lsu_wdata[26]),
-	.Y(FE_DBTN16_soc_top_u_top_u_core_lsu_wdata_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 FE_DBTC15_soc_top_u_top_u_core_lsu_wdata_24 (
-	.A(soc_top_u_top_u_core_lsu_wdata[24]),
-	.Y(FE_DBTN15_soc_top_u_top_u_core_lsu_wdata_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 FE_DBTC14_soc_top_u_top_u_core_lsu_wdata_23 (
-	.A(soc_top_u_top_u_core_lsu_wdata[23]),
-	.Y(FE_DBTN14_soc_top_u_top_u_core_lsu_wdata_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_DBTC13_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_mantissa_22 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [22]),
-	.Y(FE_DBTN13_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_mantissa_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_DBTC12_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_mantissa_18 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [18]),
-	.Y(FE_DBTN12_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_mantissa_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_DBTC11_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_mantissa_14 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [14]),
-	.Y(FE_DBTN11_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_mantissa_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_DBTC10_soc_top_u_spi_host_spi_host_ctrl_0 (
-	.A(soc_top_u_spi_host_spi_host_ctrl[0]),
-	.Y(FE_DBTN10_soc_top_u_spi_host_spi_host_ctrl_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_DBTC9_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b_4 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [4]),
-	.Y(FE_DBTN9_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_DBTC8_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a_4 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [4]),
-	.Y(FE_DBTN8_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 FE_DBTC7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [4]),
-	.Y(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_DBTC6_soc_top_reset_manager_n_13 (
-	.A(soc_top_reset_manager_n_13),
-	.Y(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 FE_DBTC5_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_exponent_5 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][5] ),
-	.Y(FE_DBTN5_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_exponent_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 FE_DBTC4_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_exponent_2 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][2] ),
-	.Y(FE_DBTN4_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_exponent_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_DBTC3_soc_top_prog_rst_ni (
-	.A(soc_top_prog_rst_ni),
-	.Y(FE_DBTN3_soc_top_prog_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_DBTC2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.Y(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_DBTC1_n_14791 (
-	.A(n_14791),
-	.Y(FE_DBTN1_n_14791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_DBTC0_soc_top_u_spi_host_spi_host_tip (
-	.A(soc_top_u_spi_host_spi_host_tip),
-	.Y(FE_DBTN0_soc_top_u_spi_host_spi_host_tip), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_sram_4kbyte_1rw1r_32x1024_8 soc_top_u_dccm_SRAM1 (
-	.wmask0(soc_top_data_wmask),
-	.web0(soc_top_data_we),
-	.dout0(soc_top_u_dccm_dout_1),
-	.din0(soc_top_data_wdata),
-	.csb1(io_oeb[2]),
-	.csb0(FE_DBTN27_soc_top_u_dccm_n_65),
-	.clk1(io_oeb[4]),
-	.clk0(CTS_34),
-	.addr1({ io_oeb[4],
-		io_oeb[4],
-		io_oeb[4],
-		io_oeb[4],
-		io_oeb[4],
-		io_oeb[4],
-		io_oeb[4],
-		io_oeb[4],
-		io_oeb[4],
-		io_oeb[4] }),
-	.addr0({ soc_top_data_addr[9],
-		soc_top_data_addr[8],
-		soc_top_data_addr[7],
-		soc_top_data_addr[6],
-		soc_top_data_addr[5],
-		soc_top_data_addr[4],
-		soc_top_data_addr[3],
-		soc_top_data_addr[2],
-		soc_top_data_addr[1],
-		soc_top_data_addr[0] }), 
-	.vssd1(vssd1), 
-	.vccd1(vccd1));
-   sky130_sram_4kbyte_1rw1r_32x1024_8 soc_top_u_dccm_SRAM2 (
-	.wmask0(soc_top_data_wmask),
-	.web0(soc_top_data_we),
-	.dout0(soc_top_u_dccm_dout_2),
-	.din0(soc_top_data_wdata),
-	.csb1(io_oeb[2]),
-	.csb0(soc_top_u_dccm_n_65),
-	.clk1(io_oeb[4]),
-	.clk0(CTS_45),
-	.addr1({ io_oeb[4],
-		io_oeb[4],
-		io_oeb[4],
-		io_oeb[4],
-		io_oeb[4],
-		io_oeb[4],
-		io_oeb[4],
-		io_oeb[4],
-		io_oeb[4],
-		io_oeb[4] }),
-	.addr0({ soc_top_data_addr[9],
-		soc_top_data_addr[8],
-		soc_top_data_addr[7],
-		soc_top_data_addr[6],
-		soc_top_data_addr[5],
-		soc_top_data_addr[4],
-		soc_top_data_addr[3],
-		soc_top_data_addr[2],
-		soc_top_data_addr[1],
-		soc_top_data_addr[0] }), 
-	.vssd1(vssd1), 
-	.vccd1(vccd1));
-   sky130_sram_4kbyte_1rw1r_32x1024_8 soc_top_u_iccm_SRAM1 (
-	.wmask0({ n_18187,
-		n_18187,
-		n_18187,
-		n_18187 }),
-	.web0(soc_top_instr_we),
-	.dout0(soc_top_u_iccm_dout_1),
-	.din0(soc_top_instr_wdata),
-	.csb1(io_oeb[2]),
-	.csb0(soc_top_instr_addr[10]),
-	.clk1(io_oeb[4]),
-	.clk0(CTS_113),
-	.addr1({ io_oeb[4],
-		io_oeb[4],
-		io_oeb[4],
-		io_oeb[4],
-		io_oeb[4],
-		io_oeb[4],
-		io_oeb[4],
-		io_oeb[4],
-		io_oeb[4],
-		io_oeb[4] }),
-	.addr0({ soc_top_instr_addr[9],
-		soc_top_instr_addr[8],
-		soc_top_instr_addr[7],
-		soc_top_instr_addr[6],
-		soc_top_instr_addr[5],
-		soc_top_instr_addr[4],
-		soc_top_instr_addr[3],
-		soc_top_instr_addr[2],
-		soc_top_instr_addr[1],
-		soc_top_instr_addr[0] }), 
-	.vssd1(vssd1), 
-	.vccd1(vccd1));
-   sky130_sram_4kbyte_1rw1r_32x1024_8 soc_top_u_iccm_SRAM2 (
-	.wmask0({ n_18187,
-		n_18187,
-		n_18187,
-		n_18187 }),
-	.web0(soc_top_instr_we),
-	.dout0(soc_top_u_iccm_dout_2),
-	.din0(soc_top_instr_wdata),
-	.csb1(io_oeb[2]),
-	.csb0(n_14847),
-	.clk1(io_oeb[4]),
-	.clk0(CTS_76),
-	.addr1({ io_oeb[4],
-		io_oeb[4],
-		io_oeb[4],
-		io_oeb[4],
-		io_oeb[4],
-		io_oeb[4],
-		io_oeb[4],
-		io_oeb[4],
-		io_oeb[4],
-		io_oeb[4] }),
-	.addr0({ soc_top_instr_addr[9],
-		soc_top_instr_addr[8],
-		soc_top_instr_addr[7],
-		soc_top_instr_addr[6],
-		soc_top_instr_addr[5],
-		soc_top_instr_addr[4],
-		soc_top_instr_addr[3],
-		soc_top_instr_addr[2],
-		soc_top_instr_addr[1],
-		soc_top_instr_addr[0] }), 
-	.vssd1(vssd1), 
-	.vccd1(vccd1));
-   sky130_fd_sc_hd__inv_1 g301190 (
-	.A(n_15241),
-	.Y(n_14853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g301186 (
-	.A(soc_top_instr_addr[10]),
-	.Y(n_14847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g301189 (
-	.A(n_14989),
-	.Y(n_14844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g301206 (
-	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[1]),
-	.Y(n_14832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302051 (
-	.A(n_14817),
-	.B(n_14818),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g302052 (
-	.A1_N(n_14728),
-	.A2_N(FE_DBTN1_n_14791),
-	.B1(n_14765),
-	.B2(FE_DBTN1_n_14791),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [49]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302053 (
-	.A1(n_14786),
-	.A2(FE_DBTN1_n_14791),
-	.B1(n_14809),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [47]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302054 (
-	.A1(n_18164),
-	.A2(n_14791),
-	.B1(n_14824),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [44]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302055 (
-	.A1(n_18173),
-	.A2(n_14791),
-	.B1(n_14827),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [38]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302056 (
-	.A1(n_18163),
-	.A2(n_14791),
-	.B1(n_14826),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302057 (
-	.A1(n_18175),
-	.A2(n_14791),
-	.B1(n_14825),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302058 (
-	.A1(n_18168),
-	.A2(n_14791),
-	.B1(n_14823),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [37]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302059 (
-	.A1(n_18156),
-	.A2(n_14791),
-	.B1(n_14822),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302060 (
-	.A1(n_18159),
-	.A2(n_14791),
-	.B1(n_14814),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [43]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302061 (
-	.A(n_14816),
-	.B(n_14819),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [36]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302062 (
-	.A(n_14829),
-	.B(n_14821),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g302063 (
-	.A1(n_18172),
-	.A2(n_14791),
-	.B1(n_14815),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [50]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302064 (
-	.A1(FE_DBTN1_n_14791),
-	.A2(n_16375),
-	.B1(n_14791),
-	.B2(n_16450),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [35]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302065 (
-	.A1(FE_DBTN1_n_14791),
-	.A2(n_16360),
-	.B1(n_14791),
-	.B2(n_16435),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302066 (
-	.A1(FE_DBTN1_n_14791),
-	.A2(n_16359),
-	.B1(n_14791),
-	.B2(n_16434),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302067 (
-	.A1(n_18170),
-	.A2(n_14791),
-	.B1(n_14808),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [42]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302068 (
-	.A1(n_18165),
-	.A2(n_14791),
-	.B1(n_14812),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [34]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302069 (
-	.A1(n_14776),
-	.A2(FE_DBTN1_n_14791),
-	.B1(n_14813),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302070 (
-	.A1(n_14766),
-	.A2(FE_DBTN1_n_14791),
-	.B1(n_14811),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302071 (
-	.A1(n_14767),
-	.A2(FE_DBTN1_n_14791),
-	.B1(n_14810),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302072 (
-	.A1(n_18169),
-	.A2(n_14791),
-	.B1(n_14807),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [33]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g302073 (
-	.A1_N(n_16355),
-	.A2_N(FE_DBTN1_n_14791),
-	.B1(n_14774),
-	.B2(FE_DBTN1_n_14791),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302074 (
-	.A1(n_18160),
-	.A2(n_14791),
-	.B1(n_14805),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [32]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302075 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_39 ),
-	.A2(FE_DBTN1_n_14791),
-	.B1(n_14806),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302076 (
-	.A1(n_14769),
-	.A2(FE_DBTN1_n_14791),
-	.B1(n_14795),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g302077 (
-	.A1(n_18157),
-	.A2(n_14791),
-	.B1(n_14792),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [48]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302079 (
-	.A1(n_18167),
-	.A2(n_14791),
-	.B1(n_14801),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [41]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g302080 (
-	.A1_N(n_16371),
-	.A2_N(FE_DBTN1_n_14791),
-	.B1(n_14771),
-	.B2(FE_DBTN1_n_14791),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302081 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_40 ),
-	.A2(FE_DBTN1_n_14791),
-	.B1(n_14802),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302082 (
-	.A1(n_14762),
-	.A2(FE_DBTN1_n_14791),
-	.B1(n_14800),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302083 (
-	.A1(n_18162),
-	.A2(n_14791),
-	.B1(n_14820),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302084 (
-	.A1(n_18158),
-	.A2(n_14791),
-	.B1(n_14797),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [40]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302086 (
-	.A1(n_18161),
-	.A2(n_14791),
-	.B1(n_14799),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302087 (
-	.A1(FE_DBTN1_n_14791),
-	.A2(n_16348),
-	.B1(n_14791),
-	.B2(n_16423),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302088 (
-	.A1(n_14756),
-	.A2(FE_DBTN1_n_14791),
-	.B1(n_14803),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302089 (
-	.A1(FE_DBTN1_n_14791),
-	.A2(n_16347),
-	.B1(n_14791),
-	.B2(n_16422),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302090 (
-	.A1(n_14782),
-	.A2(FE_DBTN1_n_14791),
-	.B1(n_14828),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [45]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302091 (
-	.A1(n_18174),
-	.A2(n_14791),
-	.B1(n_14793),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [39]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302092 (
-	.A1(FE_DBTN1_n_14791),
-	.A2(n_16368),
-	.B1(n_14791),
-	.B2(n_16443),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302093 (
-	.A(n_14794),
-	.B(n_14804),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302094 (
-	.A1(FE_DBTN1_n_14791),
-	.A2(n_16345),
-	.B1(n_14791),
-	.B2(n_16420),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302095 (
-	.A1(FE_DBTN1_n_14791),
-	.A2(n_16367),
-	.B1(n_14791),
-	.B2(n_16442),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302096 (
-	.A1(FE_DBTN1_n_14791),
-	.A2(n_16344),
-	.B1(n_14791),
-	.B2(n_16419),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302097 (
-	.A1(FE_DBTN1_n_14791),
-	.A2(n_16343),
-	.B1(n_14791),
-	.B2(n_18484),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302098 (
-	.A1(n_18171),
-	.A2(n_14791),
-	.B1(n_14796),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302099 (
-	.A1(FE_DBTN1_n_14791),
-	.A2(n_16342),
-	.B1(n_14791),
-	.B2(n_16417),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302100 (
-	.A1(FE_DBTN1_n_14791),
-	.A2(n_16341),
-	.B1(n_14791),
-	.B2(n_16416),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302101 (
-	.A(FE_DBTN1_n_14791),
-	.B(n_16362),
-	.Y(n_14829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302102 (
-	.A(FE_DBTN1_n_14791),
-	.B(n_16385),
-	.Y(n_14828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302103 (
-	.A(n_14791),
-	.B(n_16453),
-	.Y(n_14827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302104 (
-	.A(n_14791),
-	.B(n_16440),
-	.Y(n_14826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302105 (
-	.A(n_14791),
-	.B(n_16439),
-	.Y(n_14825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302106 (
-	.A(n_14791),
-	.B(n_16459),
-	.Y(n_14824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302107 (
-	.A(n_14791),
-	.B(n_16452),
-	.Y(n_14823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302108 (
-	.A(n_14791),
-	.B(n_16438),
-	.Y(n_14822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302109 (
-	.A(n_14791),
-	.B(n_16437),
-	.Y(n_14821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302110 (
-	.A(n_14791),
-	.B(n_16425),
-	.Y(n_14820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302111 (
-	.A(n_14791),
-	.B(n_16451),
-	.Y(n_14819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302112 (
-	.A(n_14791),
-	.B(n_16436),
-	.Y(n_14818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302113 (
-	.A(FE_DBTN1_n_14791),
-	.B(n_16361),
-	.Y(n_14817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302114 (
-	.A(FE_DBTN1_n_14791),
-	.B(n_16376),
-	.Y(n_14816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302115 (
-	.A(n_14791),
-	.B(n_16465),
-	.Y(n_14815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302116 (
-	.A(n_14791),
-	.B(n_16458),
-	.Y(n_14814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302117 (
-	.A(FE_DBTN1_n_14791),
-	.B(n_16358),
-	.Y(n_14813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302118 (
-	.A(n_14791),
-	.B(n_16449),
-	.Y(n_14812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302119 (
-	.A(FE_DBTN1_n_14791),
-	.B(n_16357),
-	.Y(n_14811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302120 (
-	.A(FE_DBTN1_n_14791),
-	.B(n_16356),
-	.Y(n_14810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302121 (
-	.A(FE_DBTN1_n_14791),
-	.B(n_16387),
-	.Y(n_14809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302122 (
-	.A(n_14791),
-	.B(n_16457),
-	.Y(n_14808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302123 (
-	.A(n_14791),
-	.B(n_16448),
-	.Y(n_14807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302124 (
-	.A(FE_DBTN1_n_14791),
-	.B(n_16354),
-	.Y(n_14806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302125 (
-	.A(n_14791),
-	.B(n_16447),
-	.Y(n_14805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302126 (
-	.A(n_14791),
-	.B(n_16421),
-	.Y(n_14804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302127 (
-	.A(FE_DBTN1_n_14791),
-	.B(n_16353),
-	.Y(n_14803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302128 (
-	.A(FE_DBTN1_n_14791),
-	.B(n_16352),
-	.Y(n_14802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302129 (
-	.A(n_14791),
-	.B(n_16456),
-	.Y(n_14801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302130 (
-	.A(FE_DBTN1_n_14791),
-	.B(n_16351),
-	.Y(n_14800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302131 (
-	.A(n_14791),
-	.B(n_16424),
-	.Y(n_14799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302134 (
-	.A(n_14791),
-	.B(n_16455),
-	.Y(n_14797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302135 (
-	.A(n_14791),
-	.B(n_16441),
-	.Y(n_14796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302136 (
-	.A_N(n_18166),
-	.B(FE_DBTN1_n_14791),
-	.Y(n_14795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302137 (
-	.A(FE_DBTN1_n_14791),
-	.B(n_16346),
-	.Y(n_14794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302138 (
-	.A(n_14791),
-	.B(n_16454),
-	.Y(n_14793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302139 (
-	.A(n_14791),
-	.B(n_18456),
-	.Y(n_14792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g302233 (
-	.A(n_14772),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_14791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g302236 (
-	.A(n_16429),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_39 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g302238 (
-	.A(n_16462),
-	.Y(n_14786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g302240 (
-	.A(n_16427),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_40 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g302242 (
-	.A(n_16460),
-	.Y(n_14782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g302248 (
-	.A(n_16433),
-	.Y(n_14776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g302250 (
-	.A(n_16430),
-	.Y(n_14774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g302252 (
-	.A(n_16491),
-	.Y(n_14772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g302253 (
-	.A(n_16446),
-	.Y(n_14771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g302256 (
-	.A(n_16444),
-	.Y(n_14769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g302258 (
-	.A(n_16431),
-	.Y(n_14767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g302259 (
-	.A(n_16432),
-	.Y(n_14766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g302260 (
-	.A(n_18454),
-	.Y(n_14765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g302263 (
-	.A(n_16426),
-	.Y(n_14762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g302269 (
-	.A(n_16428),
-	.Y(n_14756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g301470 (
-	.A(n_14724),
-	.B(n_15018),
-	.C(n_15049),
-	.D(n_311402_BAR),
-	.Y(soc_top_u_top_u_core_cs_registers_i_fflag_wdata[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g301471 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [24]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [25]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [26]),
-	.D(n_14723),
-	.X(n_14724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g301472 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [23]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [29]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [30]),
-	.D(n_14722),
-	.X(n_14723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g301473 (
-	.A(n_14721),
-	.B(n_314059_BAR),
-	.C(n_15049),
-	.D(n_15016),
-	.Y(soc_top_u_top_u_core_cs_registers_i_fflag_wdata[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g301474 (
-	.A(n_14720),
-	.B(n_15049),
-	.Y(soc_top_u_top_u_core_cs_registers_i_fflag_wdata[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g301475 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [27]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [28]),
-	.C(n_14718),
-	.X(n_14722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g301476 (
-	.A(n_15014),
-	.B(n_14719),
-	.Y(n_14721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4b_1 g301477 (
-	.A_N(n_319572_BAR),
-	.B(n_14718),
-	.C(n_319577_BAR),
-	.D(n_15012),
-	.X(n_14720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g301478 (
-	.A1(n_14716),
-	.A2(n_14603),
-	.B1(n_16968),
-	.Y(n_14719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g301479 (
-	.A1(n_15173),
-	.A2(n_14717),
-	.B1_N(n_16968),
-	.Y(n_14718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g301480 (
-	.A(n_14716),
-	.Y(n_14717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g301481 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [30]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [29]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [28]),
-	.D(n_14715),
-	.Y(n_14716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g301482 (
-	.A(n_14714),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [27]),
-	.Y(n_14715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g301483 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [26]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [25]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [23]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [24]),
-	.Y(n_14714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211o_1 g302428 (
-	.A1(n_14709),
-	.A2(n_15166),
-	.B1(n_16780),
-	.C1(n_14712),
-	.X(n_16746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g302429 (
-	.A(n_14686),
-	.B(n_14711),
-	.Y(n_14712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 g302430 (
-	.A1(n_15199),
-	.A2(n_15166),
-	.A3(n_14710),
-	.B1(n_16961),
-	.B2(n_16779),
-	.Y(n_14711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g302431 (
-	.A1(n_16781),
-	.A2(n_14709),
-	.B1(n_16966),
-	.X(n_14710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g302432 (
-	.A1(n_14950),
-	.A2(n_16961),
-	.B1(n_14951),
-	.X(n_14709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g302433 (
-	.A(n_16813),
-	.B(n_16779),
-	.C(n_14705),
-	.D(n_14951),
-	.X(n_14950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302434 (
-	.A(n_14708),
-	.B(n_14706),
-	.Y(n_14951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g302435 (
-	.A(n_16769),
-	.B(n_16768),
-	.C(n_16770),
-	.D(n_14707),
-	.Y(n_14708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g302436 (
-	.A(n_17565),
-	.B(n_17564),
-	.C(n_14692),
-	.D(n_14704),
-	.X(n_14707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g302437 (
-	.A(n_16758),
-	.B(n_17567),
-	.C(n_14694),
-	.D(n_14703),
-	.Y(n_14706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g302438 (
-	.A(n_16816),
-	.B(n_16815),
-	.C(n_16814),
-	.D(n_14695),
-	.X(n_14705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302439 (
-	.A(n_14700),
-	.B(n_15186),
-	.Y(n_16807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302440 (
-	.A(n_14699),
-	.B(n_15186),
-	.Y(n_16809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302441 (
-	.A(n_14698),
-	.B(n_15186),
-	.Y(n_16811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302442 (
-	.A(n_14701),
-	.B(n_15186),
-	.Y(n_16806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302443 (
-	.A(n_14696),
-	.B(n_15186),
-	.Y(n_16810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302444 (
-	.A(n_14697),
-	.B(n_15186),
-	.Y(n_16808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302445 (
-	.A(n_14702),
-	.B(n_15186),
-	.Y(n_16805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g302446 (
-	.A(n_14691),
-	.B(n_14690),
-	.C(n_14687),
-	.Y(n_14704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g302447 (
-	.A(n_16757),
-	.B(n_16747),
-	.C(n_16748),
-	.D(n_14693),
-	.X(n_14703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g302448 (
-	.A1(n_14689),
-	.A2(n_16947),
-	.B1(n_16838),
-	.B2(n_14464),
-	.Y(n_14702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g302449 (
-	.A1(n_14689),
-	.A2(n_16948),
-	.B1(n_16839),
-	.B2(n_14464),
-	.Y(n_14701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g302450 (
-	.A1(n_14689),
-	.A2(n_16949),
-	.B1(n_16840),
-	.B2(n_14464),
-	.Y(n_14700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g302451 (
-	.A1(n_14689),
-	.A2(n_16951),
-	.B1(n_16842),
-	.B2(n_14464),
-	.Y(n_14699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g302452 (
-	.A1(n_14689),
-	.A2(n_16953),
-	.B1(n_16844),
-	.B2(n_14464),
-	.Y(n_14698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g302453 (
-	.A1(n_14689),
-	.A2(n_16950),
-	.B1(n_16841),
-	.B2(n_14464),
-	.Y(n_14697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g302454 (
-	.A1_N(n_14464),
-	.A2_N(n_16837),
-	.B1(n_16954),
-	.B2(n_14688),
-	.Y(n_16804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g302455 (
-	.A1(n_14689),
-	.A2(n_16952),
-	.B1(n_16843),
-	.B2(n_14464),
-	.Y(n_14696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302456 (
-	.A1(n_16826),
-	.A2(n_14464),
-	.B1(n_16834),
-	.B2(n_16961),
-	.X(n_16793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302457 (
-	.A1(n_16816),
-	.A2(n_14464),
-	.B1(n_16825),
-	.B2(n_16961),
-	.X(n_16784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302458 (
-	.A1(n_16833),
-	.A2(n_14464),
-	.B1(n_16841),
-	.B2(n_16961),
-	.X(n_16800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302459 (
-	.A1(n_16815),
-	.A2(n_14464),
-	.B1(n_16824),
-	.B2(n_16961),
-	.X(n_16783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302460 (
-	.A1(n_16832),
-	.A2(n_14464),
-	.B1(n_16840),
-	.B2(n_16961),
-	.X(n_16799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302461 (
-	.A1(n_16814),
-	.A2(n_14464),
-	.B1(n_16823),
-	.B2(n_16961),
-	.X(n_16782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g302462 (
-	.A(n_16819),
-	.B(n_16818),
-	.C(n_16817),
-	.X(n_14695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302463 (
-	.A1(n_16831),
-	.A2(n_14464),
-	.B1(n_16839),
-	.B2(n_16961),
-	.X(n_16798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302464 (
-	.A1(n_16836),
-	.A2(n_14464),
-	.B1(n_16844),
-	.B2(n_16961),
-	.X(n_16803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302465 (
-	.A1(n_16830),
-	.A2(n_14464),
-	.B1(n_16838),
-	.B2(n_16961),
-	.X(n_16797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302466 (
-	.A1(n_16829),
-	.A2(n_14464),
-	.B1(n_16837),
-	.B2(n_16961),
-	.X(n_16796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302467 (
-	.A1(n_16828),
-	.A2(n_14464),
-	.B1(n_16836),
-	.B2(n_16961),
-	.X(n_16795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302468 (
-	.A1(n_16827),
-	.A2(n_14464),
-	.B1(n_16835),
-	.B2(n_16961),
-	.X(n_16794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302469 (
-	.A1(n_16813),
-	.A2(n_14464),
-	.B1(n_16822),
-	.B2(n_16961),
-	.X(n_16781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302470 (
-	.A1(n_16818),
-	.A2(n_14464),
-	.B1(n_16827),
-	.B2(n_16961),
-	.X(n_16786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302471 (
-	.A1(n_16824),
-	.A2(n_14464),
-	.B1(n_16832),
-	.B2(n_16961),
-	.X(n_16791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302472 (
-	.A1(n_16823),
-	.A2(n_14464),
-	.B1(n_16831),
-	.B2(n_16961),
-	.X(n_16790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302473 (
-	.A1(n_16822),
-	.A2(n_14464),
-	.B1(n_16830),
-	.B2(n_16961),
-	.X(n_16789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302474 (
-	.A1(n_16835),
-	.A2(n_14464),
-	.B1(n_16843),
-	.B2(n_16961),
-	.X(n_16802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302475 (
-	.A1(n_16820),
-	.A2(n_14464),
-	.B1(n_16829),
-	.B2(n_16961),
-	.X(n_16788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302476 (
-	.A1(n_16819),
-	.A2(n_14464),
-	.B1(n_16828),
-	.B2(n_16961),
-	.X(n_16787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302477 (
-	.A1(n_16825),
-	.A2(n_14464),
-	.B1(n_16833),
-	.B2(n_16961),
-	.X(n_16792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302478 (
-	.A1(n_16834),
-	.A2(n_14464),
-	.B1(n_16842),
-	.B2(n_16961),
-	.X(n_16801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302479 (
-	.A1(n_16817),
-	.A2(n_14464),
-	.B1(n_16826),
-	.B2(n_16961),
-	.X(n_16785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g302480 (
-	.A(n_16756),
-	.B(n_17573),
-	.C(n_16752),
-	.D(n_16753),
-	.X(n_14694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g302481 (
-	.A(n_16755),
-	.B(n_16749),
-	.C(n_16750),
-	.D(n_16754),
-	.X(n_14693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g302482 (
-	.A(n_17568),
-	.B(n_17566),
-	.C(n_16765),
-	.D(n_16766),
-	.X(n_14692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g302483 (
-	.A(n_16773),
-	.B(n_17571),
-	.C(n_17572),
-	.D(n_16774),
-	.Y(n_14691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g302484 (
-	.A(n_17569),
-	.B(n_17570),
-	.C(n_16763),
-	.D(n_16764),
-	.Y(n_14690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g302485 (
-	.A(n_14689),
-	.Y(n_14688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g302487 (
-	.A(n_16946),
-	.B(n_14953),
-	.Y(n_14689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g302488 (
-	.A(n_16771),
-	.B(n_16772),
-	.Y(n_14687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g302489 (
-	.A(n_14464),
-	.B(n_16820),
-	.Y(n_14686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g302490 (
-	.A(n_16961),
-	.Y(n_14464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_4 g302299 (
-	.A(n_267275_BAR),
-	.B(n_14676),
-	.C(n_14682),
-	.X(n_16300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_8 g302300 (
-	.A(n_14684),
-	.B(n_14679),
-	.Y(n_16298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211o_2 g302301 (
-	.A1(n_14677),
-	.A2(n_16305),
-	.B1(n_267279_BAR),
-	.C1(n_14674),
-	.X(n_16301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 g302302 (
-	.A1(n_14610),
-	.A2(n_14642),
-	.A3(n_16306),
-	.B1(n_24420_BAR),
-	.B2(n_14678),
-	.Y(n_16303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_4 g302303 (
-	.A1(n_14677),
-	.A2(n_16304),
-	.B1(n_18238),
-	.X(n_16299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_8 g302304 (
-	.A(n_14683),
-	.B(n_14681),
-	.Y(n_16297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g302305 (
-	.A1(n_14649),
-	.A2(n_16306),
-	.B1(n_24421_BAR),
-	.B2(n_14678),
-	.Y(n_16302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302306 (
-	.A_N(n_16569),
-	.B(n_14677),
-	.Y(n_14684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302307 (
-	.A(n_14677),
-	.B(n_16568),
-	.Y(n_14683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g302308 (
-	.A(n_24423_BAR),
-	.B(n_14678),
-	.Y(n_14682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g302309 (
-	.A1(n_14494),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [0]),
-	.B1(n_267261_BAR),
-	.Y(n_14681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g302311 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [1]),
-	.A2(n_16306),
-	.B1(n_15164),
-	.X(n_14679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g302312 (
-	.A(n_14678),
-	.Y(n_14677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g302313 (
-	.A(n_14638),
-	.B(n_16306),
-	.Y(n_14676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302314 (
-	.A(n_16306),
-	.B(n_16315),
-	.Y(n_14678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g302316 (
-	.A(n_14644),
-	.B(n_16306),
-	.Y(n_14674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211o_4 g302318 (
-	.A1(n_14671),
-	.A2(n_14670),
-	.B1(n_14613),
-	.C1(n_14672),
-	.X(n_16306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g302319 (
-	.A(n_14670),
-	.B(n_14671),
-	.Y(n_14672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g302320 (
-	.A(n_14666),
-	.B(n_15193),
-	.Y(n_14671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302321 (
-	.A(n_14668),
-	.B(n_17403),
-	.Y(n_15193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g302322 (
-	.A(n_16572),
-	.B(n_14665),
-	.Y(n_14670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g302327 (
-	.A(n_14667),
-	.Y(n_14668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302328 (
-	.A(n_14664),
-	.B(n_14663),
-	.Y(n_14667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g302330 (
-	.A1(n_14661),
-	.A2(n_16571),
-	.B1(n_14665),
-	.Y(n_14666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g302331 (
-	.A(n_16571),
-	.B(n_14661),
-	.Y(n_14665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302332 (
-	.A_N(n_14662),
-	.B(n_14660),
-	.Y(n_14664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g302333 (
-	.A(n_14663),
-	.Y(n_15167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g302334 (
-	.A(n_6911),
-	.B(n_18536),
-	.Y(n_14662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g302335 (
-	.A(n_15238),
-	.B(n_18535),
-	.Y(n_14663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302336 (
-	.A(n_18536),
-	.B(n_15239),
-	.Y(n_14661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302337 (
-	.A(n_18536),
-	.B(n_6911),
-	.Y(n_14660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g302338 (
-	.A(n_18535),
-	.Y(n_16311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302342 (
-	.A(n_14653),
-	.B(n_16310),
-	.Y(n_15238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302345 (
-	.A(n_14651),
-	.B(n_14618),
-	.Y(n_14654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g302346 (
-	.A1(n_14650),
-	.A2(n_14627),
-	.B1(n_14652),
-	.X(n_16310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g302348 (
-	.A(n_17404),
-	.B(n_15240),
-	.Y(n_14653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g302349 (
-	.A(n_14627),
-	.B(n_14650),
-	.Y(n_14652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302350 (
-	.A(n_14647),
-	.B(n_14615),
-	.Y(n_14651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g302352 (
-	.A(n_14647),
-	.Y(n_14650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g302353 (
-	.A(n_14610),
-	.B(n_14642),
-	.Y(n_14649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302355 (
-	.A(n_14646),
-	.B(n_14619),
-	.Y(n_14647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302356 (
-	.A(n_14640),
-	.B(n_16308),
-	.Y(n_15240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302357 (
-	.A(n_14643),
-	.B(n_14625),
-	.Y(n_14646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g302359 (
-	.A1(n_14636),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [4]),
-	.B1(n_14642),
-	.Y(n_14644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302360 (
-	.A1(n_14629),
-	.A2(n_14637),
-	.B1(n_14639),
-	.Y(n_16308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302361 (
-	.A(n_14641),
-	.B(n_14623),
-	.Y(n_14643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302362 (
-	.A(n_14637),
-	.B(n_14622),
-	.Y(n_14641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g302363 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [4]),
-	.B(n_14636),
-	.Y(n_14642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g302365 (
-	.A(n_15192),
-	.Y(n_14640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302366 (
-	.A(n_14637),
-	.B(n_14629),
-	.Y(n_14639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302367 (
-	.A(n_17405),
-	.B(n_14631),
-	.Y(n_15192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g302368 (
-	.A1(n_14633),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [3]),
-	.B1(n_14635),
-	.Y(n_14638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 g302370 (
-	.A1(n_14617),
-	.A2(n_14634),
-	.B1(n_14621),
-	.Y(n_14637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g302371 (
-	.A(n_14635),
-	.Y(n_14636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g302372 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [3]),
-	.B(n_14633),
-	.Y(n_14635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g302373 (
-	.A(n_14631),
-	.Y(n_16294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g302374 (
-	.A(n_14614),
-	.Y(n_14634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g302375 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [2]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [1]),
-	.COUT(n_14633),
-	.SUM(n_14632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302376 (
-	.A1(n_16415),
-	.A2(FE_DBTN1_n_14791),
-	.B1(n_14791),
-	.B2(n_16490),
-	.X(n_16340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302377 (
-	.A1(n_16408),
-	.A2(FE_DBTN1_n_14791),
-	.B1(n_14791),
-	.B2(n_17479),
-	.X(n_16333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302378 (
-	.A1(n_16409),
-	.A2(FE_DBTN1_n_14791),
-	.B1(n_14791),
-	.B2(n_17478),
-	.X(n_16334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302379 (
-	.A1(n_16410),
-	.A2(FE_DBTN1_n_14791),
-	.B1(n_14791),
-	.B2(n_17477),
-	.X(n_16335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302380 (
-	.A1(n_16411),
-	.A2(FE_DBTN1_n_14791),
-	.B1(n_14791),
-	.B2(n_17476),
-	.X(n_16336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302381 (
-	.A1(n_16412),
-	.A2(FE_DBTN1_n_14791),
-	.B1(n_14791),
-	.B2(n_17475),
-	.X(n_16337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302382 (
-	.A1(FE_DBTN1_n_14791),
-	.A2(n_16392),
-	.B1(n_14791),
-	.B2(n_16467),
-	.X(n_16317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302383 (
-	.A1(n_16413),
-	.A2(FE_DBTN1_n_14791),
-	.B1(n_14791),
-	.B2(n_17474),
-	.X(n_16338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302384 (
-	.A1(n_16414),
-	.A2(FE_DBTN1_n_14791),
-	.B1(n_14791),
-	.B2(n_16489),
-	.X(n_16339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302386 (
-	.A1(n_14612),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [0]),
-	.B1(n_14614),
-	.Y(n_14631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302387 (
-	.A(n_14621),
-	.B(n_14616),
-	.Y(n_14630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302388 (
-	.A(n_14623),
-	.B(n_14622),
-	.Y(n_14629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g302389 (
-	.A(n_14619),
-	.B(n_14625),
-	.X(n_14628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g302390 (
-	.A(n_14618),
-	.B(n_14615),
-	.X(n_14627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302391 (
-	.A1(FE_DBTN1_n_14791),
-	.A2(n_16391),
-	.B1(n_14791),
-	.B2(n_16466),
-	.X(n_16316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302392 (
-	.A1(n_16406),
-	.A2(FE_DBTN1_n_14791),
-	.B1(n_14791),
-	.B2(n_17481),
-	.X(n_16331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302393 (
-	.A1(n_16405),
-	.A2(FE_DBTN1_n_14791),
-	.B1(n_14791),
-	.B2(n_17482),
-	.X(n_16330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302394 (
-	.A1(n_16404),
-	.A2(FE_DBTN1_n_14791),
-	.B1(n_14791),
-	.B2(n_17483),
-	.X(n_16329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302395 (
-	.A1(n_16403),
-	.A2(FE_DBTN1_n_14791),
-	.B1(n_14791),
-	.B2(n_17484),
-	.X(n_16328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302396 (
-	.A1(FE_DBTN1_n_14791),
-	.A2(n_16402),
-	.B1(n_14791),
-	.B2(n_17485),
-	.X(n_16327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302397 (
-	.A1(FE_DBTN1_n_14791),
-	.A2(n_16401),
-	.B1(n_14791),
-	.B2(n_16476),
-	.X(n_16326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302398 (
-	.A1(FE_DBTN1_n_14791),
-	.A2(n_16400),
-	.B1(n_14791),
-	.B2(n_16475),
-	.X(n_16325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302399 (
-	.A1(FE_DBTN1_n_14791),
-	.A2(n_16399),
-	.B1(n_14791),
-	.B2(n_16474),
-	.X(n_16324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302400 (
-	.A1(FE_DBTN1_n_14791),
-	.A2(n_16398),
-	.B1(n_14791),
-	.B2(n_16473),
-	.X(n_16323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302401 (
-	.A1(FE_DBTN1_n_14791),
-	.A2(n_16397),
-	.B1(n_14791),
-	.B2(n_16472),
-	.X(n_16322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302402 (
-	.A1(FE_DBTN1_n_14791),
-	.A2(n_16396),
-	.B1(n_14791),
-	.B2(n_16471),
-	.X(n_16321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302403 (
-	.A1(FE_DBTN1_n_14791),
-	.A2(n_16395),
-	.B1(n_14791),
-	.B2(n_16470),
-	.X(n_16320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302404 (
-	.A1(FE_DBTN1_n_14791),
-	.A2(n_16394),
-	.B1(n_14791),
-	.B2(n_16469),
-	.X(n_16319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302405 (
-	.A1(FE_DBTN1_n_14791),
-	.A2(n_16393),
-	.B1(n_14791),
-	.B2(n_16468),
-	.X(n_16318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302406 (
-	.A1(n_16407),
-	.A2(FE_DBTN1_n_14791),
-	.B1(n_14791),
-	.B2(n_17480),
-	.X(n_16332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302407 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [3]),
-	.B(n_15182),
-	.Y(n_14625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g302409 (
-	.A(n_15118),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [2]),
-	.X(n_14623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302410 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [2]),
-	.B(n_15118),
-	.Y(n_14622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g302411 (
-	.A(n_14609),
-	.B(n_16569),
-	.Y(n_14621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g302413 (
-	.A(n_14616),
-	.Y(n_14617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302414 (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_lzc_zeroes ),
-	.B(n_16315),
-	.Y(n_14613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 g302415 (
-	.A(n_15182),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [3]),
-	.X(n_14619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 g302416 (
-	.A(n_15117),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [4]),
-	.X(n_14618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302417 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [1]),
-	.B(n_8169),
-	.Y(n_14616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302418 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [4]),
-	.B(n_15117),
-	.Y(n_14615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g302419 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [0]),
-	.B(n_14612),
-	.Y(n_14614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g302420 (
-	.A(n_16568),
-	.Y(n_14612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g302421 (
-	.A(n_16569),
-	.Y(n_8169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g302422 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [5]),
-	.Y(n_14610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g302423 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [1]),
-	.Y(n_14609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g304023 (
-	.A1(n_15173),
-	.A2(n_15171),
-	.B1(n_14607),
-	.B2(n_16237),
-	.C1(n_16780),
-	.X(n_16236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g304024 (
-	.A_N(n_15199),
-	.B(n_14606),
-	.Y(n_14607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g304025 (
-	.A1(n_16266),
-	.A2(n_17406),
-	.B1(n_16966),
-	.Y(n_14606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 g304026 (
-	.A(n_16237),
-	.B(n_17406),
-	.X(n_15173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g304028 (
-	.A(n_16184),
-	.B(n_16194),
-	.C(n_16195),
-	.D(n_14604),
-	.Y(n_14605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304029 (
-	.A(n_14603),
-	.B(n_14572),
-	.Y(n_16255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304030 (
-	.A(n_14603),
-	.B(n_14588),
-	.Y(n_16246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304031 (
-	.A(n_14603),
-	.B(n_14587),
-	.Y(n_16245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304032 (
-	.A(n_14603),
-	.B(n_14586),
-	.Y(n_16244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304033 (
-	.A(n_14603),
-	.B(n_14585),
-	.Y(n_16243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304034 (
-	.A(n_14603),
-	.B(n_14584),
-	.Y(n_16242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304035 (
-	.A(n_14603),
-	.B(n_14583),
-	.Y(n_16241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304036 (
-	.A(n_14603),
-	.B(n_14582),
-	.Y(n_16240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304037 (
-	.A(n_14603),
-	.B(n_14581),
-	.Y(n_16239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304038 (
-	.A(n_14603),
-	.B(n_14575),
-	.Y(n_16258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304039 (
-	.A(n_14603),
-	.B(n_14574),
-	.Y(n_16257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304040 (
-	.A(n_14603),
-	.B(n_14580),
-	.Y(n_16268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304041 (
-	.A(n_14603),
-	.B(n_14589),
-	.Y(n_16247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304042 (
-	.A(n_14603),
-	.B(n_14579),
-	.Y(n_16267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304043 (
-	.A(n_14603),
-	.B(n_14578),
-	.Y(n_16266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304044 (
-	.A(n_14603),
-	.B(n_14576),
-	.Y(n_16237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304045 (
-	.A(n_14603),
-	.B(n_17407),
-	.Y(n_15241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304046 (
-	.A(n_14603),
-	.B(n_14570),
-	.Y(n_16253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304047 (
-	.A(n_14603),
-	.B(n_14568),
-	.Y(n_16251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304048 (
-	.A(n_14603),
-	.B(n_14573),
-	.Y(n_16256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304049 (
-	.A(n_14603),
-	.B(n_14569),
-	.Y(n_16252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304050 (
-	.A(n_14603),
-	.B(n_14567),
-	.Y(n_16250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304051 (
-	.A(n_14603),
-	.B(n_14566),
-	.Y(n_16249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304052 (
-	.A(n_14603),
-	.B(n_14565),
-	.Y(n_16248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304053 (
-	.A(n_14603),
-	.B(n_14596),
-	.Y(n_16263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304054 (
-	.A(n_14603),
-	.B(n_14595),
-	.Y(n_16261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304055 (
-	.A(n_14603),
-	.B(n_14577),
-	.Y(n_16259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304056 (
-	.A(n_14603),
-	.B(n_14592),
-	.Y(n_16264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304057 (
-	.A(n_14603),
-	.B(n_14593),
-	.Y(n_16262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304058 (
-	.A(n_14603),
-	.B(n_14597),
-	.Y(n_16265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304059 (
-	.A(n_14603),
-	.B(n_14591),
-	.Y(n_16260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304060 (
-	.A(n_14603),
-	.B(n_14571),
-	.Y(n_16254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g304061 (
-	.A(n_14601),
-	.B(n_14530),
-	.C(n_17411),
-	.D(n_17412),
-	.Y(n_14604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o311a_1 g304063 (
-	.A1(n_14521),
-	.A2(n_14549),
-	.A3(n_14493),
-	.B1(n_14562),
-	.C1(n_14602),
-	.X(n_14603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g304064 (
-	.A_N(n_14597),
-	.B(n_14599),
-	.C(n_14600),
-	.D(n_17407),
-	.Y(n_14602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g304065 (
-	.A(n_15100),
-	.B(n_16167),
-	.C(n_14514),
-	.D(n_14598),
-	.Y(n_14601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g304066 (
-	.A(n_14592),
-	.B(n_14596),
-	.C(n_14593),
-	.Y(n_14600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g304067 (
-	.A(n_14577),
-	.B(n_14595),
-	.C(n_14591),
-	.Y(n_14599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g304068 (
-	.A(n_16206),
-	.B(n_16204),
-	.C(n_16207),
-	.D(n_14594),
-	.X(n_14598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g304069 (
-	.A1(n_14590),
-	.A2(n_14520),
-	.B1(n_14550),
-	.Y(n_14597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g304070 (
-	.A(n_14557),
-	.B(n_14513),
-	.C(n_14500),
-	.Y(n_14594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g304071 (
-	.A1(n_14564),
-	.A2(n_14517),
-	.B1(n_14556),
-	.Y(n_14596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g304072 (
-	.A1(n_14563),
-	.A2(n_14519),
-	.B1(n_14560),
-	.Y(n_14595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g304073 (
-	.A1(n_14540),
-	.A2(n_14551),
-	.B1(n_16296),
-	.B2(n_14539),
-	.C1(n_14558),
-	.C2(n_14525),
-	.Y(n_14593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g304074 (
-	.A1(n_14548),
-	.A2(n_14551),
-	.B1(n_16296),
-	.B2(n_14546),
-	.C1(n_14555),
-	.C2(n_14524),
-	.Y(n_14592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g304075 (
-	.A1(n_14532),
-	.A2(n_14551),
-	.B1(n_16296),
-	.B2(n_14531),
-	.C1(n_14561),
-	.C2(n_14516),
-	.Y(n_14591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g304076 (
-	.A1(n_14548),
-	.A2(n_15242),
-	.B1(n_14547),
-	.B2(n_14493),
-	.C1(n_14497),
-	.Y(n_14590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g304077 (
-	.A1(n_16282),
-	.A2(n_14552),
-	.B1(n_16296),
-	.B2(n_16283),
-	.C1(n_14551),
-	.C2(n_16281),
-	.Y(n_14589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g304078 (
-	.A1(n_16281),
-	.A2(n_14552),
-	.B1(n_16296),
-	.B2(n_16282),
-	.C1(n_14551),
-	.C2(n_16280),
-	.Y(n_14588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g304079 (
-	.A1(n_16280),
-	.A2(n_14552),
-	.B1(n_16296),
-	.B2(n_16281),
-	.C1(n_14551),
-	.C2(n_16279),
-	.Y(n_14587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g304080 (
-	.A1(n_16279),
-	.A2(n_14552),
-	.B1(n_16296),
-	.B2(n_16280),
-	.C1(n_14551),
-	.C2(n_16278),
-	.Y(n_14586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g304081 (
-	.A1(n_16278),
-	.A2(n_14552),
-	.B1(n_16296),
-	.B2(n_16279),
-	.C1(n_14551),
-	.C2(n_16277),
-	.Y(n_14585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g304082 (
-	.A1(n_16277),
-	.A2(n_14552),
-	.B1(n_16296),
-	.B2(n_16278),
-	.C1(n_14551),
-	.C2(n_16276),
-	.Y(n_14584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g304083 (
-	.A1(n_16276),
-	.A2(n_14552),
-	.B1(n_16296),
-	.B2(n_16277),
-	.C1(n_14551),
-	.C2(n_16275),
-	.Y(n_14583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g304084 (
-	.A1(n_16275),
-	.A2(n_14552),
-	.B1(n_16296),
-	.B2(n_16276),
-	.C1(n_14551),
-	.C2(n_16274),
-	.Y(n_14582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g304085 (
-	.A1(n_16274),
-	.A2(n_14552),
-	.B1(n_16296),
-	.B2(n_16275),
-	.C1(n_14551),
-	.C2(n_16273),
-	.Y(n_14581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g304086 (
-	.A1(n_16273),
-	.A2(n_14552),
-	.B1(n_16296),
-	.B2(n_16274),
-	.C1(n_14551),
-	.C2(n_16272),
-	.Y(n_14580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g304087 (
-	.A1(n_16272),
-	.A2(n_14552),
-	.B1(n_16296),
-	.B2(n_16273),
-	.C1(n_14551),
-	.C2(n_16271),
-	.Y(n_14579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g304088 (
-	.A1(n_16271),
-	.A2(n_14552),
-	.B1(n_16296),
-	.B2(n_16272),
-	.C1(n_14551),
-	.C2(n_16270),
-	.Y(n_14578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g304089 (
-	.A1(n_16270),
-	.A2(n_14552),
-	.B1(n_16296),
-	.B2(n_16271),
-	.C1(n_14551),
-	.C2(n_16269),
-	.Y(n_14576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g304090 (
-	.A1(n_16293),
-	.A2(n_14552),
-	.B1(n_16296),
-	.B2(n_16295),
-	.C1(n_14551),
-	.C2(n_16292),
-	.Y(n_14575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g304091 (
-	.A1(n_16292),
-	.A2(n_14552),
-	.B1(n_16296),
-	.B2(n_16293),
-	.C1(n_14551),
-	.C2(n_16291),
-	.Y(n_14574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g304092 (
-	.A1(n_16291),
-	.A2(n_14552),
-	.B1(n_16296),
-	.B2(n_16292),
-	.C1(n_14551),
-	.C2(n_16290),
-	.Y(n_14573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g304093 (
-	.A1(n_16290),
-	.A2(n_14552),
-	.B1(n_16296),
-	.B2(n_16291),
-	.C1(n_14551),
-	.C2(n_16289),
-	.Y(n_14572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g304094 (
-	.A1(n_16289),
-	.A2(n_14552),
-	.B1(n_16296),
-	.B2(n_16290),
-	.C1(n_14551),
-	.C2(n_16288),
-	.Y(n_14571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g304095 (
-	.A1(n_16288),
-	.A2(n_14552),
-	.B1(n_16296),
-	.B2(n_16289),
-	.C1(n_14551),
-	.C2(n_16287),
-	.Y(n_14570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g304096 (
-	.A1(n_16287),
-	.A2(n_14552),
-	.B1(n_16296),
-	.B2(n_16288),
-	.C1(n_14551),
-	.C2(n_16286),
-	.Y(n_14569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g304097 (
-	.A1(n_16286),
-	.A2(n_14552),
-	.B1(n_16296),
-	.B2(n_16287),
-	.C1(n_14551),
-	.C2(n_16285),
-	.Y(n_14568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g304098 (
-	.A1(n_16285),
-	.A2(n_14552),
-	.B1(n_16296),
-	.B2(n_16286),
-	.C1(n_14551),
-	.C2(n_16284),
-	.Y(n_14567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g304099 (
-	.A1(n_16284),
-	.A2(n_14552),
-	.B1(n_16296),
-	.B2(n_16285),
-	.C1(n_14551),
-	.C2(n_16283),
-	.Y(n_14566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g304100 (
-	.A1(n_16283),
-	.A2(n_14552),
-	.B1(n_16296),
-	.B2(n_16284),
-	.C1(n_14551),
-	.C2(n_16282),
-	.Y(n_14565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g304101 (
-	.A1(n_16296),
-	.A2(n_14535),
-	.B1(n_14554),
-	.Y(n_14577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g304102 (
-	.A1(n_14540),
-	.A2(n_15242),
-	.B1(n_14541),
-	.B2(n_14493),
-	.C1(n_14497),
-	.Y(n_14564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g304103 (
-	.A1(n_14532),
-	.A2(n_15242),
-	.B1(n_14533),
-	.B2(n_14493),
-	.C1(n_14497),
-	.Y(n_14563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g304104 (
-	.A1(n_14549),
-	.A2(n_14551),
-	.B1(n_14521),
-	.Y(n_14562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g304105 (
-	.A1(n_14528),
-	.A2(n_15242),
-	.B1(n_14527),
-	.B2(n_14493),
-	.C1(n_14497),
-	.Y(n_14561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 g304106 (
-	.A1(n_14519),
-	.A2(n_14534),
-	.A3(n_14493),
-	.B1(n_14537),
-	.B2(n_15242),
-	.Y(n_14560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g304107 (
-	.A1(n_14538),
-	.A2(n_14493),
-	.B1(n_14497),
-	.C1(n_14553),
-	.Y(n_14558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g304108 (
-	.A1(n_15242),
-	.A2(n_16269),
-	.B1(n_16205),
-	.C1(n_16203),
-	.Y(n_14557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 g304109 (
-	.A1(n_14517),
-	.A2(n_14542),
-	.A3(n_14493),
-	.B1(n_14544),
-	.B2(n_15242),
-	.Y(n_14556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g304110 (
-	.A1(n_14543),
-	.A2(n_15242),
-	.B1(n_14492),
-	.B2(n_14493),
-	.C1(n_14497),
-	.Y(n_14555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g304111 (
-	.A1(n_14535),
-	.A2(n_15242),
-	.B1(n_14526),
-	.B2(n_14497),
-	.Y(n_14554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304113 (
-	.A(n_14551),
-	.B(n_14537),
-	.Y(n_14553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g304115 (
-	.A(n_15243),
-	.B(n_14493),
-	.X(n_14552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g304116 (
-	.A(n_14551),
-	.Y(n_15242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g304117 (
-	.A(n_16296),
-	.B(n_15243),
-	.Y(n_14551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g304118 (
-	.A1(n_14524),
-	.A2(n_14545),
-	.B1_N(n_16295),
-	.Y(n_15243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g304119 (
-	.A(n_14520),
-	.B(n_14493),
-	.C_N(n_14547),
-	.Y(n_14550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304120 (
-	.A(n_14547),
-	.B(n_14520),
-	.Y(n_14549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g304121 (
-	.A(n_14524),
-	.B(n_14544),
-	.Y(n_14548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g304122 (
-	.A(n_14492),
-	.B(n_14524),
-	.X(n_14547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g304123 (
-	.A(n_14524),
-	.B_N(n_14492),
-	.Y(n_14546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g304124 (
-	.A(n_14519),
-	.B(n_14517),
-	.C(n_14525),
-	.D(n_14536),
-	.X(n_14545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g304125 (
-	.A(n_14543),
-	.Y(n_14544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g304126 (
-	.A(n_14517),
-	.B_N(n_14540),
-	.Y(n_14543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g304128 (
-	.A(n_14542),
-	.Y(n_14541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304129 (
-	.A(n_14538),
-	.B(n_14525),
-	.Y(n_14542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g304130 (
-	.A(n_14525),
-	.B_N(n_14538),
-	.Y(n_14539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g304131 (
-	.A(n_14525),
-	.B(n_14537),
-	.Y(n_14540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g304132 (
-	.A(n_14518),
-	.B(n_14534),
-	.Y(n_14538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4b_1 g304133 (
-	.A(n_14520),
-	.B(n_14516),
-	.C(n_14521),
-	.D_N(n_14526),
-	.X(n_14536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304134 (
-	.A(n_14532),
-	.B(n_14518),
-	.Y(n_14537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g304135 (
-	.A(n_14534),
-	.Y(n_14533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g304136 (
-	.A(n_14528),
-	.B(n_14527),
-	.Y(n_14535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304137 (
-	.A(n_14527),
-	.B(n_14516),
-	.Y(n_14534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g304138 (
-	.A(n_14516),
-	.B_N(n_14528),
-	.Y(n_14532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g304139 (
-	.A(n_14516),
-	.B_N(n_14527),
-	.Y(n_14531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g304140 (
-	.A(n_16175),
-	.B(n_16178),
-	.C(n_14523),
-	.Y(n_14530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g304141 (
-	.A(n_16186),
-	.B(n_16187),
-	.C(n_16185),
-	.D(n_14522),
-	.Y(n_14529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g304142 (
-	.A(n_14526),
-	.B(n_14515),
-	.X(n_14528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g304143 (
-	.A(n_14515),
-	.B(n_14526),
-	.Y(n_14527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g304144 (
-	.A(n_17413),
-	.B(n_17414),
-	.C(n_17415),
-	.Y(n_14523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g304145 (
-	.A(n_14504),
-	.B(n_14501),
-	.C(n_14496),
-	.Y(n_14522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g304146 (
-	.A1(n_14494),
-	.A2(n_14512),
-	.B1(n_266796_BAR),
-	.Y(n_14526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g304147 (
-	.A1(n_17408),
-	.A2(n_16306),
-	.B1(n_15177),
-	.Y(n_14525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g304148 (
-	.A1(n_17409),
-	.A2(n_16306),
-	.B1(n_15176),
-	.Y(n_14524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g304149 (
-	.A(n_14519),
-	.Y(n_14518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g304151 (
-	.A1(n_18508),
-	.A2(n_16306),
-	.B1(n_15165),
-	.Y(n_14521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g304152 (
-	.A1(n_14507),
-	.A2(n_16306),
-	.B1(n_15179),
-	.Y(n_14520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g304153 (
-	.A1(n_17410),
-	.A2(n_16306),
-	.B1(n_15174),
-	.Y(n_14519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g304154 (
-	.A1(n_14505),
-	.A2(n_16306),
-	.B1(n_15178),
-	.Y(n_14517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g304155 (
-	.A1(n_14498),
-	.A2(n_16306),
-	.B1(n_15175),
-	.Y(n_14516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211o_1 g304156 (
-	.A1(n_16296),
-	.A2(n_16270),
-	.B1(n_16162),
-	.C1(n_16165),
-	.X(n_14514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g304157 (
-	.A1(n_14493),
-	.A2(n_16160),
-	.B1(n_16208),
-	.Y(n_14513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g304158 (
-	.A1_N(n_16294),
-	.A2_N(n_17405),
-	.B1(n_16294),
-	.B2(n_17405),
-	.Y(n_14512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g304163 (
-	.A(n_17403),
-	.B(n_14667),
-	.X(n_14507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g304164 (
-	.A1(n_14494),
-	.A2(n_16294),
-	.B1(n_267254_BAR),
-	.Y(n_14515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g304166 (
-	.A(n_15238),
-	.B(n_16311),
-	.X(n_14505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g304167 (
-	.A(n_16188),
-	.B(n_16198),
-	.C(n_16189),
-	.D(n_16199),
-	.Y(n_14504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g304170 (
-	.A(n_16190),
-	.B(n_16192),
-	.C(n_16191),
-	.D(n_16193),
-	.Y(n_14501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g304171 (
-	.A(n_16200),
-	.B(n_16202),
-	.C(n_16209),
-	.D(n_16201),
-	.Y(n_14500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g304173 (
-	.A1_N(n_16308),
-	.A2_N(n_15192),
-	.B1(n_16308),
-	.B2(n_15192),
-	.Y(n_14498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g304174 (
-	.A(n_16295),
-	.B(n_14493),
-	.Y(n_14497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g304175 (
-	.A(n_16196),
-	.B(n_16197),
-	.Y(n_14496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g304177 (
-	.A(n_16306),
-	.Y(n_14494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g304178 (
-	.A(n_16296),
-	.Y(n_14493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g302491 (
-	.A(n_14542),
-	.B_N(n_14517),
-	.Y(n_14492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g303146 (
-	.A(n_14491),
-	.B(n_15017),
-	.C(n_311447_BAR),
-	.Y(soc_top_u_top_u_core_cs_registers_i_fflag_wdata[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g303147 (
-	.A(n_306872_BAR),
-	.B(n_311439_BAR),
-	.C(n_14475),
-	.D(n_14490),
-	.Y(n_14491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g303148 (
-	.A1(n_15260),
-	.A2(n_14489),
-	.B1(n_311448_BAR),
-	.C1(n_15020),
-	.Y(n_14490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g303149 (
-	.A1(n_16689),
-	.A2(n_14464),
-	.B1_N(n_15021),
-	.Y(n_14489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g303150 (
-	.A(n_16961),
-	.B(n_15260),
-	.C(n_14465),
-	.D(n_16689),
-	.Y(n_319572_BAR), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g303151 (
-	.A(n_14488),
-	.B(n_15024),
-	.Y(n_16689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a311oi_1 g303152 (
-	.A1(n_14487),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.A3(soc_top_u_top_u_core_fp_alu_op_mod),
-	.B1(n_15041),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mid_pipe_info_q[0][is_inf] ),
-	.Y(n_14488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g303153 (
-	.A(n_14486),
-	.B(n_16717),
-	.C(n_16711),
-	.D(n_16712),
-	.Y(n_14487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g303154 (
-	.A(n_18241),
-	.B(n_16716),
-	.C(n_16715),
-	.X(n_14486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g303157 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [28]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [29]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [30]),
-	.D(n_14482),
-	.X(n_15018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g303158 (
-	.A(n_14481),
-	.B(n_16702),
-	.C(n_16696),
-	.D(n_16697),
-	.Y(n_14483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g303159 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [25]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [26]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [27]),
-	.D(n_14480),
-	.X(n_14482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g303160 (
-	.A(n_14479),
-	.B(n_14473),
-	.C(n_16710),
-	.X(n_14481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g303161 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [23]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [24]),
-	.C_N(n_14478),
-	.X(n_14480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4_1 g303162 (
-	.A(n_14477),
-	.B(n_16719),
-	.C(n_16703),
-	.D(n_16694),
-	.X(n_14479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g303163 (
-	.A(n_319568_BAR),
-	.B(n_15011),
-	.C(n_14478),
-	.Y(n_319577_BAR), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g303164 (
-	.A1(n_14476),
-	.A2(n_14466),
-	.B1(n_15259),
-	.Y(n_14478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g303165 (
-	.A1(n_15259),
-	.A2(n_14476),
-	.B1(n_15015),
-	.Y(n_15014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4_1 g303166 (
-	.A(n_14472),
-	.B(n_16705),
-	.C(n_16704),
-	.D(n_16695),
-	.X(n_14477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g303167 (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mid_pipe_info_q[0][is_inf] ),
-	.B(n_14474),
-	.C(n_15170),
-	.Y(n_14476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g303168 (
-	.A(n_15170),
-	.B(n_15259),
-	.C_N(n_14474),
-	.Y(n_14475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g303169 (
-	.A(n_14471),
-	.B(n_15024),
-	.Y(n_14474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4_1 g303170 (
-	.A(n_16709),
-	.B(n_14470),
-	.C(n_16708),
-	.D(n_16692),
-	.X(n_14473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4_1 g303171 (
-	.A(n_14469),
-	.B(n_16721),
-	.C(n_16718),
-	.D(n_16700),
-	.X(n_14472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g303172 (
-	.A(n_14468),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [25]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [23]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [24]),
-	.Y(n_14471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4_1 g303173 (
-	.A(n_16707),
-	.B(n_16706),
-	.C(n_16693),
-	.D(n_16691),
-	.X(n_14470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4_1 g303174 (
-	.A(n_16720),
-	.B(n_16701),
-	.C(n_16699),
-	.D(n_16698),
-	.X(n_14469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g303175 (
-	.A(n_14467),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [27]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [26]),
-	.X(n_14468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g303176 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [30]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [29]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [28]),
-	.X(n_14467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303177 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [29]),
-	.X(n_16719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303178 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(n_16737),
-	.X(n_16705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303179 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [27]),
-	.X(n_16717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303180 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [23]),
-	.X(n_16713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303181 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(n_16745),
-	.X(n_16721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303182 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(n_16736),
-	.X(n_16704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303183 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(n_16735),
-	.X(n_16703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303184 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(n_16744),
-	.X(n_16712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303185 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(n_16734),
-	.X(n_16702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303186 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [26]),
-	.X(n_16716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303187 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(n_16743),
-	.X(n_16711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303188 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(n_16733),
-	.X(n_16701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303189 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(n_16732),
-	.X(n_16700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303190 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(n_16731),
-	.X(n_16699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303191 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(n_16742),
-	.X(n_16710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303192 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(n_16722),
-	.X(n_16690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303193 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [30]),
-	.X(n_16720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303194 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(n_16729),
-	.X(n_16697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303195 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [25]),
-	.X(n_16715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303196 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(n_16728),
-	.X(n_16696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303197 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(n_16741),
-	.X(n_16709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303198 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(n_16740),
-	.X(n_16708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303199 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(n_16727),
-	.X(n_16695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303200 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(n_16726),
-	.X(n_16694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303201 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [28]),
-	.X(n_16718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303202 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(n_16739),
-	.X(n_16707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303203 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(n_16725),
-	.X(n_16693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303204 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(n_16724),
-	.X(n_16692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303205 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [24]),
-	.X(n_16714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303206 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(n_16723),
-	.X(n_16691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303207 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(n_16738),
-	.X(n_16706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g303208 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(n_16730),
-	.X(n_16698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303209 (
-	.A(n_16820),
-	.B(n_14950),
-	.Y(n_14466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303210 (
-	.A(n_16779),
-	.B(n_14951),
-	.Y(n_14465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g304179 (
-	.A1(n_14463),
-	.A2(n_16964),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_final_sign ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_n_12 ),
-	.X(n_15171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g304180 (
-	.A(n_14463),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_final_sign ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g304181 (
-	.A1(n_14462),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.B1(n_266879_BAR),
-	.Y(n_14463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g304182 (
-	.A1_N(n_18411),
-	.A2_N(n_16491),
-	.B1(n_18411),
-	.B2(n_16491),
-	.Y(n_14462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfstp_2 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst_reg  (
-	.CLK(CTS_79),
-	.D(io_oeb[4]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g378751 (
-	.A1(n_12832),
-	.A2(n_17287),
-	.B1(n_14359),
-	.C1(n_14460),
-	.D1(n_14458),
-	.Y(n_17256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g378752 (
-	.A1(n_12832),
-	.A2(n_17275),
-	.B1(n_14360),
-	.C1(n_14459),
-	.D1(n_14457),
-	.Y(n_17244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g378753 (
-	.A1(n_14052),
-	.A2(soc_top_u_top_u_core_alu_operand_b_ex[10]),
-	.B1(n_12941),
-	.C1(n_14381),
-	.D1(n_14456),
-	.Y(n_17241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g378754 (
-	.A1(n_12829),
-	.A2(n_17344),
-	.B1(n_14351),
-	.C1(n_14447),
-	.D1(n_14422),
-	.Y(n_17247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g378755 (
-	.A1(n_12829),
-	.A2(n_17346),
-	.B1(n_14355),
-	.C1(n_14452),
-	.D1(n_14427),
-	.Y(n_17249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378756 (
-	.A(n_14426),
-	.B(n_14451),
-	.C(n_14366),
-	.Y(n_17233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g378757 (
-	.A1(n_12829),
-	.A2(n_17345),
-	.B1(n_14352),
-	.C1(n_14448),
-	.D1(n_14425),
-	.Y(n_17248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378758 (
-	.A(n_14424),
-	.B(n_14449),
-	.C(n_14365),
-	.Y(n_17232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g378759 (
-	.A1(n_12829),
-	.A2(n_17357),
-	.B1(n_14348),
-	.C1(n_14445),
-	.D1(n_14423),
-	.Y(n_17260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g378760 (
-	.A1(n_14052),
-	.A2(soc_top_u_top_u_core_alu_operand_b_ex[31]),
-	.B1(n_11986),
-	.B2(n_12994),
-	.C1(n_14461),
-	.Y(n_17262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g378761 (
-	.A1(n_12829),
-	.A2(n_17352),
-	.B1(n_14349),
-	.C1(n_14446),
-	.D1(n_14421),
-	.Y(n_17255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g378762 (
-	.A1(n_12829),
-	.A2(n_17356),
-	.B1(n_14344),
-	.C1(n_14442),
-	.D1(n_14417),
-	.Y(n_17259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g378763 (
-	.A1(n_12829),
-	.A2(n_17342),
-	.B1(n_14350),
-	.C1(n_14444),
-	.D1(n_14419),
-	.Y(n_17245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g378764 (
-	.A1(n_12829),
-	.A2(n_17351),
-	.B1(n_14347),
-	.C1(n_14443),
-	.D1(n_14418),
-	.Y(n_17254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g378765 (
-	.A1(n_12829),
-	.A2(n_17358),
-	.B1(n_14354),
-	.C1(n_14450),
-	.D1(n_14413),
-	.Y(n_17261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g378766 (
-	.A1(n_12829),
-	.A2(n_17348),
-	.B1(n_14337),
-	.C1(n_14435),
-	.D1(n_14408),
-	.Y(n_17251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g378767 (
-	.A1(n_12829),
-	.A2(n_17350),
-	.B1(n_14345),
-	.C1(n_14441),
-	.D1(n_14414),
-	.Y(n_17253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g378768 (
-	.A1(n_12829),
-	.A2(n_17349),
-	.B1(n_14340),
-	.C1(n_14439),
-	.D1(n_14411),
-	.Y(n_17252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g378769 (
-	.A1(n_12829),
-	.A2(n_17337),
-	.B1(n_14342),
-	.C1(n_14440),
-	.D1(n_14410),
-	.Y(n_17240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g378770 (
-	.A1(n_12829),
-	.A2(n_17336),
-	.B1(n_14362),
-	.C1(n_14438),
-	.D1(n_14409),
-	.Y(n_17239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g378771 (
-	.A1(n_12829),
-	.A2(n_17354),
-	.B1(n_14357),
-	.C1(n_14453),
-	.D1(n_14405),
-	.Y(n_17257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g378772 (
-	.A1(n_12829),
-	.A2(n_17355),
-	.B1(n_14336),
-	.C1(n_14437),
-	.D1(n_14412),
-	.Y(n_17258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g378773 (
-	.A1(n_12829),
-	.A2(n_17335),
-	.B1(n_14339),
-	.C1(n_14436),
-	.D1(n_14407),
-	.Y(n_17238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g378774 (
-	.A1(n_12829),
-	.A2(n_17334),
-	.B1(n_14338),
-	.C1(n_14434),
-	.D1(n_14406),
-	.Y(n_17237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g378775 (
-	.A1(n_12829),
-	.A2(n_17333),
-	.B1(n_14341),
-	.C1(n_14433),
-	.D1(n_14403),
-	.Y(n_17236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g378776 (
-	.A1(n_12829),
-	.A2(n_17332),
-	.B1(n_14358),
-	.C1(n_14455),
-	.D1(n_14402),
-	.Y(n_17235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g378777 (
-	.A1(n_14052),
-	.A2(soc_top_u_top_u_core_alu_operand_b_ex[11]),
-	.B1(n_12935),
-	.C1(n_14367),
-	.D1(n_14415),
-	.Y(n_17242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378778 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[31]),
-	.A2(n_14263),
-	.B1(n_12867),
-	.B2(n_11986),
-	.C1(n_14364),
-	.Y(n_14461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g378779 (
-	.A1(n_14052),
-	.A2(soc_top_u_top_u_core_alu_operand_b_ex[19]),
-	.B1(n_14372),
-	.C1(n_14404),
-	.Y(n_17250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g378780 (
-	.A1(n_14052),
-	.A2(soc_top_u_top_u_core_alu_operand_b_ex[12]),
-	.B1(n_14369),
-	.C1(n_14416),
-	.Y(n_17243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g378781 (
-	.A1_N(n_14052),
-	.A2_N(soc_top_u_top_u_core_alu_operand_b_ex[25]),
-	.B1(n_12005),
-	.B2(n_12867),
-	.Y(n_14460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g378782 (
-	.A1_N(n_14052),
-	.A2_N(soc_top_u_top_u_core_alu_operand_b_ex[13]),
-	.B1(n_12002),
-	.B2(n_12867),
-	.Y(n_14459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g378783 (
-	.A1(n_14052),
-	.A2(soc_top_u_top_u_core_alu_operand_b_ex[15]),
-	.B1(n_14370),
-	.C1(n_14420),
-	.Y(n_17246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378784 (
-	.A(n_14428),
-	.B(n_14454),
-	.Y(n_17234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g378785 (
-	.A1(n_14052),
-	.A2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B1(n_13957),
-	.C1(n_14332),
-	.D1(n_14401),
-	.Y(n_17231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378786 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[25]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
-	.Y(n_14458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378787 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[13]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
-	.Y(n_14457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378788 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[10]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
-	.Y(n_14456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g378789 (
-	.A(n_14432),
-	.Y(n_17300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g378790 (
-	.A(n_14431),
-	.Y(n_17299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g378791 (
-	.A(n_14430),
-	.Y(n_17298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g378792 (
-	.A(n_14429),
-	.Y(n_17297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g378793 (
-	.A1(n_6934),
-	.A2(n_14051),
-	.B1(n_12944),
-	.Y(n_14455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g378794 (
-	.A1(n_6936),
-	.A2(n_14051),
-	.B1(n_12940),
-	.Y(n_14454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g378795 (
-	.A1_N(n_14052),
-	.A2_N(soc_top_u_top_u_core_alu_operand_b_ex[26]),
-	.B1(n_11995),
-	.B2(n_12867),
-	.Y(n_14453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g378796 (
-	.A1_N(n_14052),
-	.A2_N(soc_top_u_top_u_core_alu_operand_b_ex[18]),
-	.B1(n_11962),
-	.B2(n_12867),
-	.Y(n_14452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g378797 (
-	.A1_N(n_14052),
-	.A2_N(soc_top_u_top_u_core_alu_operand_b_ex[2]),
-	.B1(n_11963),
-	.B2(n_12867),
-	.Y(n_14451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g378798 (
-	.A1_N(n_14052),
-	.A2_N(soc_top_u_top_u_core_alu_operand_b_ex[30]),
-	.B1(n_11964),
-	.B2(n_12867),
-	.Y(n_14450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g378799 (
-	.A1_N(n_14052),
-	.A2_N(soc_top_u_top_u_core_alu_operand_b_ex[1]),
-	.B1(n_11999),
-	.B2(n_12867),
-	.Y(n_14449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g378800 (
-	.A1_N(n_14052),
-	.A2_N(soc_top_u_top_u_core_alu_operand_b_ex[17]),
-	.B1(n_12000),
-	.B2(n_12867),
-	.Y(n_14448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g378801 (
-	.A1_N(n_14052),
-	.A2_N(soc_top_u_top_u_core_alu_operand_b_ex[16]),
-	.B1(n_11996),
-	.B2(n_12867),
-	.Y(n_14447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g378802 (
-	.A1_N(n_14052),
-	.A2_N(soc_top_u_top_u_core_alu_operand_b_ex[24]),
-	.B1(n_11959),
-	.B2(n_12867),
-	.Y(n_14446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g378803 (
-	.A1_N(n_14052),
-	.A2_N(soc_top_u_top_u_core_alu_operand_b_ex[29]),
-	.B1(n_11960),
-	.B2(n_12867),
-	.Y(n_14445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g378804 (
-	.A1_N(n_14052),
-	.A2_N(soc_top_u_top_u_core_alu_operand_b_ex[14]),
-	.B1(n_12011),
-	.B2(n_12867),
-	.Y(n_14444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g378805 (
-	.A1_N(n_14052),
-	.A2_N(soc_top_u_top_u_core_alu_operand_b_ex[23]),
-	.B1(n_11969),
-	.B2(n_12867),
-	.Y(n_14443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g378806 (
-	.A1_N(n_14052),
-	.A2_N(soc_top_u_top_u_core_alu_operand_b_ex[28]),
-	.B1(n_11966),
-	.B2(n_12867),
-	.Y(n_14442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g378807 (
-	.A1_N(n_14052),
-	.A2_N(soc_top_u_top_u_core_alu_operand_b_ex[22]),
-	.B1(n_11998),
-	.B2(n_12867),
-	.Y(n_14441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g378808 (
-	.A1_N(n_14052),
-	.A2_N(soc_top_u_top_u_core_alu_operand_b_ex[9]),
-	.B1(n_12008),
-	.B2(n_12867),
-	.Y(n_14440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g378809 (
-	.A1_N(n_14052),
-	.A2_N(soc_top_u_top_u_core_alu_operand_b_ex[21]),
-	.B1(n_12007),
-	.B2(n_12867),
-	.Y(n_14439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g378810 (
-	.A1_N(n_14052),
-	.A2_N(soc_top_u_top_u_core_alu_operand_b_ex[8]),
-	.B1(n_12003),
-	.B2(n_12867),
-	.Y(n_14438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g378811 (
-	.A1_N(n_14052),
-	.A2_N(soc_top_u_top_u_core_alu_operand_b_ex[27]),
-	.B1(n_12004),
-	.B2(n_12867),
-	.Y(n_14437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g378812 (
-	.A1_N(n_14052),
-	.A2_N(soc_top_u_top_u_core_csr_addr[7]),
-	.B1(n_12001),
-	.B2(n_12867),
-	.Y(n_14436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g378813 (
-	.A1_N(n_14052),
-	.A2_N(soc_top_u_top_u_core_alu_operand_b_ex[20]),
-	.B1(n_11958),
-	.B2(n_12867),
-	.Y(n_14435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g378814 (
-	.A1_N(n_14052),
-	.A2_N(soc_top_u_top_u_core_alu_operand_b_ex[6]),
-	.B1(n_11993),
-	.B2(n_12867),
-	.Y(n_14434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g378815 (
-	.A1_N(n_14052),
-	.A2_N(soc_top_u_top_u_core_csr_addr[5]),
-	.B1(n_12006),
-	.B2(n_12867),
-	.Y(n_14433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g378816 (
-	.A1(\soc_top_u_top_u_core_imd_val_q_ex[0] [4]),
-	.A2(n_12826),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [5]),
-	.C1(n_15370),
-	.C2(n_491),
-	.Y(n_14432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g378817 (
-	.A1(\soc_top_u_top_u_core_imd_val_q_ex[0] [3]),
-	.A2(n_12826),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [4]),
-	.C1(n_15369),
-	.C2(n_491),
-	.Y(n_14431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g378818 (
-	.A1(\soc_top_u_top_u_core_imd_val_q_ex[0] [2]),
-	.A2(n_12826),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [3]),
-	.C1(n_15368),
-	.C2(n_491),
-	.Y(n_14430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g378819 (
-	.A1(\soc_top_u_top_u_core_imd_val_q_ex[0] [1]),
-	.A2(n_12826),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [2]),
-	.C1(n_15367),
-	.C2(n_491),
-	.Y(n_14429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g378820 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[3]),
-	.A2(n_14263),
-	.B1(n_14371),
-	.Y(n_14428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378821 (
-	.A1(n_12826),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [31]),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [32]),
-	.C1(n_14400),
-	.X(n_17327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378822 (
-	.A1(n_12826),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [30]),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [31]),
-	.C1(n_14399),
-	.X(n_17326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378823 (
-	.A1(n_12826),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [29]),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [30]),
-	.C1(n_14397),
-	.X(n_17325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378824 (
-	.A1(n_12826),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [28]),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [29]),
-	.C1(n_14398),
-	.X(n_17324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378825 (
-	.A1(n_12826),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [27]),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [28]),
-	.C1(n_14396),
-	.X(n_17323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378826 (
-	.A1(n_12826),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [26]),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [27]),
-	.C1(n_14395),
-	.X(n_17322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378827 (
-	.A1(n_12826),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [25]),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [26]),
-	.C1(n_14394),
-	.X(n_17321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378828 (
-	.A1(n_12826),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [24]),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [25]),
-	.C1(n_14393),
-	.X(n_17320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378829 (
-	.A1(n_12826),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [23]),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [24]),
-	.C1(n_14392),
-	.X(n_17319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378830 (
-	.A1(n_12826),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [22]),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [23]),
-	.C1(n_14391),
-	.X(n_17318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378831 (
-	.A1(n_12826),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [21]),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [22]),
-	.C1(n_14390),
-	.X(n_17317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378832 (
-	.A1(n_12826),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [20]),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [21]),
-	.C1(n_14389),
-	.X(n_17316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378833 (
-	.A1(n_12826),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [19]),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [20]),
-	.C1(n_14387),
-	.X(n_17315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378834 (
-	.A1(n_12826),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [18]),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [19]),
-	.C1(n_14388),
-	.X(n_17314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378835 (
-	.A1(n_12826),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [17]),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [18]),
-	.C1(n_14386),
-	.X(n_17313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378836 (
-	.A1(n_12826),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [16]),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [17]),
-	.C1(n_14385),
-	.X(n_17312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378837 (
-	.A1(n_12826),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [15]),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [16]),
-	.C1(n_14384),
-	.X(n_17311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378838 (
-	.A1(n_12826),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [14]),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [15]),
-	.C1(n_14383),
-	.X(n_17310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378839 (
-	.A1(n_12826),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [12]),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [13]),
-	.C1(n_14368),
-	.X(n_17308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378840 (
-	.A1(n_12826),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [11]),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [12]),
-	.C1(n_14380),
-	.X(n_17307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378841 (
-	.A1(n_12826),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [10]),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [11]),
-	.C1(n_14379),
-	.X(n_17306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378842 (
-	.A1(n_12826),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [9]),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [10]),
-	.C1(n_14378),
-	.X(n_17305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378843 (
-	.A1(n_12826),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [13]),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [14]),
-	.C1(n_14382),
-	.X(n_17309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378844 (
-	.A1(n_12826),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [7]),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [8]),
-	.C1(n_14376),
-	.X(n_17303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378845 (
-	.A1(n_12826),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [6]),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [7]),
-	.C1(n_14375),
-	.X(n_17302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378846 (
-	.A1(n_12826),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [8]),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [9]),
-	.C1(n_14377),
-	.X(n_17304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378847 (
-	.A1(n_12826),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [5]),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [6]),
-	.C1(n_14374),
-	.X(n_17301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378848 (
-	.A(n_14373),
-	.B(n_12910),
-	.Y(n_17296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378849 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[18]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
-	.Y(n_14427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378850 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[2]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
-	.Y(n_14426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378851 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[17]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
-	.Y(n_14425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378852 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[1]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
-	.Y(n_14424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378853 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[29]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
-	.Y(n_14423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378854 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[16]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
-	.Y(n_14422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378855 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[24]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
-	.Y(n_14421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378856 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[15]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
-	.Y(n_14420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378857 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[14]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
-	.Y(n_14419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378858 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[23]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
-	.Y(n_14418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378859 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[28]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
-	.Y(n_14417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378860 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[12]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
-	.Y(n_14416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378861 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[11]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
-	.Y(n_14415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378862 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[22]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
-	.Y(n_14414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378863 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[30]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
-	.Y(n_14413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378864 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[27]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
-	.Y(n_14412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378865 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[21]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
-	.Y(n_14411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378866 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[9]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
-	.Y(n_14410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378867 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[8]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
-	.Y(n_14409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378868 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[20]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
-	.Y(n_14408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378869 (
-	.A1(soc_top_u_top_u_core_csr_addr[7]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
-	.Y(n_14407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378870 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[6]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
-	.Y(n_14406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378871 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[26]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
-	.Y(n_14405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378872 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[19]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
-	.Y(n_14404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378873 (
-	.A1(soc_top_u_top_u_core_csr_addr[5]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
-	.Y(n_14403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378874 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[4]),
-	.A2(n_14263),
-	.B1(n_13793),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
-	.Y(n_14402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378875 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B(n_14263),
-	.Y(n_14401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g378876 (
-	.A(n_15246),
-	.B_N(n_15397),
-	.Y(n_14400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g378877 (
-	.A(n_15246),
-	.B_N(n_15396),
-	.Y(n_14399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g378878 (
-	.A(n_15246),
-	.B_N(n_15394),
-	.Y(n_14398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g378879 (
-	.A(n_15246),
-	.B_N(n_15395),
-	.Y(n_14397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g378880 (
-	.A(n_15246),
-	.B_N(n_15393),
-	.Y(n_14396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g378881 (
-	.A(n_15246),
-	.B_N(n_15392),
-	.Y(n_14395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g378882 (
-	.A(n_15246),
-	.B_N(n_15391),
-	.Y(n_14394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g378883 (
-	.A(n_15246),
-	.B_N(n_15390),
-	.Y(n_14393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g378884 (
-	.A(n_15246),
-	.B_N(n_15389),
-	.Y(n_14392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g378885 (
-	.A(n_15246),
-	.B_N(n_15388),
-	.Y(n_14391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g378886 (
-	.A(n_15246),
-	.B_N(n_15387),
-	.Y(n_14390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g378887 (
-	.A(n_15246),
-	.B_N(n_15386),
-	.Y(n_14389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g378888 (
-	.A(n_15246),
-	.B_N(n_15384),
-	.Y(n_14388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g378889 (
-	.A(n_15246),
-	.B_N(n_15385),
-	.Y(n_14387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g378890 (
-	.A(n_15246),
-	.B_N(n_15383),
-	.Y(n_14386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g378891 (
-	.A(n_15246),
-	.B_N(n_15382),
-	.Y(n_14385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g378892 (
-	.A(n_15246),
-	.B_N(n_15381),
-	.Y(n_14384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g378893 (
-	.A(n_15246),
-	.B_N(n_15380),
-	.Y(n_14383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g378894 (
-	.A(n_15246),
-	.B_N(n_15379),
-	.Y(n_14382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g378895 (
-	.A1(n_12832),
-	.A2(n_17272),
-	.B1(n_14361),
-	.X(n_14381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g378896 (
-	.A(n_15246),
-	.B_N(n_15377),
-	.Y(n_14380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g378897 (
-	.A(n_15246),
-	.B_N(n_15376),
-	.Y(n_14379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g378898 (
-	.A(n_15246),
-	.B_N(n_15375),
-	.Y(n_14378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g378899 (
-	.A(n_15246),
-	.B_N(n_15374),
-	.Y(n_14377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g378900 (
-	.A(n_15246),
-	.B_N(n_15373),
-	.Y(n_14376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g378901 (
-	.A(n_15246),
-	.B_N(n_15372),
-	.Y(n_14375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g378902 (
-	.A(n_15246),
-	.B_N(n_15371),
-	.Y(n_14374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378903 (
-	.A(n_15366),
-	.B(n_491),
-	.Y(n_14373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g378904 (
-	.A(n_12903),
-	.B(n_12938),
-	.C(n_14333),
-	.Y(n_14372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g378905 (
-	.A1(n_12832),
-	.A2(n_17265),
-	.B1(n_12829),
-	.B2(n_17331),
-	.C1(n_13992),
-	.Y(n_14371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g378906 (
-	.A(n_12913),
-	.B(n_12937),
-	.C(n_14334),
-	.Y(n_14370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g378907 (
-	.A(n_12918),
-	.B(n_12936),
-	.C(n_14331),
-	.Y(n_14369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g378908 (
-	.A(n_15246),
-	.B_N(n_15378),
-	.Y(n_14368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g378909 (
-	.A1(n_12829),
-	.A2(n_17339),
-	.B1(n_14346),
-	.X(n_14367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g378910 (
-	.A1(n_12829),
-	.A2(n_17330),
-	.B1(n_14356),
-	.X(n_14366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g378911 (
-	.A1(n_12829),
-	.A2(n_17329),
-	.B1(n_14353),
-	.X(n_14365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g378912 (
-	.A1(n_12829),
-	.A2(n_17359),
-	.B1(n_14343),
-	.Y(n_14364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g378913 (
-	.A1(n_17272),
-	.A2(n_12845),
-	.B1(n_11899),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
-	.X(soc_top_u_top_u_core_alu_operand_b_ex[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378914 (
-	.A1(n_17275),
-	.A2(n_12845),
-	.B1(n_13797),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[13]),
-	.C1(n_13796),
-	.X(soc_top_u_top_u_core_alu_operand_b_ex[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378915 (
-	.A1(n_17287),
-	.A2(n_12845),
-	.B1(n_12614),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
-	.C1(n_14152),
-	.X(soc_top_u_top_u_core_alu_operand_b_ex[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g378916 (
-	.A(n_6936),
-	.Y(soc_top_u_top_u_core_alu_operand_b_ex[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378917 (
-	.A(n_12927),
-	.B(n_14288),
-	.Y(n_14362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3b_1 g378918 (
-	.A_N(n_14954),
-	.B(soc_top_u_top_u_core_load_store_unit_i_data_or_pmp_err),
-	.C(soc_top_u_top_u_core_load_store_unit_i_data_we_q),
-	.X(soc_top_u_top_u_core_lsu_store_err), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g378919 (
-	.A(soc_top_u_top_u_core_load_store_unit_i_data_we_q),
-	.B(n_14954),
-	.C_N(soc_top_u_top_u_core_load_store_unit_i_data_or_pmp_err),
-	.Y(soc_top_u_top_u_core_lsu_load_err), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g378920 (
-	.A1(n_14278),
-	.A2(n_12828),
-	.B1(n_12922),
-	.Y(n_14361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g378921 (
-	.A1(n_14279),
-	.A2(n_12828),
-	.B1(n_12917),
-	.Y(n_14360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g378922 (
-	.A1(n_14270),
-	.A2(n_12828),
-	.B1(n_12932),
-	.Y(n_14359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378923 (
-	.A(n_12933),
-	.B(n_14305),
-	.Y(n_14358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378924 (
-	.A(n_12905),
-	.B(n_14302),
-	.Y(n_14357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378925 (
-	.A(n_12926),
-	.B(n_14304),
-	.Y(n_14356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378926 (
-	.A(n_12904),
-	.B(n_14303),
-	.Y(n_14355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378927 (
-	.A(n_12906),
-	.B(n_14301),
-	.Y(n_14354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378928 (
-	.A(n_12907),
-	.B(n_14300),
-	.Y(n_14353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378929 (
-	.A(n_12909),
-	.B(n_14299),
-	.Y(n_14352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378930 (
-	.A(n_12912),
-	.B(n_14298),
-	.Y(n_14351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378931 (
-	.A(n_12914),
-	.B(n_14297),
-	.Y(n_14350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378932 (
-	.A(n_12915),
-	.B(n_14296),
-	.Y(n_14349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378933 (
-	.A(n_12916),
-	.B(n_14295),
-	.Y(n_14348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378934 (
-	.A(n_12919),
-	.B(n_14294),
-	.Y(n_14347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378935 (
-	.A(n_12921),
-	.B(n_14293),
-	.Y(n_14346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378936 (
-	.A(n_12924),
-	.B(n_14292),
-	.Y(n_14345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378937 (
-	.A(n_12925),
-	.B(n_14291),
-	.Y(n_14344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378938 (
-	.A(n_12923),
-	.B(n_14290),
-	.Y(n_14343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378939 (
-	.A(n_12901),
-	.B(n_14289),
-	.Y(n_14342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378940 (
-	.A(n_12902),
-	.B(n_14282),
-	.Y(n_14341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378941 (
-	.A(n_12928),
-	.B(n_14287),
-	.Y(n_14340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378942 (
-	.A(n_12929),
-	.B(n_14286),
-	.Y(n_14339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378943 (
-	.A(n_12911),
-	.B(n_14285),
-	.Y(n_14338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378944 (
-	.A(n_12930),
-	.B(n_14284),
-	.Y(n_14337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378945 (
-	.A(n_12931),
-	.B(n_14283),
-	.Y(n_14336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378946 (
-	.A1(n_13795),
-	.A2(soc_top_u_top_u_core_pc_id[29]),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[29]),
-	.C1(n_14327),
-	.X(n_15395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g378947 (
-	.A1(n_13794),
-	.A2(n_14281),
-	.B1(n_13988),
-	.Y(n_15368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g378948 (
-	.A1(n_13794),
-	.A2(n_14272),
-	.B1(n_13991),
-	.Y(n_15370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378949 (
-	.A1(n_13795),
-	.A2(soc_top_u_top_u_core_pc_id[31]),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[31]),
-	.C1(n_14329),
-	.X(n_15397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378950 (
-	.A1(n_13795),
-	.A2(soc_top_u_top_u_core_pc_id[30]),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[30]),
-	.C1(n_14328),
-	.X(n_15396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g378951 (
-	.A1(n_13794),
-	.A2(n_14271),
-	.B1(n_13989),
-	.Y(n_15367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378952 (
-	.A1(n_13795),
-	.A2(soc_top_u_top_u_core_pc_id[28]),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[28]),
-	.C1(n_14326),
-	.X(n_15394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378953 (
-	.A1(n_13795),
-	.A2(soc_top_u_top_u_core_pc_id[27]),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[27]),
-	.C1(n_14325),
-	.X(n_15393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378954 (
-	.A1(n_13795),
-	.A2(soc_top_u_top_u_core_pc_id[26]),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[26]),
-	.C1(n_14324),
-	.X(n_15392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378955 (
-	.A1(n_13795),
-	.A2(soc_top_u_top_u_core_pc_id[25]),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[25]),
-	.C1(n_14323),
-	.X(n_15391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g378956 (
-	.A1(n_13794),
-	.A2(n_14277),
-	.B1(n_13990),
-	.Y(n_15369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378957 (
-	.A1(n_17273),
-	.A2(n_12845),
-	.B1(n_12992),
-	.B2(soc_top_u_top_u_core_rf_raddr_b[0]),
-	.C1(n_13828),
-	.X(soc_top_u_top_u_core_alu_operand_b_ex[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g378958 (
-	.A1_N(n_12845),
-	.A2_N(n_17293),
-	.B1(n_13788),
-	.B2(n_12991),
-	.Y(soc_top_u_top_u_core_alu_operand_b_ex[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g378959 (
-	.A1(soc_top_u_top_u_core_rf_raddr_b[3]),
-	.A2(n_13798),
-	.B1(n_12840),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[10]),
-	.C1(n_17265),
-	.C2(n_12845),
-	.Y(n_6936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378960 (
-	.A1(n_17280),
-	.A2(n_12845),
-	.B1(n_13797),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
-	.C1(n_13796),
-	.X(soc_top_u_top_u_core_alu_operand_b_ex[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378961 (
-	.A1(n_17279),
-	.A2(n_12845),
-	.B1(n_13797),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[17]),
-	.C1(n_13796),
-	.X(soc_top_u_top_u_core_alu_operand_b_ex[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_8 g378962 (
-	.A(n_14317),
-	.B(n_13987),
-	.Y(soc_top_u_top_u_core_alu_operand_b_ex[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378963 (
-	.A1(n_17278),
-	.A2(n_12845),
-	.B1(n_13797),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[16]),
-	.C1(n_13796),
-	.X(soc_top_u_top_u_core_alu_operand_b_ex[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g378964 (
-	.A(n_6934),
-	.Y(soc_top_u_top_u_core_alu_operand_b_ex[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g378965 (
-	.A1(n_12832),
-	.A2(n_17277),
-	.B1(n_12829),
-	.B2(n_17343),
-	.Y(n_14334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g378966 (
-	.A1(n_12832),
-	.A2(n_17281),
-	.B1(n_12829),
-	.B2(n_17347),
-	.Y(n_14333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g378967 (
-	.A1(n_12832),
-	.A2(soc_top_u_top_u_core_multdiv_operand_b_ex[0]),
-	.B1(n_12829),
-	.B2(n_17328),
-	.X(n_14332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g378968 (
-	.A1(n_12832),
-	.A2(n_17274),
-	.B1(n_12829),
-	.B2(n_17340),
-	.Y(n_14331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378969 (
-	.A1(n_13795),
-	.A2(soc_top_u_top_u_core_pc_id[19]),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[19]),
-	.C1(n_14330),
-	.X(n_15385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378970 (
-	.A1(n_13795),
-	.A2(soc_top_u_top_u_core_pc_id[18]),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[18]),
-	.C1(n_14316),
-	.X(n_15384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378971 (
-	.A1(n_13795),
-	.A2(soc_top_u_top_u_core_pc_id[17]),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[17]),
-	.C1(n_14315),
-	.X(n_15383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378972 (
-	.A1(n_13795),
-	.A2(soc_top_u_top_u_core_pc_id[16]),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[16]),
-	.C1(n_14314),
-	.X(n_15382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378973 (
-	.A1(n_13795),
-	.A2(soc_top_u_top_u_core_pc_id[15]),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[15]),
-	.C1(n_14313),
-	.X(n_15381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378974 (
-	.A1(n_13795),
-	.A2(soc_top_u_top_u_core_pc_id[14]),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[14]),
-	.C1(n_14312),
-	.X(n_15380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g378975 (
-	.A1(n_13794),
-	.A2(n_14279),
-	.B1(n_13956),
-	.Y(n_15379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378976 (
-	.A1(n_13795),
-	.A2(soc_top_u_top_u_core_pc_id[12]),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[12]),
-	.C1(n_14311),
-	.X(n_15378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378977 (
-	.A1(n_13795),
-	.A2(soc_top_u_top_u_core_pc_id[23]),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[23]),
-	.C1(n_14321),
-	.X(n_15389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g378978 (
-	.A1(n_13794),
-	.A2(n_14278),
-	.B1(n_13955),
-	.Y(n_15376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378979 (
-	.A1(n_13795),
-	.A2(soc_top_u_top_u_core_pc_id[9]),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[9]),
-	.C1(n_14309),
-	.X(n_15375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378980 (
-	.A1(n_13795),
-	.A2(soc_top_u_top_u_core_pc_id[8]),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[8]),
-	.C1(n_14308),
-	.X(n_15374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378981 (
-	.A1(n_13795),
-	.A2(soc_top_u_top_u_core_pc_id[7]),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[7]),
-	.C1(n_14307),
-	.X(n_15373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g378982 (
-	.A1(n_13794),
-	.A2(n_14268),
-	.B1(n_13954),
-	.Y(n_15372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g378983 (
-	.A1(n_13794),
-	.A2(n_14276),
-	.B1(n_13953),
-	.Y(n_15371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g378984 (
-	.A1(n_13794),
-	.A2(n_14280),
-	.B1(n_13874),
-	.Y(n_15366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378985 (
-	.A1(n_13795),
-	.A2(soc_top_u_top_u_core_pc_id[24]),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[24]),
-	.C1(n_14322),
-	.X(n_15390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378986 (
-	.A1(n_13795),
-	.A2(soc_top_u_top_u_core_pc_id[11]),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[11]),
-	.C1(n_14310),
-	.X(n_15377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378987 (
-	.A1(n_13795),
-	.A2(soc_top_u_top_u_core_pc_id[22]),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[22]),
-	.C1(n_14320),
-	.X(n_15388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378988 (
-	.A1(n_13795),
-	.A2(soc_top_u_top_u_core_pc_id[21]),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[21]),
-	.C1(n_14319),
-	.X(n_15387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378989 (
-	.A1(n_13795),
-	.A2(soc_top_u_top_u_core_pc_id[20]),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[20]),
-	.C1(n_14318),
-	.X(n_15386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g378990 (
-	.A1(n_17270),
-	.A2(n_12845),
-	.B1(n_11899),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
-	.X(soc_top_u_top_u_core_alu_operand_b_ex[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378991 (
-	.A1(n_17277),
-	.A2(n_12845),
-	.B1(n_13797),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[15]),
-	.C1(n_13796),
-	.X(soc_top_u_top_u_core_alu_operand_b_ex[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378992 (
-	.A1(n_17276),
-	.A2(n_12845),
-	.B1(n_13797),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[14]),
-	.C1(n_13796),
-	.X(soc_top_u_top_u_core_alu_operand_b_ex[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378993 (
-	.A1(n_17291),
-	.A2(n_12845),
-	.B1(n_12614),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
-	.C1(n_14152),
-	.X(soc_top_u_top_u_core_alu_operand_b_ex[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378994 (
-	.A1(n_17289),
-	.A2(n_12845),
-	.B1(n_12614),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
-	.C1(n_14152),
-	.X(soc_top_u_top_u_core_alu_operand_b_ex[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378995 (
-	.A1(n_17290),
-	.A2(n_12845),
-	.B1(n_12614),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
-	.C1(n_14152),
-	.X(soc_top_u_top_u_core_alu_operand_b_ex[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378996 (
-	.A1(n_17264),
-	.A2(n_12845),
-	.B1(n_13798),
-	.B2(soc_top_u_top_u_core_rf_raddr_b[2]),
-	.C1(n_13783),
-	.X(soc_top_u_top_u_core_alu_operand_b_ex[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378997 (
-	.A(n_14306),
-	.B(n_13875),
-	.C(n_13647),
-	.Y(soc_top_u_top_u_core_alu_operand_b_ex[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378998 (
-	.A1(n_17288),
-	.A2(n_12845),
-	.B1(n_12614),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
-	.C1(n_14152),
-	.X(soc_top_u_top_u_core_alu_operand_b_ex[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g378999 (
-	.A1(n_17286),
-	.A2(n_12845),
-	.B1(n_12614),
-	.B2(soc_top_u_top_u_core_rf_raddr_b[4]),
-	.C1(n_14152),
-	.X(soc_top_u_top_u_core_alu_operand_b_ex[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g379000 (
-	.A1(n_17285),
-	.A2(n_12845),
-	.B1(n_12614),
-	.B2(soc_top_u_top_u_core_rf_raddr_b[3]),
-	.C1(n_14152),
-	.X(soc_top_u_top_u_core_alu_operand_b_ex[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g379001 (
-	.A1(n_17284),
-	.A2(n_12845),
-	.B1(n_12614),
-	.B2(soc_top_u_top_u_core_rf_raddr_b[2]),
-	.C1(n_14152),
-	.X(soc_top_u_top_u_core_alu_operand_b_ex[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g379002 (
-	.A1(n_17283),
-	.A2(n_12845),
-	.B1(n_12614),
-	.B2(soc_top_u_top_u_core_rf_raddr_b[1]),
-	.C1(n_14152),
-	.X(soc_top_u_top_u_core_alu_operand_b_ex[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379003 (
-	.A1(n_17271),
-	.A2(n_12845),
-	.B1(n_11899),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
-	.X(soc_top_u_top_u_core_alu_operand_b_ex[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379004 (
-	.A1(n_17269),
-	.A2(n_12845),
-	.B1(n_11899),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
-	.X(soc_top_u_top_u_core_csr_addr[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g379005 (
-	.A1(n_17282),
-	.A2(n_12845),
-	.B1(n_12614),
-	.B2(soc_top_u_top_u_core_rf_raddr_b[0]),
-	.C1(n_14152),
-	.X(soc_top_u_top_u_core_alu_operand_b_ex[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g379006 (
-	.A1(n_17292),
-	.A2(n_12845),
-	.B1(n_12614),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
-	.C1(n_14152),
-	.X(soc_top_u_top_u_core_alu_operand_b_ex[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379007 (
-	.A1(n_17268),
-	.A2(n_12845),
-	.B1(n_11899),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
-	.X(soc_top_u_top_u_core_alu_operand_b_ex[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379008 (
-	.A1(n_17267),
-	.A2(n_12845),
-	.B1(n_11899),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
-	.X(soc_top_u_top_u_core_csr_addr[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g379009 (
-	.A1(n_17274),
-	.A2(n_12845),
-	.B1(n_13797),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[12]),
-	.C1(n_13796),
-	.X(soc_top_u_top_u_core_alu_operand_b_ex[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g379010 (
-	.A1(n_17281),
-	.A2(n_12845),
-	.B1(n_13797),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[19]),
-	.C1(n_13796),
-	.X(soc_top_u_top_u_core_alu_operand_b_ex[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g379011 (
-	.A1(n_17266),
-	.A2(n_12845),
-	.B1(n_14050),
-	.Y(n_6934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g379012 (
-	.A(n_13794),
-	.B_N(n_17347),
-	.Y(n_14330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g379013 (
-	.A(n_13794),
-	.B_N(n_17359),
-	.Y(n_14329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g379014 (
-	.A(n_13794),
-	.B_N(n_17358),
-	.Y(n_14328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g379015 (
-	.A(n_13794),
-	.B_N(n_17357),
-	.Y(n_14327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g379016 (
-	.A(n_13794),
-	.B_N(n_17356),
-	.Y(n_14326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g379017 (
-	.A(n_13794),
-	.B_N(n_17355),
-	.Y(n_14325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g379018 (
-	.A(n_13794),
-	.B_N(n_17354),
-	.Y(n_14324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379019 (
-	.A(n_13794),
-	.B(n_14270),
-	.Y(n_14323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g379020 (
-	.A(n_13794),
-	.B_N(n_17352),
-	.Y(n_14322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g379021 (
-	.A(n_13794),
-	.B_N(n_17351),
-	.Y(n_14321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g379022 (
-	.A(n_13794),
-	.B_N(n_17350),
-	.Y(n_14320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g379023 (
-	.A(n_13794),
-	.B_N(n_17349),
-	.Y(n_14319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g379024 (
-	.A(n_13794),
-	.B_N(n_17348),
-	.Y(n_14318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g379025 (
-	.A(soc_top_u_top_u_core_multdiv_operand_b_ex[0]),
-	.B(n_12845),
-	.Y(n_14317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g379026 (
-	.A(n_13794),
-	.B_N(n_17346),
-	.Y(n_14316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g379027 (
-	.A(n_13794),
-	.B_N(n_17345),
-	.Y(n_14315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g379028 (
-	.A(n_13794),
-	.B_N(n_17344),
-	.Y(n_14314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g379029 (
-	.A(n_13794),
-	.B_N(n_17343),
-	.Y(n_14313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g379030 (
-	.A(n_13794),
-	.B_N(n_17342),
-	.Y(n_14312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g379031 (
-	.A(n_13794),
-	.B_N(n_17340),
-	.Y(n_14311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g379032 (
-	.A(n_13794),
-	.B_N(n_17339),
-	.Y(n_14310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g379033 (
-	.A(n_13794),
-	.B_N(n_17337),
-	.Y(n_14309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g379034 (
-	.A(n_13794),
-	.B_N(n_17336),
-	.Y(n_14308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379035 (
-	.A(n_13794),
-	.B(n_14269),
-	.Y(n_14307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g379036 (
-	.A(n_17263),
-	.B(n_12845),
-	.Y(n_14306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g379037 (
-	.A_N(n_18236),
-	.B(\soc_top_xbar_to_lsu[d_valid] ),
-	.Y(n_14954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379038 (
-	.A(n_12832),
-	.B(n_17266),
-	.Y(n_14305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379039 (
-	.A(n_12832),
-	.B(n_17264),
-	.Y(n_14304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379040 (
-	.A(n_12832),
-	.B(n_17280),
-	.Y(n_14303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379041 (
-	.A(n_12832),
-	.B(n_17288),
-	.Y(n_14302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379042 (
-	.A(n_12832),
-	.B(n_17292),
-	.Y(n_14301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379043 (
-	.A(n_12832),
-	.B(n_17263),
-	.Y(n_14300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379044 (
-	.A(n_12832),
-	.B(n_17279),
-	.Y(n_14299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379045 (
-	.A(n_12832),
-	.B(n_17278),
-	.Y(n_14298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379046 (
-	.A(n_12832),
-	.B(n_17276),
-	.Y(n_14297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379047 (
-	.A(n_12832),
-	.B(n_17286),
-	.Y(n_14296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379048 (
-	.A(n_12832),
-	.B(n_17291),
-	.Y(n_14295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379049 (
-	.A(n_12832),
-	.B(n_17285),
-	.Y(n_14294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379050 (
-	.A(n_12832),
-	.B(n_17273),
-	.Y(n_14293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379051 (
-	.A(n_12832),
-	.B(n_17284),
-	.Y(n_14292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379052 (
-	.A(n_12832),
-	.B(n_17290),
-	.Y(n_14291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379053 (
-	.A(n_12832),
-	.B(n_17293),
-	.Y(n_14290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379054 (
-	.A(n_12832),
-	.B(n_17271),
-	.Y(n_14289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379055 (
-	.A(n_12832),
-	.B(n_17270),
-	.Y(n_14288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379056 (
-	.A(n_12832),
-	.B(n_17283),
-	.Y(n_14287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379057 (
-	.A(n_12832),
-	.B(n_17269),
-	.Y(n_14286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379058 (
-	.A(n_12832),
-	.B(n_17268),
-	.Y(n_14285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379059 (
-	.A(n_12832),
-	.B(n_17282),
-	.Y(n_14284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379060 (
-	.A(n_12832),
-	.B(n_17289),
-	.Y(n_14283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379061 (
-	.A(n_12832),
-	.B(n_17267),
-	.Y(n_14282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379062 (
-	.A(n_14274),
-	.B(n_13907),
-	.C(n_12824),
-	.D(n_13906),
-	.Y(n_17275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379063 (
-	.A(n_14273),
-	.B(n_13897),
-	.C(n_12823),
-	.D(n_13896),
-	.Y(n_17272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379064 (
-	.A(n_14275),
-	.B(n_13931),
-	.C(n_12810),
-	.D(n_13929),
-	.Y(n_17287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g379065 (
-	.A(n_14281),
-	.Y(n_17330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g379066 (
-	.A(n_14280),
-	.Y(n_17328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g379067 (
-	.A(n_14279),
-	.Y(n_17341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g379068 (
-	.A(n_14278),
-	.Y(n_17338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g379069 (
-	.A(n_14277),
-	.Y(n_17331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g379070 (
-	.A(n_14276),
-	.Y(n_17333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g379071 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [25]),
-	.B1(n_14109),
-	.C1(n_13997),
-	.D1(n_14255),
-	.Y(n_14275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g379072 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [13]),
-	.B1(n_14076),
-	.C1(n_13996),
-	.D1(n_14246),
-	.Y(n_14274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g379073 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [10]),
-	.B1(n_14053),
-	.C1(n_13995),
-	.D1(n_14241),
-	.Y(n_14273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g379074 (
-	.A(n_14119),
-	.B(n_14199),
-	.C(n_14260),
-	.X(n_17358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g379075 (
-	.A(n_14118),
-	.B(n_14196),
-	.C(n_14259),
-	.X(n_17346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g379076 (
-	.A(n_14154),
-	.B(n_14257),
-	.C(n_14258),
-	.Y(n_14281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g379077 (
-	.A(n_14122),
-	.B(n_14197),
-	.C(n_14256),
-	.X(n_17354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g379079 (
-	.A(n_14103),
-	.B(n_14195),
-	.C(n_14253),
-	.Y(n_14280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g379080 (
-	.A(n_14098),
-	.B(n_14194),
-	.C(n_14251),
-	.X(n_17344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g379081 (
-	.A(n_13913),
-	.B(n_14089),
-	.C(n_14208),
-	.D(n_14250),
-	.X(n_17343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g379082 (
-	.A(n_14083),
-	.B(n_14193),
-	.C(n_14247),
-	.X(n_17357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379083 (
-	.A(n_14267),
-	.B(n_13900),
-	.C(n_13357),
-	.D(n_13830),
-	.Y(n_17340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g379084 (
-	.A(n_14073),
-	.B(n_14192),
-	.C(n_14244),
-	.Y(n_14279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g379085 (
-	.A(n_13948),
-	.B(n_14135),
-	.C(n_14156),
-	.D(n_14262),
-	.X(n_17347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g379086 (
-	.A(n_14047),
-	.B(n_14238),
-	.C(n_14191),
-	.Y(n_14278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g379087 (
-	.A(n_14155),
-	.B(n_14185),
-	.C(n_14198),
-	.Y(n_14277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g379088 (
-	.A(n_14013),
-	.B(n_14189),
-	.C(n_14232),
-	.X(n_17348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g379089 (
-	.A(n_14003),
-	.B(n_14188),
-	.C(n_14231),
-	.Y(n_14276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379090 (
-	.A(n_14187),
-	.B(n_14226),
-	.C(n_12988),
-	.D(n_13985),
-	.Y(n_17280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g379091 (
-	.A(n_14264),
-	.B(n_14184),
-	.Y(n_17264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379092 (
-	.A(n_14183),
-	.B(n_14224),
-	.C(n_12965),
-	.D(n_13983),
-	.Y(n_17263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379093 (
-	.A(n_14182),
-	.B(n_14223),
-	.C(n_12982),
-	.D(n_13982),
-	.Y(n_17279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379094 (
-	.A(n_14181),
-	.B(n_14219),
-	.C(n_12972),
-	.D(n_13981),
-	.Y(n_17291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g379095 (
-	.A(n_14265),
-	.B(n_14180),
-	.Y(soc_top_u_top_u_core_multdiv_operand_b_ex[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379096 (
-	.A(n_14177),
-	.B(n_14217),
-	.C(n_12968),
-	.D(n_13978),
-	.Y(n_17286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379097 (
-	.A(n_14175),
-	.B(n_14216),
-	.C(n_12966),
-	.D(n_13977),
-	.Y(n_17276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379098 (
-	.A(n_14174),
-	.B(n_14213),
-	.C(n_12963),
-	.D(n_13975),
-	.Y(n_17290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379099 (
-	.A(n_14170),
-	.B(n_14212),
-	.C(n_12960),
-	.D(n_13973),
-	.Y(n_17273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379100 (
-	.A(n_14169),
-	.B(n_14210),
-	.C(n_12959),
-	.D(n_13972),
-	.Y(n_17284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379101 (
-	.A(n_14168),
-	.B(n_14209),
-	.C(n_12958),
-	.D(n_13971),
-	.Y(n_17271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379102 (
-	.A(n_14167),
-	.B(n_14153),
-	.C(n_12989),
-	.D(n_13970),
-	.Y(n_17283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379103 (
-	.A(n_14166),
-	.B(n_14206),
-	.C(n_12955),
-	.D(n_13969),
-	.Y(n_17289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g379104 (
-	.A(n_14272),
-	.Y(n_17332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g379105 (
-	.A(n_14271),
-	.Y(n_17329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g379106 (
-	.A(n_14270),
-	.Y(n_17353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g379107 (
-	.A(n_14269),
-	.Y(n_17335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g379108 (
-	.A(n_14268),
-	.Y(n_17334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g379109 (
-	.A1(n_12770),
-	.A2(n_14263),
-	.B1(n_13999),
-	.Y(n_17230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g379110 (
-	.A1(n_11968),
-	.A2(n_12019),
-	.B1(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
-	.B2(n_13958),
-	.C1(n_12083),
-	.Y(\soc_top_xbar_to_lsu[d_valid] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379111 (
-	.A(n_14162),
-	.B(n_14204),
-	.C(n_12953),
-	.D(n_13965),
-	.Y(n_17282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379112 (
-	.A(n_14161),
-	.B(n_14203),
-	.C(n_12952),
-	.D(n_13964),
-	.Y(n_17268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379113 (
-	.A(n_14160),
-	.B(n_14201),
-	.C(n_12950),
-	.D(n_13963),
-	.Y(n_17267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379114 (
-	.A(n_14159),
-	.B(n_14227),
-	.C(n_12947),
-	.D(n_13960),
-	.Y(n_17288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379115 (
-	.A(n_14158),
-	.B(n_14229),
-	.C(n_12946),
-	.D(n_13959),
-	.Y(n_17266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g379116 (
-	.A(n_14079),
-	.B(n_14147),
-	.C(n_14081),
-	.D(n_14249),
-	.X(n_17352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g379117 (
-	.A(n_14150),
-	.B(n_14133),
-	.C(n_14134),
-	.D(n_14261),
-	.Y(n_14272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379118 (
-	.A(n_14186),
-	.B(n_14228),
-	.C(n_12957),
-	.D(n_13986),
-	.Y(n_17265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g379119 (
-	.A(n_14111),
-	.B(n_14112),
-	.C(n_14266),
-	.Y(n_14271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g379120 (
-	.A(n_14104),
-	.B(n_14149),
-	.C(n_14105),
-	.D(n_14254),
-	.X(n_17345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379121 (
-	.A(n_14178),
-	.B(n_14221),
-	.C(n_12971),
-	.D(n_13980),
-	.Y(n_17278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g379122 (
-	.A(n_14096),
-	.B(n_14148),
-	.C(n_14099),
-	.D(n_14252),
-	.Y(n_14270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379123 (
-	.A(n_14176),
-	.B(n_14220),
-	.C(n_12969),
-	.D(n_13979),
-	.Y(n_17277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379124 (
-	.A(n_14179),
-	.B(n_14218),
-	.C(n_12948),
-	.D(n_13962),
-	.Y(n_17293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379125 (
-	.A(n_14165),
-	.B(n_14200),
-	.C(n_12951),
-	.D(n_13967),
-	.Y(n_17292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g379126 (
-	.A(n_14146),
-	.B(n_14078),
-	.C(n_14080),
-	.D(n_14248),
-	.X(n_17342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g379127 (
-	.A(n_14056),
-	.B(n_14145),
-	.C(n_14064),
-	.D(n_14245),
-	.X(n_17359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379128 (
-	.A(n_14173),
-	.B(n_14215),
-	.C(n_12964),
-	.D(n_13976),
-	.Y(n_17285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379129 (
-	.A(n_14172),
-	.B(n_14214),
-	.C(n_12962),
-	.D(n_13974),
-	.Y(n_17274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g379130 (
-	.A(n_14066),
-	.B(n_14144),
-	.C(n_14068),
-	.D(n_14243),
-	.X(n_17351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g379131 (
-	.A_N(n_14242),
-	.B(n_14211),
-	.C(n_13827),
-	.D(n_13310),
-	.Y(n_17339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g379132 (
-	.A(n_14046),
-	.B(n_14143),
-	.C(n_13952),
-	.D(n_14240),
-	.X(n_17356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g379133 (
-	.A(n_14048),
-	.B(n_14142),
-	.C(n_14049),
-	.D(n_14239),
-	.X(n_17350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379134 (
-	.A(n_14230),
-	.B(n_14237),
-	.C(n_13210),
-	.D(n_13818),
-	.Y(n_17337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379135 (
-	.A(n_14164),
-	.B(n_14207),
-	.C(n_12987),
-	.D(n_13968),
-	.Y(n_17270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g379136 (
-	.A(n_14030),
-	.B(n_14141),
-	.C(n_14031),
-	.D(n_14236),
-	.X(n_17349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g379137 (
-	.A(n_14140),
-	.B(n_14022),
-	.C(n_14021),
-	.D(n_14235),
-	.Y(n_14269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g379138 (
-	.A(n_14012),
-	.B(n_14139),
-	.C(n_14015),
-	.D(n_14234),
-	.X(n_17355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g379139 (
-	.A(n_14138),
-	.B(n_14010),
-	.C(n_14011),
-	.D(n_14233),
-	.Y(n_14268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379140 (
-	.A(n_14157),
-	.B(n_14202),
-	.C(n_12949),
-	.D(n_13961),
-	.Y(n_17281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379141 (
-	.A(n_14163),
-	.B(n_14205),
-	.C(n_12954),
-	.D(n_13966),
-	.Y(n_17269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4b_1 g379142 (
-	.A_N(n_14065),
-	.B(n_14171),
-	.C(n_13829),
-	.D(n_13346),
-	.X(n_14267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379143 (
-	.A(n_14113),
-	.B(n_13855),
-	.C(n_13621),
-	.D(n_13854),
-	.Y(n_14266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379144 (
-	.A(n_13998),
-	.B(n_14222),
-	.Y(n_14265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g379145 (
-	.A(n_14121),
-	.B(n_14120),
-	.C(n_14225),
-	.Y(n_14264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379146 (
-	.A(n_13950),
-	.B(n_13869),
-	.C(n_13757),
-	.D(n_13759),
-	.Y(n_14262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379147 (
-	.A(n_13949),
-	.B(n_13867),
-	.C(n_13760),
-	.D(n_13761),
-	.Y(n_14261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379148 (
-	.A(n_13930),
-	.B(n_13861),
-	.C(n_13648),
-	.D(n_13651),
-	.Y(n_14260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379149 (
-	.A(n_13933),
-	.B(n_13857),
-	.C(n_13653),
-	.D(n_13655),
-	.Y(n_14259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379150 (
-	.A(n_13936),
-	.B(n_13858),
-	.C(n_13657),
-	.D(n_13085),
-	.Y(n_14258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379151 (
-	.A(n_13935),
-	.B(n_13934),
-	.C(n_13654),
-	.D(n_13656),
-	.Y(n_14257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379152 (
-	.A(n_13932),
-	.B(n_13859),
-	.C(n_13650),
-	.D(n_13652),
-	.Y(n_14256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379153 (
-	.A(n_13856),
-	.B(n_13927),
-	.C(n_13604),
-	.D(n_13606),
-	.Y(n_14255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379154 (
-	.A(n_13924),
-	.B(n_13852),
-	.C(n_13570),
-	.D(n_13572),
-	.Y(n_14254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379155 (
-	.A(n_13919),
-	.B(n_13850),
-	.C(n_13552),
-	.D(n_13553),
-	.Y(n_14253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379156 (
-	.A(n_13916),
-	.B(n_13848),
-	.C(n_13534),
-	.D(n_13536),
-	.Y(n_14252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379157 (
-	.A(n_13915),
-	.B(n_13846),
-	.C(n_13523),
-	.D(n_13522),
-	.Y(n_14251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379158 (
-	.A(n_13914),
-	.B(n_13844),
-	.C(n_13481),
-	.D(n_13482),
-	.Y(n_14250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379159 (
-	.A(n_13910),
-	.B(n_13841),
-	.C(n_13440),
-	.D(n_13442),
-	.Y(n_14249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379160 (
-	.A(n_13909),
-	.B(n_13839),
-	.C(n_13431),
-	.D(n_13432),
-	.Y(n_14248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379161 (
-	.A(n_13908),
-	.B(n_13838),
-	.C(n_13411),
-	.D(n_13414),
-	.Y(n_14247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379162 (
-	.A(n_13837),
-	.B(n_13905),
-	.C(n_13406),
-	.D(n_13407),
-	.Y(n_14246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379163 (
-	.A(n_13899),
-	.B(n_13834),
-	.C(n_13347),
-	.D(n_13356),
-	.Y(n_14245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379164 (
-	.A(n_13902),
-	.B(n_13835),
-	.C(n_13384),
-	.D(n_13385),
-	.Y(n_14244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379165 (
-	.A(n_13901),
-	.B(n_13831),
-	.C(n_13359),
-	.D(n_13360),
-	.Y(n_14243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379166 (
-	.A(n_13898),
-	.B(n_13826),
-	.C(n_13305),
-	.D(n_13306),
-	.Y(n_14242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379167 (
-	.A(n_13825),
-	.B(n_13895),
-	.C(n_13287),
-	.D(n_13289),
-	.Y(n_14241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379168 (
-	.A(n_13894),
-	.B(n_13823),
-	.C(n_13270),
-	.D(n_13271),
-	.Y(n_14240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379169 (
-	.A(n_13893),
-	.B(n_13821),
-	.C(n_13264),
-	.D(n_13266),
-	.Y(n_14239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379170 (
-	.A(n_13890),
-	.B(n_13819),
-	.C(n_13239),
-	.D(n_13240),
-	.Y(n_14238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g379171 (
-	.A(n_13889),
-	.B(n_13888),
-	.C(n_13817),
-	.X(n_14237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379172 (
-	.A(n_13886),
-	.B(n_13815),
-	.C(n_13164),
-	.D(n_13168),
-	.Y(n_14236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379173 (
-	.A(n_13882),
-	.B(n_13811),
-	.C(n_13113),
-	.D(n_13114),
-	.Y(n_14235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379174 (
-	.A(n_13880),
-	.B(n_13809),
-	.C(n_13080),
-	.D(n_13084),
-	.Y(n_14234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379175 (
-	.A(n_13877),
-	.B(n_13806),
-	.C(n_13060),
-	.D(n_13061),
-	.Y(n_14233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379176 (
-	.A(n_13876),
-	.B(n_13805),
-	.C(n_13050),
-	.D(n_13053),
-	.Y(n_14232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379177 (
-	.A(n_13872),
-	.B(n_13803),
-	.C(n_13006),
-	.D(n_13005),
-	.Y(n_14231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379178 (
-	.A(n_14039),
-	.B(n_14038),
-	.Y(n_14230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379179 (
-	.A(n_14137),
-	.B(n_14136),
-	.Y(n_14229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379180 (
-	.A(n_14132),
-	.B(n_14131),
-	.Y(n_14228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379181 (
-	.A(n_14126),
-	.B(n_14128),
-	.Y(n_14227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379182 (
-	.A(n_14123),
-	.B(n_14124),
-	.Y(n_14226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g379183 (
-	.A(n_13984),
-	.B(n_12986),
-	.Y(n_14225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379184 (
-	.A(n_14115),
-	.B(n_14114),
-	.Y(n_14224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379185 (
-	.A(n_14107),
-	.B(n_14108),
-	.Y(n_14223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379186 (
-	.A(n_13926),
-	.B(n_13925),
-	.C(n_13922),
-	.D(n_13923),
-	.Y(n_14222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379187 (
-	.A(n_14102),
-	.B(n_14101),
-	.Y(n_14221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379188 (
-	.A(n_14095),
-	.B(n_14097),
-	.Y(n_14220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379189 (
-	.A(n_14090),
-	.B(n_14092),
-	.Y(n_14219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379190 (
-	.A(n_14044),
-	.B(n_14087),
-	.Y(n_14218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379191 (
-	.A(n_14085),
-	.B(n_14086),
-	.Y(n_14217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379192 (
-	.A(n_14084),
-	.B(n_14082),
-	.Y(n_14216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379193 (
-	.A(n_14075),
-	.B(n_14077),
-	.Y(n_14215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379194 (
-	.A(n_14072),
-	.B(n_14071),
-	.Y(n_14214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379195 (
-	.A(n_14060),
-	.B(n_14061),
-	.Y(n_14213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379196 (
-	.A(n_14059),
-	.B(n_14058),
-	.Y(n_14212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379197 (
-	.A(n_14055),
-	.B(n_14057),
-	.Y(n_14211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379198 (
-	.A(n_14151),
-	.B(n_14054),
-	.Y(n_14210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379199 (
-	.A(n_14041),
-	.B(n_14040),
-	.Y(n_14209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g379200 (
-	.A(n_14052),
-	.B(n_491),
-	.X(n_14263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379201 (
-	.A(n_13845),
-	.B(n_13488),
-	.C(n_13485),
-	.D(n_13486),
-	.Y(n_14208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379202 (
-	.A(n_14037),
-	.B(n_14036),
-	.Y(n_14207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379203 (
-	.A(n_14019),
-	.B(n_14025),
-	.Y(n_14206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379204 (
-	.A(n_14024),
-	.B(n_14023),
-	.Y(n_14205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379205 (
-	.A(n_14017),
-	.B(n_14018),
-	.Y(n_14204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379206 (
-	.A(n_14016),
-	.B(n_14014),
-	.Y(n_14203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379207 (
-	.A(n_14007),
-	.B(n_14008),
-	.Y(n_14202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379208 (
-	.A(n_14005),
-	.B(n_14004),
-	.Y(n_14201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379209 (
-	.A(n_14129),
-	.B(n_14002),
-	.Y(n_14200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379210 (
-	.A(n_13866),
-	.B(n_13946),
-	.C(n_13724),
-	.D(n_13941),
-	.Y(n_14199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379211 (
-	.A(n_13943),
-	.B(n_13944),
-	.C(n_13706),
-	.D(n_13864),
-	.Y(n_14198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379212 (
-	.A(n_13863),
-	.B(n_13940),
-	.C(n_13691),
-	.D(n_13939),
-	.Y(n_14197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379213 (
-	.A(n_13862),
-	.B(n_13938),
-	.C(n_13680),
-	.D(n_13937),
-	.Y(n_14196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379214 (
-	.A(n_13921),
-	.B(n_13920),
-	.C(n_13567),
-	.D(n_13851),
-	.Y(n_14195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379215 (
-	.A(n_13847),
-	.B(n_13918),
-	.C(n_13535),
-	.D(n_13917),
-	.Y(n_14194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379216 (
-	.A(n_13843),
-	.B(n_13912),
-	.C(n_13462),
-	.D(n_13911),
-	.Y(n_14193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379217 (
-	.A(n_13904),
-	.B(n_13903),
-	.C(n_13395),
-	.D(n_13836),
-	.Y(n_14192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379218 (
-	.A(n_13891),
-	.B(n_13820),
-	.C(n_13260),
-	.D(n_13892),
-	.Y(n_14191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379219 (
-	.A(n_13814),
-	.B(n_13885),
-	.C(n_13162),
-	.D(n_13884),
-	.Y(n_14190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379220 (
-	.A(n_13808),
-	.B(n_13879),
-	.C(n_13081),
-	.D(n_13878),
-	.Y(n_14189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379221 (
-	.A(n_13802),
-	.B(n_13873),
-	.C(n_13015),
-	.D(n_13804),
-	.Y(n_14188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g379222 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [18]),
-	.B1(n_13733),
-	.C1(n_13732),
-	.D1(n_14130),
-	.Y(n_14187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g379223 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [3]),
-	.B1(n_13947),
-	.C1(n_14127),
-	.Y(n_14186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379224 (
-	.A(n_13945),
-	.B(n_13712),
-	.C(n_13708),
-	.D(n_13707),
-	.Y(n_14185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g379225 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [2]),
-	.B1(n_13942),
-	.C1(n_14125),
-	.Y(n_14184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379226 (
-	.A(n_13994),
-	.B(n_14117),
-	.Y(n_14183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g379227 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [17]),
-	.B1(n_13640),
-	.C1(n_13637),
-	.D1(n_14116),
-	.Y(n_14182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g379228 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [29]),
-	.B1(n_13613),
-	.C1(n_13589),
-	.D1(n_14106),
-	.Y(n_14181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379229 (
-	.A(n_13993),
-	.B(n_14110),
-	.Y(n_14180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g379230 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [31]),
-	.B1(n_13519),
-	.C1(n_13510),
-	.D1(n_14093),
-	.Y(n_14179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g379231 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [16]),
-	.B1(n_13543),
-	.C1(n_13542),
-	.D1(n_14100),
-	.Y(n_14178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g379232 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [24]),
-	.B1(n_13507),
-	.C1(n_13504),
-	.D1(n_14094),
-	.Y(n_14177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g379233 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [15]),
-	.B1(n_13497),
-	.C1(n_13495),
-	.D1(n_14091),
-	.Y(n_14176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g379234 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [14]),
-	.B1(n_13471),
-	.C1(n_13470),
-	.D1(n_14088),
-	.Y(n_14175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g379235 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [28]),
-	.B1(n_13787),
-	.C1(n_13791),
-	.D1(n_14074),
-	.Y(n_14174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g379236 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [23]),
-	.B1(n_13374),
-	.C1(n_13373),
-	.D1(n_14070),
-	.Y(n_14173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g379237 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [12]),
-	.B1(n_13367),
-	.C1(n_13366),
-	.D1(n_14069),
-	.Y(n_14172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379238 (
-	.A1(n_12870),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [12]),
-	.B1(n_12882),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [12]),
-	.C1(n_14067),
-	.Y(n_14171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g379239 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [11]),
-	.B1(n_13337),
-	.C1(n_13336),
-	.D1(n_14063),
-	.Y(n_14170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g379240 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [22]),
-	.B1(n_13332),
-	.C1(n_13330),
-	.D1(n_14062),
-	.Y(n_14169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g379241 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [9]),
-	.B1(n_13236),
-	.C1(n_13234),
-	.D1(n_14045),
-	.Y(n_14168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g379242 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [21]),
-	.B1(n_13228),
-	.C1(n_13227),
-	.D1(n_14043),
-	.Y(n_14167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g379243 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [27]),
-	.B1(n_13222),
-	.C1(n_13221),
-	.D1(n_14042),
-	.Y(n_14166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g379244 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [30]),
-	.B1(n_13197),
-	.C1(n_13195),
-	.D1(n_14033),
-	.Y(n_14165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g379245 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [8]),
-	.B1(n_13887),
-	.C1(n_14032),
-	.Y(n_14164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g379246 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [7]),
-	.B1(n_13883),
-	.C1(n_14027),
-	.Y(n_14163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g379247 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [20]),
-	.B1(n_13137),
-	.C1(n_13134),
-	.D1(n_14026),
-	.Y(n_14162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g379248 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [6]),
-	.B1(n_13881),
-	.C1(n_14020),
-	.Y(n_14161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g379249 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [5]),
-	.B1(n_13951),
-	.C1(n_14009),
-	.Y(n_14160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g379250 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [26]),
-	.B1(n_13029),
-	.C1(n_13026),
-	.D1(n_14006),
-	.Y(n_14159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g379251 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [4]),
-	.B1(n_13871),
-	.C1(n_14001),
-	.Y(n_14158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g379252 (
-	.A1(n_12869),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [19]),
-	.B1(n_12996),
-	.C1(n_12995),
-	.D1(n_14000),
-	.Y(n_14157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379253 (
-	.A(n_13870),
-	.B(n_13772),
-	.C(n_13767),
-	.D(n_13769),
-	.Y(n_14156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379254 (
-	.A(n_13865),
-	.B(n_13713),
-	.C(n_13716),
-	.D(n_13715),
-	.Y(n_14155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379255 (
-	.A(n_13860),
-	.B(n_13664),
-	.C(n_13663),
-	.D(n_13661),
-	.Y(n_14154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379256 (
-	.A(n_14034),
-	.B(n_14035),
-	.Y(n_14153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379257 (
-	.A(n_13277),
-	.B(n_13274),
-	.C(n_13272),
-	.D(n_13273),
-	.Y(n_14151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g379258 (
-	.A(n_13868),
-	.B(n_13765),
-	.Y(n_14150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g379259 (
-	.A(n_13853),
-	.B(n_13581),
-	.Y(n_14149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g379260 (
-	.A(n_13849),
-	.B(n_13541),
-	.Y(n_14148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g379261 (
-	.A(n_13842),
-	.B(n_13450),
-	.Y(n_14147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g379262 (
-	.A(n_13840),
-	.B(n_13438),
-	.Y(n_14146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g379263 (
-	.A(n_13833),
-	.B(n_13415),
-	.Y(n_14145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g379264 (
-	.A(n_13832),
-	.B(n_13368),
-	.Y(n_14144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g379265 (
-	.A(n_13824),
-	.B(n_13286),
-	.Y(n_14143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g379266 (
-	.A(n_13822),
-	.B(n_13269),
-	.Y(n_14142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g379267 (
-	.A(n_13816),
-	.B(n_13176),
-	.Y(n_14141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g379268 (
-	.A(n_13812),
-	.B(n_13118),
-	.Y(n_14140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g379269 (
-	.A(n_13810),
-	.B(n_13092),
-	.Y(n_14139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g379270 (
-	.A(n_13807),
-	.B(n_13068),
-	.Y(n_14138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379271 (
-	.A(n_13775),
-	.B(n_13776),
-	.C(n_13777),
-	.D(n_13773),
-	.Y(n_14137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379272 (
-	.A(n_13768),
-	.B(n_13770),
-	.C(n_13771),
-	.D(n_13766),
-	.Y(n_14136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379273 (
-	.A(n_13746),
-	.B(n_13743),
-	.C(n_13744),
-	.D(n_13745),
-	.Y(n_14135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379274 (
-	.A(n_13754),
-	.B(n_13756),
-	.C(n_13758),
-	.D(n_13753),
-	.Y(n_14134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379275 (
-	.A(n_13750),
-	.B(n_13747),
-	.C(n_13748),
-	.D(n_13752),
-	.Y(n_14133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379276 (
-	.A(n_13739),
-	.B(n_13740),
-	.C(n_13742),
-	.D(n_13738),
-	.Y(n_14132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379277 (
-	.A(n_13735),
-	.B(n_13736),
-	.C(n_13737),
-	.D(n_13734),
-	.Y(n_14131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379278 (
-	.A(n_13731),
-	.B(n_13730),
-	.C(n_13728),
-	.D(n_13729),
-	.Y(n_14130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379279 (
-	.A(n_13764),
-	.B(n_13755),
-	.C(n_13741),
-	.D(n_13749),
-	.Y(n_14129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379280 (
-	.A(n_13727),
-	.B(n_13726),
-	.C(n_13717),
-	.D(n_13722),
-	.Y(n_14128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379281 (
-	.A(n_13721),
-	.B(n_13719),
-	.C(n_13718),
-	.D(n_13720),
-	.Y(n_14127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379282 (
-	.A(n_13714),
-	.B(n_13709),
-	.C(n_13702),
-	.D(n_13704),
-	.Y(n_14126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379283 (
-	.A(n_13698),
-	.B(n_13696),
-	.C(n_13695),
-	.D(n_13697),
-	.Y(n_14125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379284 (
-	.A(n_13694),
-	.B(n_13692),
-	.C(n_13689),
-	.D(n_13690),
-	.Y(n_14124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379285 (
-	.A(n_13688),
-	.B(n_13686),
-	.C(n_13683),
-	.D(n_13684),
-	.Y(n_14123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379286 (
-	.A(n_13676),
-	.B(n_13671),
-	.C(n_13667),
-	.D(n_13666),
-	.Y(n_14122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379287 (
-	.A(n_13646),
-	.B(n_13678),
-	.C(n_13679),
-	.D(n_13675),
-	.Y(n_14121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379288 (
-	.A(n_13669),
-	.B(n_13672),
-	.C(n_13673),
-	.D(n_13668),
-	.Y(n_14120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379289 (
-	.A(n_13693),
-	.B(n_13685),
-	.C(n_13677),
-	.D(n_13681),
-	.Y(n_14119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379290 (
-	.A(n_13665),
-	.B(n_13662),
-	.C(n_13659),
-	.D(n_13660),
-	.Y(n_14118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379291 (
-	.A(n_13642),
-	.B(n_13641),
-	.C(n_13638),
-	.D(n_13639),
-	.Y(n_14117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379292 (
-	.A(n_13636),
-	.B(n_13634),
-	.C(n_13632),
-	.D(n_13633),
-	.Y(n_14116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379293 (
-	.A(n_13629),
-	.B(n_13628),
-	.C(n_13626),
-	.D(n_13627),
-	.Y(n_14115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379294 (
-	.A(n_13625),
-	.B(n_13624),
-	.C(n_13622),
-	.D(n_13623),
-	.Y(n_14114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g379295 (
-	.A(n_13928),
-	.B(n_13618),
-	.C(n_13617),
-	.X(n_14113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379296 (
-	.A(n_13615),
-	.B(n_13614),
-	.C(n_13611),
-	.D(n_13612),
-	.Y(n_14112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379297 (
-	.A(n_13609),
-	.B(n_13607),
-	.C(n_13608),
-	.D(n_13610),
-	.Y(n_14111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379298 (
-	.A(n_13602),
-	.B(n_13601),
-	.C(n_13598),
-	.D(n_13600),
-	.Y(n_14110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379299 (
-	.A(n_13595),
-	.B(n_13594),
-	.C(n_13584),
-	.D(n_13588),
-	.Y(n_14109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379300 (
-	.A(n_13599),
-	.B(n_13596),
-	.C(n_13592),
-	.D(n_13593),
-	.Y(n_14108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379301 (
-	.A(n_13590),
-	.B(n_13587),
-	.C(n_13583),
-	.D(n_13585),
-	.Y(n_14107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379302 (
-	.A(n_13591),
-	.B(n_13586),
-	.C(n_13383),
-	.D(n_13582),
-	.Y(n_14106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379303 (
-	.A(n_13569),
-	.B(n_13568),
-	.C(n_13564),
-	.D(n_13566),
-	.Y(n_14105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379304 (
-	.A(n_13559),
-	.B(n_13554),
-	.C(n_13556),
-	.D(n_13561),
-	.Y(n_14104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379305 (
-	.A(n_13562),
-	.B(n_13560),
-	.C(n_13557),
-	.D(n_13558),
-	.Y(n_14103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379306 (
-	.A(n_13551),
-	.B(n_13550),
-	.C(n_13548),
-	.D(n_13549),
-	.Y(n_14102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379307 (
-	.A(n_13547),
-	.B(n_13546),
-	.C(n_13544),
-	.D(n_13545),
-	.Y(n_14101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379308 (
-	.A(n_13540),
-	.B(n_13539),
-	.C(n_13537),
-	.D(n_13538),
-	.Y(n_14100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379309 (
-	.A(n_13529),
-	.B(n_13527),
-	.C(n_13521),
-	.D(n_13524),
-	.Y(n_14099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379310 (
-	.A(n_13530),
-	.B(n_13528),
-	.C(n_13525),
-	.D(n_13526),
-	.Y(n_14098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379311 (
-	.A(n_13514),
-	.B(n_13513),
-	.C(n_13511),
-	.D(n_13512),
-	.Y(n_14097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379312 (
-	.A(n_13517),
-	.B(n_13515),
-	.C(n_13516),
-	.D(n_13518),
-	.Y(n_14096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379313 (
-	.A(n_13509),
-	.B(n_13508),
-	.C(n_13505),
-	.D(n_13506),
-	.Y(n_14095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379314 (
-	.A(n_13503),
-	.B(n_13502),
-	.C(n_13500),
-	.D(n_13501),
-	.Y(n_14094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379315 (
-	.A(n_13498),
-	.B(n_13487),
-	.C(n_13459),
-	.D(n_13469),
-	.Y(n_14093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379316 (
-	.A(n_13499),
-	.B(n_13496),
-	.C(n_13490),
-	.D(n_13494),
-	.Y(n_14092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379317 (
-	.A(n_13493),
-	.B(n_13492),
-	.C(n_13489),
-	.D(n_13491),
-	.Y(n_14091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379318 (
-	.A(n_13484),
-	.B(n_13483),
-	.C(n_13472),
-	.D(n_13475),
-	.Y(n_14090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379319 (
-	.A(n_13477),
-	.B(n_13473),
-	.C(n_13474),
-	.D(n_13478),
-	.Y(n_14089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379320 (
-	.A(n_13468),
-	.B(n_13467),
-	.C(n_13465),
-	.D(n_13466),
-	.Y(n_14088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379321 (
-	.A(n_13476),
-	.B(n_13325),
-	.C(n_13186),
-	.D(n_13249),
-	.Y(n_14087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379322 (
-	.A(n_13464),
-	.B(n_13463),
-	.C(n_13460),
-	.D(n_13461),
-	.Y(n_14086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379323 (
-	.A(n_13458),
-	.B(n_13456),
-	.C(n_13454),
-	.D(n_13455),
-	.Y(n_14085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379324 (
-	.A(n_13452),
-	.B(n_13451),
-	.C(n_13448),
-	.D(n_13449),
-	.Y(n_14084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379325 (
-	.A(n_13447),
-	.B(n_13445),
-	.C(n_13437),
-	.D(n_13439),
-	.Y(n_14083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379326 (
-	.A(n_13446),
-	.B(n_13444),
-	.C(n_13441),
-	.D(n_13443),
-	.Y(n_14082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379327 (
-	.A(n_13434),
-	.B(n_13433),
-	.C(n_13428),
-	.D(n_13430),
-	.Y(n_14081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379328 (
-	.A(n_13429),
-	.B(n_13427),
-	.C(n_13425),
-	.D(n_13426),
-	.Y(n_14080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379329 (
-	.A(n_13421),
-	.B(n_13416),
-	.C(n_13417),
-	.D(n_13424),
-	.Y(n_14079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379330 (
-	.A(n_13422),
-	.B(n_13419),
-	.C(n_13420),
-	.D(n_13423),
-	.Y(n_14078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379331 (
-	.A(n_13410),
-	.B(n_13408),
-	.C(n_13404),
-	.D(n_13405),
-	.Y(n_14077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379332 (
-	.A(n_13403),
-	.B(n_13402),
-	.C(n_13400),
-	.D(n_13401),
-	.Y(n_14076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379333 (
-	.A(n_13399),
-	.B(n_13786),
-	.C(n_13792),
-	.D(n_13789),
-	.Y(n_14075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379334 (
-	.A(n_13790),
-	.B(n_13196),
-	.C(n_13394),
-	.D(n_13396),
-	.Y(n_14074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379335 (
-	.A(n_13391),
-	.B(n_13390),
-	.C(n_13388),
-	.D(n_13389),
-	.Y(n_14073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379336 (
-	.A(n_13382),
-	.B(n_13381),
-	.C(n_13379),
-	.D(n_13380),
-	.Y(n_14072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379337 (
-	.A(n_13378),
-	.B(n_13377),
-	.C(n_13375),
-	.D(n_13376),
-	.Y(n_14071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379338 (
-	.A(n_13372),
-	.B(n_13371),
-	.C(n_13369),
-	.D(n_13370),
-	.Y(n_14070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379339 (
-	.A(n_13365),
-	.B(n_13364),
-	.C(n_13362),
-	.D(n_13363),
-	.Y(n_14069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379340 (
-	.A(n_13355),
-	.B(n_13354),
-	.C(n_13350),
-	.D(n_13352),
-	.Y(n_14068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379341 (
-	.A(n_13351),
-	.B(n_13348),
-	.C(n_13353),
-	.D(n_13349),
-	.Y(n_14067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379342 (
-	.A(n_13341),
-	.B(n_13339),
-	.C(n_13344),
-	.D(n_13345),
-	.Y(n_14066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379343 (
-	.A(n_13343),
-	.B(n_13342),
-	.C(n_13338),
-	.D(n_13340),
-	.Y(n_14065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379344 (
-	.A(n_13323),
-	.B(n_13311),
-	.C(n_13275),
-	.D(n_13282),
-	.Y(n_14064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379345 (
-	.A(n_13335),
-	.B(n_13334),
-	.C(n_13331),
-	.D(n_13333),
-	.Y(n_14063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379346 (
-	.A(n_13329),
-	.B(n_13328),
-	.C(n_13326),
-	.D(n_13327),
-	.Y(n_14062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379347 (
-	.A(n_13322),
-	.B(n_13321),
-	.C(n_13309),
-	.D(n_13316),
-	.Y(n_14061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379348 (
-	.A(n_13308),
-	.B(n_13304),
-	.C(n_13292),
-	.D(n_13299),
-	.Y(n_14060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379349 (
-	.A(n_13320),
-	.B(n_13319),
-	.C(n_13317),
-	.D(n_13318),
-	.Y(n_14059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379350 (
-	.A(n_13315),
-	.B(n_13314),
-	.C(n_13313),
-	.D(n_13312),
-	.Y(n_14058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379351 (
-	.A(n_13303),
-	.B(n_13302),
-	.C(n_13300),
-	.D(n_13301),
-	.Y(n_14057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379352 (
-	.A(n_13267),
-	.B(n_13259),
-	.C(n_13265),
-	.D(n_13276),
-	.Y(n_14056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379353 (
-	.A(n_13297),
-	.B(n_13295),
-	.C(n_13298),
-	.D(n_13296),
-	.Y(n_14055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379354 (
-	.A(n_13290),
-	.B(n_13288),
-	.C(n_13281),
-	.D(n_13284),
-	.Y(n_14054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379355 (
-	.A(n_13285),
-	.B(n_13283),
-	.C(n_13279),
-	.D(n_13280),
-	.Y(n_14053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g379356 (
-	.A(n_11899),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[31]),
-	.X(n_14152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g379357 (
-	.A(n_14052),
-	.Y(n_14051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379358 (
-	.A1(n_13798),
-	.A2(soc_top_u_top_u_core_rf_raddr_b[4]),
-	.B1(n_12840),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[11]),
-	.X(n_14050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379359 (
-	.A(n_13258),
-	.B(n_13255),
-	.C(n_13252),
-	.D(n_13253),
-	.Y(n_14049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379360 (
-	.A(n_13244),
-	.B(n_13238),
-	.C(n_13241),
-	.D(n_13245),
-	.Y(n_14048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379361 (
-	.A(n_13251),
-	.B(n_13250),
-	.C(n_13246),
-	.D(n_13248),
-	.Y(n_14047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379362 (
-	.A(n_13237),
-	.B(n_13233),
-	.C(n_13235),
-	.D(n_13243),
-	.Y(n_14046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379363 (
-	.A(n_13232),
-	.B(n_13231),
-	.C(n_13229),
-	.D(n_13230),
-	.Y(n_14045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379364 (
-	.A(n_13166),
-	.B(n_13135),
-	.C(n_13069),
-	.D(n_13095),
-	.Y(n_14044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379365 (
-	.A(n_13226),
-	.B(n_13225),
-	.C(n_13223),
-	.D(n_13224),
-	.Y(n_14043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379366 (
-	.A(n_13217),
-	.B(n_13213),
-	.C(n_13207),
-	.D(n_13209),
-	.Y(n_14042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379367 (
-	.A(n_13220),
-	.B(n_13219),
-	.C(n_13216),
-	.D(n_13218),
-	.Y(n_14041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379368 (
-	.A(n_13215),
-	.B(n_13214),
-	.C(n_13211),
-	.D(n_13212),
-	.Y(n_14040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379369 (
-	.A(n_13205),
-	.B(n_13204),
-	.C(n_13202),
-	.D(n_13203),
-	.Y(n_14039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379370 (
-	.A(n_13199),
-	.B(n_13198),
-	.C(n_13200),
-	.D(n_13201),
-	.Y(n_14038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379371 (
-	.A(n_13397),
-	.B(n_13194),
-	.C(n_13192),
-	.D(n_13193),
-	.Y(n_14037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379372 (
-	.A(n_13191),
-	.B(n_13190),
-	.C(n_13187),
-	.D(n_13189),
-	.Y(n_14036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379373 (
-	.A(n_13188),
-	.B(n_13185),
-	.C(n_13182),
-	.D(n_13184),
-	.Y(n_14035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379374 (
-	.A(n_13181),
-	.B(n_13180),
-	.C(n_13178),
-	.D(n_13179),
-	.Y(n_14034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379375 (
-	.A(n_13183),
-	.B(n_13175),
-	.C(n_13165),
-	.D(n_13172),
-	.Y(n_14033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379376 (
-	.A(n_13171),
-	.B(n_13170),
-	.C(n_13167),
-	.D(n_13169),
-	.Y(n_14032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379377 (
-	.A(n_13159),
-	.B(n_13158),
-	.C(n_13156),
-	.D(n_13152),
-	.Y(n_14031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379378 (
-	.A(n_13145),
-	.B(n_13144),
-	.C(n_13151),
-	.D(n_13148),
-	.Y(n_14030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379379 (
-	.A(n_13157),
-	.B(n_13155),
-	.C(n_13153),
-	.D(n_13154),
-	.Y(n_14029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379380 (
-	.A(n_13150),
-	.B(n_13147),
-	.C(n_13149),
-	.D(n_13146),
-	.Y(n_14028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379381 (
-	.A(n_13140),
-	.B(n_13139),
-	.C(n_13136),
-	.D(n_13138),
-	.Y(n_14027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379382 (
-	.A(n_13133),
-	.B(n_13132),
-	.C(n_13130),
-	.D(n_13131),
-	.Y(n_14026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379383 (
-	.A(n_13129),
-	.B(n_13128),
-	.C(n_13123),
-	.D(n_13117),
-	.Y(n_14025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379384 (
-	.A(n_13127),
-	.B(n_13126),
-	.C(n_13124),
-	.D(n_13125),
-	.Y(n_14024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379385 (
-	.A(n_13122),
-	.B(n_13121),
-	.C(n_13119),
-	.D(n_13120),
-	.Y(n_14023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379386 (
-	.A(n_13111),
-	.B(n_13110),
-	.C(n_13109),
-	.D(n_13112),
-	.Y(n_14022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379387 (
-	.A(n_13106),
-	.B(n_13104),
-	.C(n_13107),
-	.D(n_13108),
-	.Y(n_14021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379388 (
-	.A(n_13099),
-	.B(n_13098),
-	.C(n_13096),
-	.D(n_13097),
-	.Y(n_14020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379389 (
-	.A(n_13115),
-	.B(n_13103),
-	.C(n_13102),
-	.D(n_13105),
-	.Y(n_14019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379390 (
-	.A(n_13094),
-	.B(n_13093),
-	.C(n_13090),
-	.D(n_13091),
-	.Y(n_14018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379391 (
-	.A(n_13089),
-	.B(n_13088),
-	.C(n_13086),
-	.D(n_13087),
-	.Y(n_14017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379392 (
-	.A(n_13083),
-	.B(n_13079),
-	.C(n_13078),
-	.D(n_13082),
-	.Y(n_14016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379393 (
-	.A(n_13071),
-	.B(n_13066),
-	.C(n_13057),
-	.D(n_13059),
-	.Y(n_14015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379394 (
-	.A(n_13077),
-	.B(n_13073),
-	.C(n_13072),
-	.D(n_13074),
-	.Y(n_14014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379395 (
-	.A(n_13067),
-	.B(n_13065),
-	.C(n_13062),
-	.D(n_13064),
-	.Y(n_14013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379396 (
-	.A(n_13036),
-	.B(n_13044),
-	.C(n_13046),
-	.D(n_13047),
-	.Y(n_14012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379397 (
-	.A(n_13058),
-	.B(n_13055),
-	.C(n_13054),
-	.D(n_13056),
-	.Y(n_14011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379398 (
-	.A(n_13051),
-	.B(n_13048),
-	.C(n_13049),
-	.D(n_13052),
-	.Y(n_14010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379399 (
-	.A(n_13041),
-	.B(n_13039),
-	.C(n_13038),
-	.D(n_13040),
-	.Y(n_14009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379400 (
-	.A(n_13037),
-	.B(n_13035),
-	.C(n_13033),
-	.D(n_13034),
-	.Y(n_14008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379401 (
-	.A(n_13032),
-	.B(n_13031),
-	.C(n_13028),
-	.D(n_13030),
-	.Y(n_14007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379402 (
-	.A(n_13023),
-	.B(n_13017),
-	.C(n_13012),
-	.D(n_13016),
-	.Y(n_14006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379403 (
-	.A(n_13024),
-	.B(n_13025),
-	.C(n_13027),
-	.D(n_13022),
-	.Y(n_14005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379404 (
-	.A(n_13019),
-	.B(n_13020),
-	.C(n_13021),
-	.D(n_13018),
-	.Y(n_14004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379405 (
-	.A(n_13009),
-	.B(n_13010),
-	.C(n_13011),
-	.D(n_13008),
-	.Y(n_14003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379406 (
-	.A(n_13003),
-	.B(n_12997),
-	.C(n_13774),
-	.D(n_13779),
-	.Y(n_14002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379407 (
-	.A(n_13001),
-	.B(n_12999),
-	.C(n_12998),
-	.D(n_13000),
-	.Y(n_14001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379408 (
-	.A(n_13782),
-	.B(n_13781),
-	.C(n_13778),
-	.D(n_13780),
-	.Y(n_14000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g379409 (
-	.A1(n_13793),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
-	.B1(n_12939),
-	.Y(n_13999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g379410 (
-	.A(n_13597),
-	.B(n_13799),
-	.Y(n_13998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g379411 (
-	.A1(n_15226),
-	.A2(n_12831),
-	.B1(n_12852),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [25]),
-	.C1(n_13579),
-	.X(n_13997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g379412 (
-	.A1(n_12831),
-	.A2(n_15214),
-	.B1(n_12852),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [13]),
-	.C1(n_13398),
-	.X(n_13996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g379413 (
-	.A1(n_15211),
-	.A2(n_12831),
-	.B1(n_12852),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [10]),
-	.C1(n_13278),
-	.X(n_13995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g379414 (
-	.A(n_12961),
-	.B(n_13645),
-	.C(n_13643),
-	.Y(n_13994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g379415 (
-	.A(n_12979),
-	.B(n_13605),
-	.C(n_13603),
-	.Y(n_13993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g379416 (
-	.A1(n_13793),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
-	.B1(n_12900),
-	.Y(n_13992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379417 (
-	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[19]),
-	.A2(n_12990),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[4]),
-	.C1(n_13795),
-	.C2(soc_top_u_top_u_core_pc_id[4]),
-	.Y(n_13991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379418 (
-	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
-	.A2(n_12990),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[3]),
-	.C1(n_13795),
-	.C2(soc_top_u_top_u_core_pc_id[3]),
-	.Y(n_13990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379419 (
-	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[16]),
-	.A2(n_12990),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[1]),
-	.C1(n_13795),
-	.C2(soc_top_u_top_u_core_pc_id[1]),
-	.Y(n_13989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379420 (
-	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[17]),
-	.A2(n_12990),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[2]),
-	.C1(n_13795),
-	.C2(soc_top_u_top_u_core_pc_id[2]),
-	.Y(n_13988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g379421 (
-	.A1(n_13798),
-	.A2(n_12715),
-	.A3(soc_top_u_top_u_core_rf_raddr_b[0]),
-	.B1(n_12773),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[7]),
-	.Y(n_13987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379422 (
-	.A1(n_12831),
-	.A2(n_15204),
-	.B1(n_12713),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[3]),
-	.C1(n_12871),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [3]),
-	.Y(n_13986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379423 (
-	.A1(n_12831),
-	.A2(n_15219),
-	.B1(n_12713),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[18]),
-	.C1(n_12868),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [18]),
-	.Y(n_13985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g379424 (
-	.A1(n_12868),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [2]),
-	.B1(n_13800),
-	.Y(n_13984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379425 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [1]),
-	.A2(n_12868),
-	.B1(n_15202),
-	.B2(n_12831),
-	.C1(n_12713),
-	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[1]),
-	.Y(n_13983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379426 (
-	.A1(n_12831),
-	.A2(n_15218),
-	.B1(n_12713),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[17]),
-	.C1(n_12868),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [17]),
-	.Y(n_13982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379427 (
-	.A1(n_12831),
-	.A2(n_15230),
-	.B1(n_12713),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[29]),
-	.C1(n_12871),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [29]),
-	.Y(n_13981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379428 (
-	.A1(n_12831),
-	.A2(n_15217),
-	.B1(n_12713),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[16]),
-	.C1(n_12868),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [16]),
-	.Y(n_13980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379429 (
-	.A1(n_12831),
-	.A2(n_15216),
-	.B1(n_12713),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[15]),
-	.C1(n_12871),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [15]),
-	.Y(n_13979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379430 (
-	.A1(n_12831),
-	.A2(n_15225),
-	.B1(n_12713),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[24]),
-	.C1(n_12868),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [24]),
-	.Y(n_13978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379431 (
-	.A1(n_12831),
-	.A2(n_15215),
-	.B1(n_12713),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[14]),
-	.C1(n_12871),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [14]),
-	.Y(n_13977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379432 (
-	.A1(n_12831),
-	.A2(n_15224),
-	.B1(n_12713),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[23]),
-	.C1(n_12868),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [23]),
-	.Y(n_13976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379433 (
-	.A1(n_12831),
-	.A2(n_15229),
-	.B1(n_12713),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[28]),
-	.C1(n_12868),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [28]),
-	.Y(n_13975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379434 (
-	.A1(n_12831),
-	.A2(n_15213),
-	.B1(n_12713),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[12]),
-	.C1(n_12871),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [12]),
-	.Y(n_13974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379435 (
-	.A1(n_12831),
-	.A2(n_15212),
-	.B1(n_12713),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[11]),
-	.C1(n_12868),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [11]),
-	.Y(n_13973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379436 (
-	.A1(n_12831),
-	.A2(n_15223),
-	.B1(n_12713),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[22]),
-	.C1(n_12871),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [22]),
-	.Y(n_13972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379437 (
-	.A1(n_12831),
-	.A2(n_15210),
-	.B1(n_12713),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[9]),
-	.C1(n_12871),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [9]),
-	.Y(n_13971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379438 (
-	.A1(n_12831),
-	.A2(n_15222),
-	.B1(n_12713),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[21]),
-	.C1(n_12871),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [21]),
-	.Y(n_13970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379439 (
-	.A1(n_12831),
-	.A2(n_15228),
-	.B1(n_12713),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[27]),
-	.C1(n_12871),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [27]),
-	.Y(n_13969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379440 (
-	.A1(n_12831),
-	.A2(n_15209),
-	.B1(n_12713),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[8]),
-	.C1(n_12871),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [8]),
-	.Y(n_13968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379441 (
-	.A1(n_12831),
-	.A2(n_15231),
-	.B1(n_12713),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[30]),
-	.C1(n_12868),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [30]),
-	.Y(n_13967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379442 (
-	.A1(n_12831),
-	.A2(n_15208),
-	.B1(n_12713),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[7]),
-	.C1(n_12871),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [7]),
-	.Y(n_13966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379443 (
-	.A1(n_12831),
-	.A2(n_15221),
-	.B1(n_12713),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[20]),
-	.C1(n_12871),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [20]),
-	.Y(n_13965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379444 (
-	.A1(n_12831),
-	.A2(n_15207),
-	.B1(n_12713),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[6]),
-	.C1(n_12871),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [6]),
-	.Y(n_13964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379445 (
-	.A1(n_12831),
-	.A2(n_15206),
-	.B1(n_12713),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[5]),
-	.C1(n_12871),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [5]),
-	.Y(n_13963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379446 (
-	.A1(n_12831),
-	.A2(n_15232),
-	.B1(n_12713),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[31]),
-	.C1(n_12868),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [31]),
-	.Y(n_13962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379447 (
-	.A1(n_12831),
-	.A2(n_15220),
-	.B1(n_12713),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[19]),
-	.C1(n_12868),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [19]),
-	.Y(n_13961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379448 (
-	.A1(n_12831),
-	.A2(n_15227),
-	.B1(n_12713),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[26]),
-	.C1(n_12871),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [26]),
-	.Y(n_13960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379449 (
-	.A1(n_12831),
-	.A2(n_15205),
-	.B1(n_12713),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[4]),
-	.C1(n_12868),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [4]),
-	.Y(n_13959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g379450 (
-	.A1(n_11948),
-	.A2(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
-	.A3(\soc_top_pwm_to_xbar[d_valid] ),
-	.B1(n_13801),
-	.Y(n_13958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g379451 (
-	.A1(n_13793),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
-	.B1(n_12908),
-	.C1(n_12942),
-	.Y(n_13957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379452 (
-	.A1(n_13795),
-	.A2(soc_top_u_top_u_core_pc_id[13]),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[13]),
-	.Y(n_13956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379453 (
-	.A1(n_13795),
-	.A2(soc_top_u_top_u_core_pc_id[10]),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[10]),
-	.Y(n_13955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379454 (
-	.A1(n_13795),
-	.A2(soc_top_u_top_u_core_pc_id[6]),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[6]),
-	.Y(n_13954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379455 (
-	.A1(n_13795),
-	.A2(soc_top_u_top_u_core_pc_id[5]),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[5]),
-	.Y(n_13953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g379456 (
-	.A(n_13263),
-	.B(n_13262),
-	.C(n_13247),
-	.D(n_13257),
-	.Y(n_13952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g379457 (
-	.A(n_14911),
-	.B(n_13785),
-	.C(n_14912),
-	.X(n_14052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g379458 (
-	.A(n_13043),
-	.B(n_13042),
-	.Y(n_13951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379459 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [19]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [19]),
-	.C1(n_13762),
-	.Y(n_13950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379460 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [4]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [4]),
-	.C1(n_13763),
-	.Y(n_13949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g379461 (
-	.A1(n_12892),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [19]),
-	.B1(n_12893),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [19]),
-	.C1(n_13751),
-	.X(n_13948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g379462 (
-	.A(n_13725),
-	.B(n_13723),
-	.Y(n_13947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379463 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [30]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [30]),
-	.C1(n_13710),
-	.Y(n_13946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379464 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [3]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [3]),
-	.C1(n_13711),
-	.Y(n_13945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379465 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [3]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [3]),
-	.C1(n_13705),
-	.Y(n_13944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379466 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [3]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [3]),
-	.C1(n_13703),
-	.Y(n_13943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g379467 (
-	.A(n_13701),
-	.B(n_13700),
-	.Y(n_13942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379468 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [30]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [30]),
-	.C1(n_13699),
-	.Y(n_13941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379469 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [26]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [26]),
-	.C1(n_13687),
-	.Y(n_13940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379470 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [26]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [26]),
-	.C1(n_13682),
-	.Y(n_13939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379471 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [18]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [18]),
-	.C1(n_13674),
-	.Y(n_13938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379472 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [18]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [18]),
-	.C1(n_13670),
-	.Y(n_13937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379473 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [2]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [2]),
-	.C1(n_13658),
-	.Y(n_13936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379474 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [2]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [2]),
-	.C1(n_13143),
-	.Y(n_13935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379475 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [2]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [2]),
-	.C1(n_13177),
-	.Y(n_13934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379476 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [18]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [18]),
-	.C1(n_13649),
-	.Y(n_13933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379477 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [26]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [26]),
-	.C1(n_13644),
-	.Y(n_13932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379478 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [25]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [25]),
-	.C1(n_13631),
-	.Y(n_13931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379479 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [30]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [30]),
-	.C1(n_13635),
-	.Y(n_13930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379480 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [25]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [25]),
-	.C1(n_13630),
-	.Y(n_13929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379481 (
-	.A(n_13619),
-	.B(n_13620),
-	.Y(n_13928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379482 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [25]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [25]),
-	.C1(n_13616),
-	.Y(n_13927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379483 (
-	.A(n_18242),
-	.B(n_13580),
-	.Y(n_13926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379484 (
-	.A(n_18512),
-	.B(n_18243),
-	.Y(n_13925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379485 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [17]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [17]),
-	.C1(n_13574),
-	.Y(n_13924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379486 (
-	.A(n_13387),
-	.B(n_18244),
-	.Y(n_13923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379487 (
-	.A(n_13571),
-	.B(n_18513),
-	.Y(n_13922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379488 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [0]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [0]),
-	.C1(n_13565),
-	.Y(n_13921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379489 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [0]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [0]),
-	.C1(n_13563),
-	.Y(n_13920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379490 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [0]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [0]),
-	.C1(n_13555),
-	.Y(n_13919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379491 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [16]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [16]),
-	.C1(n_13533),
-	.Y(n_13918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379492 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [16]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [16]),
-	.C1(n_13532),
-	.Y(n_13917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379493 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [25]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [25]),
-	.C1(n_13531),
-	.Y(n_13916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379494 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [16]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [16]),
-	.C1(n_13520),
-	.Y(n_13915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379495 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [15]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [15]),
-	.C1(n_13480),
-	.Y(n_13914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g379496 (
-	.A1(n_11926),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [15]),
-	.B1(n_12884),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [15]),
-	.C1(n_13479),
-	.X(n_13913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379497 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [29]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [29]),
-	.C1(n_13457),
-	.Y(n_13912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379498 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [29]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [29]),
-	.C1(n_13453),
-	.Y(n_13911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379499 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [24]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [24]),
-	.C1(n_13436),
-	.Y(n_13910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379500 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [14]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [14]),
-	.C1(n_13435),
-	.Y(n_13909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379501 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [29]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [29]),
-	.C1(n_13418),
-	.Y(n_13908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379502 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [13]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [13]),
-	.C1(n_13413),
-	.Y(n_13907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379503 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [13]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [13]),
-	.C1(n_13412),
-	.Y(n_13906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379504 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [13]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [13]),
-	.C1(n_13409),
-	.Y(n_13905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379505 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [13]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [13]),
-	.C1(n_13393),
-	.Y(n_13904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379506 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [13]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [13]),
-	.C1(n_13392),
-	.Y(n_13903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379507 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [13]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [13]),
-	.C1(n_13386),
-	.Y(n_13902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379508 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [23]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [23]),
-	.C1(n_13361),
-	.Y(n_13901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379509 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [12]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [12]),
-	.C1(n_13358),
-	.Y(n_13900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379510 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [31]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [31]),
-	.C1(n_13324),
-	.Y(n_13899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379511 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [11]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [11]),
-	.C1(n_13307),
-	.Y(n_13898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379512 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [10]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [10]),
-	.C1(n_13294),
-	.Y(n_13897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379513 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [10]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [10]),
-	.C1(n_13293),
-	.Y(n_13896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379514 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [10]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [10]),
-	.C1(n_13291),
-	.Y(n_13895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379515 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [28]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [28]),
-	.C1(n_13268),
-	.Y(n_13894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379516 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [22]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [22]),
-	.C1(n_13261),
-	.Y(n_13893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379517 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [10]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [10]),
-	.C1(n_13256),
-	.Y(n_13892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379518 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [10]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [10]),
-	.C1(n_13254),
-	.Y(n_13891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379519 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [10]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [10]),
-	.C1(n_13242),
-	.Y(n_13890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379520 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [9]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [9]),
-	.C1(n_13208),
-	.Y(n_13889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379521 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [9]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [9]),
-	.C1(n_13206),
-	.Y(n_13888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g379522 (
-	.A(n_13174),
-	.B(n_13173),
-	.Y(n_13887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379523 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [21]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [21]),
-	.C1(n_13163),
-	.Y(n_13886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379524 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [8]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [8]),
-	.C1(n_13161),
-	.Y(n_13885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379525 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [8]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [8]),
-	.C1(n_13160),
-	.Y(n_13884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g379526 (
-	.A(n_13142),
-	.B(n_13141),
-	.Y(n_13883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379527 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [7]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [7]),
-	.C1(n_13116),
-	.Y(n_13882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g379528 (
-	.A(n_13101),
-	.B(n_13100),
-	.Y(n_13881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379529 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [27]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [27]),
-	.C1(n_13076),
-	.Y(n_13880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379530 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [20]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [20]),
-	.C1(n_13075),
-	.Y(n_13879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379531 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [20]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [20]),
-	.C1(n_13070),
-	.Y(n_13878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379532 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [6]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [6]),
-	.C1(n_13063),
-	.Y(n_13877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379533 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [20]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [20]),
-	.C1(n_13045),
-	.Y(n_13876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g379534 (
-	.A(n_13798),
-	.B(soc_top_u_top_u_core_rf_raddr_b[1]),
-	.Y(n_13875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379536 (
-	.A1(n_12990),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[15]),
-	.B1(n_12086),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[0]),
-	.Y(n_13874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379537 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [5]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [5]),
-	.C1(n_13013),
-	.Y(n_13873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379538 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [5]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [5]),
-	.C1(n_13007),
-	.Y(n_13872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g379539 (
-	.A(n_13004),
-	.B(n_13002),
-	.Y(n_13871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379540 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [19]),
-	.A2(n_12860),
-	.B1(n_12768),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[19]),
-	.C1(n_12877),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [19]),
-	.Y(n_13870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379541 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [19]),
-	.A2(n_12883),
-	.B1(n_12870),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [19]),
-	.C1(n_12882),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [19]),
-	.Y(n_13869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379542 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [4]),
-	.A2(n_11926),
-	.B1(n_12892),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [4]),
-	.C1(n_12883),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [4]),
-	.Y(n_13868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379543 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [4]),
-	.A2(n_12882),
-	.B1(n_12870),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [4]),
-	.C1(n_12768),
-	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[4]),
-	.Y(n_13867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379544 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [30]),
-	.A2(n_12893),
-	.B1(n_12892),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [30]),
-	.C1(n_12883),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [30]),
-	.Y(n_13866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379545 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [3]),
-	.A2(n_12887),
-	.B1(n_12768),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[3]),
-	.C1(n_12885),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [3]),
-	.Y(n_13865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379546 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [3]),
-	.A2(n_11926),
-	.B1(n_12892),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [3]),
-	.C1(n_12883),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [3]),
-	.Y(n_13864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379547 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [26]),
-	.A2(n_12892),
-	.B1(n_12883),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [26]),
-	.C1(n_11926),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [26]),
-	.Y(n_13863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379548 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [18]),
-	.A2(n_12893),
-	.B1(n_12892),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [18]),
-	.C1(n_12883),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [18]),
-	.Y(n_13862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379549 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [30]),
-	.A2(n_12882),
-	.B1(n_12870),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [30]),
-	.C1(n_12768),
-	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[30]),
-	.Y(n_13861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379550 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [2]),
-	.A2(n_12897),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [2]),
-	.C1(n_12768),
-	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[2]),
-	.Y(n_13860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379551 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [26]),
-	.A2(n_12882),
-	.B1(n_12870),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [26]),
-	.C1(n_12768),
-	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[26]),
-	.Y(n_13859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379552 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [2]),
-	.A2(n_12870),
-	.B1(n_12882),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [2]),
-	.C1(n_12883),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [2]),
-	.Y(n_13858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379553 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [18]),
-	.A2(n_12882),
-	.B1(n_12870),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [18]),
-	.C1(n_12768),
-	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[18]),
-	.Y(n_13857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379554 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [25]),
-	.A2(n_12868),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [25]),
-	.C1(n_12871),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [25]),
-	.Y(n_13856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379555 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [1]),
-	.A2(n_11926),
-	.B1(n_12892),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [1]),
-	.C1(n_12883),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [1]),
-	.Y(n_13855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379556 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [1]),
-	.A2(n_12882),
-	.B1(n_12870),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [1]),
-	.C1(n_12768),
-	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[1]),
-	.Y(n_13854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379557 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [17]),
-	.A2(n_12892),
-	.B1(n_12883),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [17]),
-	.C1(n_11926),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [17]),
-	.Y(n_13853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379558 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [17]),
-	.A2(n_12882),
-	.B1(n_12870),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [17]),
-	.C1(n_12768),
-	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[17]),
-	.Y(n_13852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379559 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [0]),
-	.A2(n_12893),
-	.B1(n_12892),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [0]),
-	.C1(n_12883),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [0]),
-	.Y(n_13851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379560 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [0]),
-	.A2(n_12882),
-	.B1(n_12870),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [0]),
-	.C1(n_12768),
-	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[0]),
-	.Y(n_13850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379561 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [25]),
-	.A2(n_12892),
-	.B1(n_12883),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [25]),
-	.C1(n_12884),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [25]),
-	.Y(n_13849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379562 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [25]),
-	.A2(n_12882),
-	.B1(n_12870),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [25]),
-	.C1(n_12768),
-	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[25]),
-	.Y(n_13848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379563 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [16]),
-	.A2(n_12892),
-	.B1(n_12883),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [16]),
-	.C1(n_11926),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [16]),
-	.Y(n_13847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379564 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [16]),
-	.A2(n_12882),
-	.B1(n_12768),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[16]),
-	.C1(n_12870),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [16]),
-	.Y(n_13846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379565 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [15]),
-	.A2(n_12860),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [15]),
-	.C1(n_12768),
-	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[15]),
-	.Y(n_13845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379566 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [15]),
-	.A2(n_12883),
-	.B1(n_12870),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [15]),
-	.C1(n_12882),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [15]),
-	.Y(n_13844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379567 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [29]),
-	.A2(n_12893),
-	.B1(n_12892),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [29]),
-	.C1(n_12883),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [29]),
-	.Y(n_13843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379568 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [24]),
-	.A2(n_12892),
-	.B1(n_12883),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [24]),
-	.C1(n_11926),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [24]),
-	.Y(n_13842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379569 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [24]),
-	.A2(n_12882),
-	.B1(n_12870),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [24]),
-	.C1(n_12768),
-	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[24]),
-	.Y(n_13841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379570 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [14]),
-	.A2(n_11926),
-	.B1(n_12892),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [14]),
-	.C1(n_12883),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [14]),
-	.Y(n_13840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379571 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [14]),
-	.A2(n_12882),
-	.B1(n_12870),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [14]),
-	.C1(n_12768),
-	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[14]),
-	.Y(n_13839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379572 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [29]),
-	.A2(n_12882),
-	.B1(n_12870),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [29]),
-	.C1(n_12768),
-	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[29]),
-	.Y(n_13838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379573 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [13]),
-	.A2(n_12868),
-	.B1(n_12871),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [13]),
-	.C1(n_12851),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [13]),
-	.Y(n_13837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379574 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [13]),
-	.A2(n_11926),
-	.B1(n_12892),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [13]),
-	.C1(n_12883),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [13]),
-	.Y(n_13836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379575 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [13]),
-	.A2(n_12882),
-	.B1(n_12768),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[13]),
-	.C1(n_12870),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [13]),
-	.Y(n_13835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379576 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [31]),
-	.A2(n_12882),
-	.B1(n_12870),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [31]),
-	.C1(n_12768),
-	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[31]),
-	.Y(n_13834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379577 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [31]),
-	.A2(n_12893),
-	.B1(n_12892),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [31]),
-	.C1(n_12883),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [31]),
-	.Y(n_13833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379578 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [23]),
-	.A2(n_12892),
-	.B1(n_12883),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [23]),
-	.C1(n_12884),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [23]),
-	.Y(n_13832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379579 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [23]),
-	.A2(n_12882),
-	.B1(n_12870),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [23]),
-	.C1(n_12768),
-	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[23]),
-	.Y(n_13831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379580 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [12]),
-	.A2(n_12886),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [12]),
-	.C1(n_12768),
-	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[12]),
-	.Y(n_13830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379581 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [12]),
-	.A2(n_11926),
-	.B1(n_12892),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [12]),
-	.C1(n_12883),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [12]),
-	.Y(n_13829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g379582 (
-	.A1(n_12618),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[7]),
-	.B1(n_13784),
-	.X(n_13828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379583 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [11]),
-	.A2(n_11926),
-	.B1(n_12892),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [11]),
-	.C1(n_12883),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [11]),
-	.Y(n_13827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379584 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [11]),
-	.A2(n_12882),
-	.B1(n_12870),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [11]),
-	.C1(n_12768),
-	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[11]),
-	.Y(n_13826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379585 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [10]),
-	.A2(n_12868),
-	.B1(n_12871),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [10]),
-	.C1(n_12851),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [10]),
-	.Y(n_13825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379586 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [28]),
-	.A2(n_12892),
-	.B1(n_12883),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [28]),
-	.C1(n_11926),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [28]),
-	.Y(n_13824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379587 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [28]),
-	.A2(n_12882),
-	.B1(n_12870),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [28]),
-	.C1(n_12768),
-	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[28]),
-	.Y(n_13823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379588 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [22]),
-	.A2(n_12892),
-	.B1(n_12883),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [22]),
-	.C1(n_11926),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [22]),
-	.Y(n_13822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379589 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [22]),
-	.A2(n_12882),
-	.B1(n_12768),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[22]),
-	.C1(n_12870),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [22]),
-	.Y(n_13821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379590 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [10]),
-	.A2(n_12884),
-	.B1(n_12892),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [10]),
-	.C1(n_12883),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [10]),
-	.Y(n_13820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379591 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [10]),
-	.A2(n_12882),
-	.B1(n_12768),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[10]),
-	.C1(n_12870),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [10]),
-	.Y(n_13819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379592 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [9]),
-	.A2(n_12884),
-	.B1(n_12892),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [9]),
-	.C1(n_12883),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [9]),
-	.Y(n_13818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379593 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [9]),
-	.A2(n_12882),
-	.B1(n_12870),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [9]),
-	.C1(n_12768),
-	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[9]),
-	.Y(n_13817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379594 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [21]),
-	.A2(n_12892),
-	.B1(n_12883),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [21]),
-	.C1(n_11926),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [21]),
-	.Y(n_13816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379595 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [21]),
-	.A2(n_12882),
-	.B1(n_12768),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[21]),
-	.C1(n_12870),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [21]),
-	.Y(n_13815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379596 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [8]),
-	.A2(n_12884),
-	.B1(n_12892),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [8]),
-	.C1(n_12883),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [8]),
-	.Y(n_13814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379597 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [8]),
-	.A2(n_12882),
-	.B1(n_12768),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[8]),
-	.C1(n_12870),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [8]),
-	.Y(n_13813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379598 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [7]),
-	.A2(n_12893),
-	.B1(n_12892),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [7]),
-	.C1(n_12883),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [7]),
-	.Y(n_13812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379599 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [7]),
-	.A2(n_12882),
-	.B1(n_12870),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [7]),
-	.C1(n_12768),
-	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[7]),
-	.Y(n_13811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379600 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [27]),
-	.A2(n_12893),
-	.B1(n_12892),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [27]),
-	.C1(n_12883),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [27]),
-	.Y(n_13810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379601 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [27]),
-	.A2(n_12882),
-	.B1(n_12870),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [27]),
-	.C1(n_12768),
-	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[27]),
-	.Y(n_13809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379602 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [20]),
-	.A2(n_12893),
-	.B1(n_12892),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [20]),
-	.C1(n_12883),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [20]),
-	.Y(n_13808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379603 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [6]),
-	.A2(n_11926),
-	.B1(n_12892),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [6]),
-	.C1(n_12883),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [6]),
-	.Y(n_13807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379604 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [6]),
-	.A2(n_12870),
-	.B1(n_12882),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [6]),
-	.C1(n_12768),
-	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[6]),
-	.Y(n_13806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379605 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [20]),
-	.A2(n_12882),
-	.B1(n_12870),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [20]),
-	.C1(n_12768),
-	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[20]),
-	.Y(n_13805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379606 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [5]),
-	.A2(n_12893),
-	.B1(n_12892),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [5]),
-	.C1(n_12883),
-	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [5]),
-	.Y(n_13804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g379607 (
-	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [5]),
-	.A2(n_12882),
-	.B1(n_12870),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [5]),
-	.C1(n_12768),
-	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[5]),
-	.Y(n_13803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g379608 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [5]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [5]),
-	.C1(n_13014),
-	.Y(n_13802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g379609 (
-	.A1(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
-	.A2(n_12920),
-	.B1(n_11956),
-	.B2(n_15194),
-	.Y(n_13801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g379610 (
-	.A1_N(soc_top_u_top_u_core_rf_wdata_fwd_wb[2]),
-	.A2_N(n_12713),
-	.B1(n_12830),
-	.B2(n_12934),
-	.Y(n_13800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379611 (
-	.A1(n_12831),
-	.A2(n_15201),
-	.B1(n_12713),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[0]),
-	.Y(n_13799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379614 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [23]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [23]),
-	.Y(n_13792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379615 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [28]),
-	.B1(n_12853),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [28]),
-	.X(n_13791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379616 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [28]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [28]),
-	.Y(n_13790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379617 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [23]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [23]),
-	.Y(n_13789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g379618 (
-	.A(n_12715),
-	.B(n_12992),
-	.Y(n_13788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379619 (
-	.A1(n_12852),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [28]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [28]),
-	.X(n_13787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379620 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [23]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [23]),
-	.Y(n_13786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g379621 (
-	.A_N(soc_top_u_top_u_core_alu_operator_ex[1]),
-	.B(n_12943),
-	.Y(n_13785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g379622 (
-	.A(n_12716),
-	.B(n_12775),
-	.C(n_12991),
-	.Y(n_13784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g379623 (
-	.A1(soc_top_u_top_u_core_instr_is_compressed_id),
-	.A2(n_12715),
-	.A3(n_12861),
-	.B1(n_12980),
-	.Y(n_13783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379624 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [19]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [19]),
-	.Y(n_13782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379625 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [19]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [19]),
-	.Y(n_13781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379626 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [19]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [19]),
-	.Y(n_13780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379627 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [30]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [30]),
-	.Y(n_13779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379628 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [19]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [19]),
-	.Y(n_13778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379629 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [4]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [4]),
-	.Y(n_13777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379630 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [4]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [4]),
-	.Y(n_13776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379631 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [4]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [4]),
-	.Y(n_13775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379632 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [30]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [30]),
-	.Y(n_13774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379633 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [4]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [4]),
-	.Y(n_13773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379634 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [19]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [19]),
-	.Y(n_13772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379635 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [4]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [4]),
-	.Y(n_13771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379636 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [4]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [4]),
-	.Y(n_13770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379637 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [19]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [19]),
-	.Y(n_13769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379638 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [4]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [4]),
-	.Y(n_13768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379639 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [19]),
-	.B1(n_12897),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [19]),
-	.Y(n_13767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379640 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [4]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [4]),
-	.Y(n_13766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379641 (
-	.A1(n_12893),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [4]),
-	.B1(n_12884),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [4]),
-	.Y(n_13765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379642 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [30]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [30]),
-	.Y(n_13764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379643 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [4]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [4]),
-	.X(n_13763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379644 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [19]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [19]),
-	.X(n_13762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379645 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [4]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [4]),
-	.Y(n_13761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379646 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [4]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [4]),
-	.Y(n_13760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379647 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [19]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [19]),
-	.Y(n_13759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379648 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [4]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [4]),
-	.Y(n_13758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379649 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [19]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [19]),
-	.Y(n_13757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379650 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [4]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [4]),
-	.Y(n_13756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379651 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [30]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [30]),
-	.Y(n_13755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379652 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [4]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [4]),
-	.Y(n_13754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379653 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [4]),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [4]),
-	.Y(n_13753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379654 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [4]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [4]),
-	.Y(n_13752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379655 (
-	.A1(n_11926),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [19]),
-	.B1(n_12884),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [19]),
-	.X(n_13751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379656 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [4]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [4]),
-	.Y(n_13750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379657 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [30]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [30]),
-	.Y(n_13749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379658 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [4]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [4]),
-	.Y(n_13748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379659 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [4]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [4]),
-	.Y(n_13747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379660 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [19]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [19]),
-	.Y(n_13746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379661 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [19]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [19]),
-	.Y(n_13745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379662 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [19]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [19]),
-	.Y(n_13744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379663 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [19]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [19]),
-	.Y(n_13743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379664 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [3]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [3]),
-	.Y(n_13742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379665 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [30]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [30]),
-	.Y(n_13741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379666 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [3]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [3]),
-	.Y(n_13740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379667 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [3]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [3]),
-	.Y(n_13739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379668 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [3]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [3]),
-	.Y(n_13738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379669 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [3]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [3]),
-	.Y(n_13737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379670 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [3]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [3]),
-	.Y(n_13736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379671 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [3]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [3]),
-	.Y(n_13735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379672 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [3]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [3]),
-	.Y(n_13734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379673 (
-	.A1(n_12853),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [18]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [18]),
-	.X(n_13733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379674 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [18]),
-	.B1(n_12852),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [18]),
-	.X(n_13732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379675 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [18]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [18]),
-	.Y(n_13731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379676 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [18]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [18]),
-	.Y(n_13730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379677 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [18]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [18]),
-	.Y(n_13729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379678 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [18]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [18]),
-	.Y(n_13728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379679 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [26]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [26]),
-	.Y(n_13727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379680 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [26]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [26]),
-	.Y(n_13726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379681 (
-	.A1(n_12852),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [3]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [3]),
-	.Y(n_13725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379682 (
-	.A1(n_11926),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [30]),
-	.B1(n_12884),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [30]),
-	.Y(n_13724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379683 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [3]),
-	.B1(n_12853),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [3]),
-	.Y(n_13723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379684 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [26]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [26]),
-	.Y(n_13722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379685 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [3]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [3]),
-	.Y(n_13721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379686 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [3]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [3]),
-	.Y(n_13720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379687 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [3]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [3]),
-	.Y(n_13719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379688 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [3]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [3]),
-	.Y(n_13718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379689 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [26]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [26]),
-	.Y(n_13717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379690 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [3]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [3]),
-	.Y(n_13716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379691 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [3]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [3]),
-	.Y(n_13715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379692 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [26]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [26]),
-	.Y(n_13714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379693 (
-	.A1(n_12877),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [3]),
-	.B1(n_12886),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [3]),
-	.Y(n_13713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379694 (
-	.A1(n_12870),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [3]),
-	.B1(n_12882),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [3]),
-	.Y(n_13712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379695 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [3]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [3]),
-	.X(n_13711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379696 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [30]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [30]),
-	.X(n_13710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379697 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [26]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [26]),
-	.Y(n_13709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379698 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [3]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [3]),
-	.Y(n_13708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379699 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [3]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [3]),
-	.Y(n_13707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379700 (
-	.A1(n_12893),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [3]),
-	.B1(n_12884),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [3]),
-	.Y(n_13706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379701 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [3]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [3]),
-	.X(n_13705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379702 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [26]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [26]),
-	.Y(n_13704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379703 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [3]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [3]),
-	.X(n_13703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379704 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [26]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [26]),
-	.Y(n_13702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379705 (
-	.A1(n_12853),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [2]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [2]),
-	.Y(n_13701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379706 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [2]),
-	.B1(n_12852),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [2]),
-	.Y(n_13700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379707 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [30]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [30]),
-	.X(n_13699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379708 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [2]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [2]),
-	.Y(n_13698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379709 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [2]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [2]),
-	.Y(n_13697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379710 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [2]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [2]),
-	.Y(n_13696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379711 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [2]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [2]),
-	.Y(n_13695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379712 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [18]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [18]),
-	.Y(n_13694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379713 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [30]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [30]),
-	.Y(n_13693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379714 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [18]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [18]),
-	.Y(n_13692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379715 (
-	.A1(n_12893),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [26]),
-	.B1(n_12884),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [26]),
-	.Y(n_13691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379716 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [18]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [18]),
-	.Y(n_13690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379717 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [18]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [18]),
-	.Y(n_13689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379718 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [18]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [18]),
-	.Y(n_13688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379719 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [26]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [26]),
-	.X(n_13687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379720 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [18]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [18]),
-	.Y(n_13686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379721 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [30]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [30]),
-	.Y(n_13685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379722 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [18]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [18]),
-	.Y(n_13684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379723 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [18]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [18]),
-	.Y(n_13683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379724 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [26]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [26]),
-	.X(n_13682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379725 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [30]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [30]),
-	.Y(n_13681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379726 (
-	.A1(n_11926),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [18]),
-	.B1(n_12884),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [18]),
-	.Y(n_13680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379727 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [2]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [2]),
-	.Y(n_13679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379728 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [2]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [2]),
-	.Y(n_13678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379729 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [30]),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [30]),
-	.Y(n_13677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379730 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [26]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [26]),
-	.Y(n_13676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379731 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [2]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [2]),
-	.Y(n_13675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379732 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [18]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [18]),
-	.X(n_13674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379733 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [2]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [2]),
-	.Y(n_13673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379734 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [2]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [2]),
-	.Y(n_13672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379735 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [26]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [26]),
-	.Y(n_13671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379736 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [18]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [18]),
-	.X(n_13670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379737 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [2]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [2]),
-	.Y(n_13669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379738 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [2]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [2]),
-	.Y(n_13668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379739 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [26]),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [26]),
-	.Y(n_13667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379740 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [26]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [26]),
-	.Y(n_13666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379741 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [18]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [18]),
-	.Y(n_13665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379742 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [2]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [2]),
-	.Y(n_13664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379743 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [2]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [2]),
-	.Y(n_13663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379744 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [18]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [18]),
-	.Y(n_13662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379745 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [2]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [2]),
-	.Y(n_13661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379746 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [18]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [18]),
-	.Y(n_13660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379747 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [18]),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [18]),
-	.Y(n_13659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379748 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [2]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [2]),
-	.X(n_13658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379749 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [2]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [2]),
-	.Y(n_13657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379750 (
-	.A1(n_12892),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [2]),
-	.B1(n_12884),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [2]),
-	.Y(n_13656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379751 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [18]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [18]),
-	.Y(n_13655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379752 (
-	.A1(n_11926),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [2]),
-	.B1(n_12893),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [2]),
-	.Y(n_13654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379753 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [18]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [18]),
-	.Y(n_13653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379754 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [26]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [26]),
-	.Y(n_13652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379755 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [30]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [30]),
-	.Y(n_13651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379756 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [26]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [26]),
-	.Y(n_13650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379757 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [18]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [18]),
-	.X(n_13649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379758 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [30]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [30]),
-	.Y(n_13648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g379759 (
-	.A1(n_12862),
-	.A2(n_12716),
-	.A3(soc_top_u_top_u_core_instr_is_compressed_id),
-	.B1(n_12840),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[8]),
-	.Y(n_13647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379760 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [2]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [2]),
-	.Y(n_13646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379761 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [1]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [1]),
-	.Y(n_13645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379762 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [26]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [26]),
-	.X(n_13644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379763 (
-	.A1(n_12853),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [1]),
-	.B1(n_12852),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [1]),
-	.Y(n_13643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379764 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [1]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [1]),
-	.Y(n_13642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379765 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [1]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [1]),
-	.Y(n_13641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379766 (
-	.A1(n_12852),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [17]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [17]),
-	.X(n_13640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379767 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [1]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [1]),
-	.Y(n_13639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379768 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [1]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [1]),
-	.Y(n_13638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379769 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [17]),
-	.B1(n_12853),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [17]),
-	.X(n_13637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379770 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [17]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [17]),
-	.Y(n_13636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379771 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [30]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [30]),
-	.X(n_13635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379772 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [17]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [17]),
-	.Y(n_13634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379773 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [17]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [17]),
-	.Y(n_13633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379774 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [17]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [17]),
-	.Y(n_13632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379775 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [25]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [25]),
-	.X(n_13631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379776 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [25]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [25]),
-	.X(n_13630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379777 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [1]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [1]),
-	.Y(n_13629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379778 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [1]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [1]),
-	.Y(n_13628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379779 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [1]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [1]),
-	.Y(n_13627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379780 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [1]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [1]),
-	.Y(n_13626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379781 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [1]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [1]),
-	.Y(n_13625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379782 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [1]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [1]),
-	.Y(n_13624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379783 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [1]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [1]),
-	.Y(n_13623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379784 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [1]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [1]),
-	.Y(n_13622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379785 (
-	.A1(n_12893),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [1]),
-	.B1(n_12884),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [1]),
-	.Y(n_13621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379786 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [1]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [1]),
-	.X(n_13620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379787 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [1]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [1]),
-	.X(n_13619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379788 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [1]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [1]),
-	.Y(n_13618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379789 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [1]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [1]),
-	.Y(n_13617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379790 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [25]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [25]),
-	.X(n_13616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379791 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [1]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [1]),
-	.Y(n_13615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379792 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [1]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [1]),
-	.Y(n_13614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379793 (
-	.A1(n_12852),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [29]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [29]),
-	.X(n_13613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379794 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [1]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [1]),
-	.Y(n_13612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379795 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [1]),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [1]),
-	.Y(n_13611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379796 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [1]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [1]),
-	.Y(n_13610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379797 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [1]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [1]),
-	.Y(n_13609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379798 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [1]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [1]),
-	.Y(n_13608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379799 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [1]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [1]),
-	.Y(n_13607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379800 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [25]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [25]),
-	.Y(n_13606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379801 (
-	.A1(n_12853),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [0]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [0]),
-	.Y(n_13605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379802 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [25]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [25]),
-	.Y(n_13604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379803 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [0]),
-	.B1(n_12852),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [0]),
-	.Y(n_13603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379804 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [0]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [0]),
-	.Y(n_13602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379805 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [0]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [0]),
-	.Y(n_13601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379806 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [0]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [0]),
-	.Y(n_13600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379807 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [17]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [17]),
-	.Y(n_13599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379808 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [0]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [0]),
-	.Y(n_13598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379809 (
-	.A1(n_12868),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [0]),
-	.B1(n_12871),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [0]),
-	.Y(n_13597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379810 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [17]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [17]),
-	.Y(n_13596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379811 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [25]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [25]),
-	.Y(n_13595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379812 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [25]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [25]),
-	.Y(n_13594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379813 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [17]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [17]),
-	.Y(n_13593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379814 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [17]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [17]),
-	.Y(n_13592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379815 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [29]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [29]),
-	.Y(n_13591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379816 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [17]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [17]),
-	.Y(n_13590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379817 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [29]),
-	.B1(n_12853),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [29]),
-	.X(n_13589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379818 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [25]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [25]),
-	.Y(n_13588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379819 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [17]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [17]),
-	.Y(n_13587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379820 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [29]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [29]),
-	.Y(n_13586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379821 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [17]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [17]),
-	.Y(n_13585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379822 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [25]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [25]),
-	.Y(n_13584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379823 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [17]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [17]),
-	.Y(n_13583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379824 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [29]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [29]),
-	.Y(n_13582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379825 (
-	.A1(n_12893),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [17]),
-	.B1(n_12884),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [17]),
-	.Y(n_13581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379826 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [0]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [0]),
-	.X(n_13580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379827 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [25]),
-	.B1(n_12853),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [25]),
-	.X(n_13579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379832 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [17]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [17]),
-	.X(n_13574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379834 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [17]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [17]),
-	.Y(n_13572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g379835 (
-	.A(n_12973),
-	.B(n_12974),
-	.Y(n_13571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379836 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [17]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [17]),
-	.Y(n_13570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379837 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [17]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [17]),
-	.Y(n_13569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379838 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [17]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [17]),
-	.Y(n_13568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379839 (
-	.A1(n_11926),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [0]),
-	.B1(n_12884),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [0]),
-	.Y(n_13567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379840 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [17]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [17]),
-	.Y(n_13566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379841 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [0]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [0]),
-	.X(n_13565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379842 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [17]),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [17]),
-	.Y(n_13564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379843 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [0]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [0]),
-	.X(n_13563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379844 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [0]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [0]),
-	.Y(n_13562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379845 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [17]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [17]),
-	.Y(n_13561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379846 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [0]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [0]),
-	.Y(n_13560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379847 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [17]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [17]),
-	.Y(n_13559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379848 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [0]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [0]),
-	.Y(n_13558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379849 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [0]),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [0]),
-	.Y(n_13557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379850 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [17]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [17]),
-	.Y(n_13556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379851 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [0]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [0]),
-	.X(n_13555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379852 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [17]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [17]),
-	.Y(n_13554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379853 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [0]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [0]),
-	.Y(n_13553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379854 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [0]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [0]),
-	.Y(n_13552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379855 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [16]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [16]),
-	.Y(n_13551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379856 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [16]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [16]),
-	.Y(n_13550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379857 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [16]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [16]),
-	.Y(n_13549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379858 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [16]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [16]),
-	.Y(n_13548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379859 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [16]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [16]),
-	.Y(n_13547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379860 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [16]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [16]),
-	.Y(n_13546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379861 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [16]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [16]),
-	.Y(n_13545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379862 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [16]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [16]),
-	.Y(n_13544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379863 (
-	.A1(n_12852),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [16]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [16]),
-	.X(n_13543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379864 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [16]),
-	.B1(n_12853),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [16]),
-	.X(n_13542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379865 (
-	.A1(n_11926),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [25]),
-	.B1(n_12893),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [25]),
-	.Y(n_13541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379866 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [16]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [16]),
-	.Y(n_13540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379867 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [16]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [16]),
-	.Y(n_13539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379868 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [16]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [16]),
-	.Y(n_13538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379869 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [16]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [16]),
-	.Y(n_13537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379870 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [25]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [25]),
-	.Y(n_13536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379871 (
-	.A1(n_12893),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [16]),
-	.B1(n_12884),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [16]),
-	.Y(n_13535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379872 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [25]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [25]),
-	.Y(n_13534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379873 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [16]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [16]),
-	.X(n_13533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379874 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [16]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [16]),
-	.X(n_13532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379875 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [25]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [25]),
-	.X(n_13531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379876 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [16]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [16]),
-	.Y(n_13530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379877 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [25]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [25]),
-	.Y(n_13529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379878 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [16]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [16]),
-	.Y(n_13528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379879 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [25]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [25]),
-	.Y(n_13527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379880 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [16]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [16]),
-	.Y(n_13526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379881 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [16]),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [16]),
-	.Y(n_13525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379882 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [25]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [25]),
-	.Y(n_13524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379883 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [16]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [16]),
-	.Y(n_13523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379884 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [16]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [16]),
-	.Y(n_13522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379885 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [25]),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [25]),
-	.Y(n_13521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379886 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [16]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [16]),
-	.X(n_13520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379887 (
-	.A1(n_12852),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [31]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [31]),
-	.X(n_13519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379888 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [25]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [25]),
-	.Y(n_13518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379889 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [25]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [25]),
-	.Y(n_13517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379890 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [25]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [25]),
-	.Y(n_13516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379891 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [25]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [25]),
-	.Y(n_13515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379892 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [15]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [15]),
-	.Y(n_13514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379893 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [15]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [15]),
-	.Y(n_13513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379894 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [15]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [15]),
-	.Y(n_13512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379895 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [15]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [15]),
-	.Y(n_13511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379896 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [31]),
-	.B1(n_12853),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [31]),
-	.X(n_13510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379897 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [15]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [15]),
-	.Y(n_13509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379898 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [15]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [15]),
-	.Y(n_13508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379899 (
-	.A1(n_12853),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [24]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [24]),
-	.X(n_13507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379900 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [15]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [15]),
-	.Y(n_13506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379901 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [15]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [15]),
-	.Y(n_13505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379902 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [24]),
-	.B1(n_12852),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [24]),
-	.X(n_13504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379903 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [24]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [24]),
-	.Y(n_13503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379904 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [24]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [24]),
-	.Y(n_13502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379905 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [24]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [24]),
-	.Y(n_13501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379906 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [24]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [24]),
-	.Y(n_13500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379907 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [29]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [29]),
-	.Y(n_13499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379908 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [31]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [31]),
-	.Y(n_13498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379909 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [15]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [15]),
-	.X(n_13497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379910 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [29]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [29]),
-	.Y(n_13496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379911 (
-	.A1(n_12853),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [15]),
-	.B1(n_12852),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [15]),
-	.X(n_13495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379912 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [29]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [29]),
-	.Y(n_13494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379913 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [15]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [15]),
-	.Y(n_13493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379914 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [15]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [15]),
-	.Y(n_13492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379915 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [15]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [15]),
-	.Y(n_13491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379916 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [29]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [29]),
-	.Y(n_13490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379917 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [15]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [15]),
-	.Y(n_13489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379918 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [15]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [15]),
-	.Y(n_13488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379919 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [31]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [31]),
-	.Y(n_13487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379920 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [15]),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [15]),
-	.Y(n_13486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379921 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [15]),
-	.B1(n_12888),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [15]),
-	.Y(n_13485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379922 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [29]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [29]),
-	.Y(n_13484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379923 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [29]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [29]),
-	.Y(n_13483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379924 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [15]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [15]),
-	.Y(n_13482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379925 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [15]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [15]),
-	.Y(n_13481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379926 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [15]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [15]),
-	.X(n_13480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379927 (
-	.A1(n_12892),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [15]),
-	.B1(n_12893),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [15]),
-	.X(n_13479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379928 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [15]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [15]),
-	.Y(n_13478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379929 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [15]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [15]),
-	.Y(n_13477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379930 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [31]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [31]),
-	.Y(n_13476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379931 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [29]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [29]),
-	.Y(n_13475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379932 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [15]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [15]),
-	.Y(n_13474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379933 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [15]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [15]),
-	.Y(n_13473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379934 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [29]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [29]),
-	.Y(n_13472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379935 (
-	.A1(n_12852),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [14]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [14]),
-	.X(n_13471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379936 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [14]),
-	.B1(n_12853),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [14]),
-	.X(n_13470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379937 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [31]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [31]),
-	.Y(n_13469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379938 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [14]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [14]),
-	.Y(n_13468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379939 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [14]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [14]),
-	.Y(n_13467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379940 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [14]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [14]),
-	.Y(n_13466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379941 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [14]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [14]),
-	.Y(n_13465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379942 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [24]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [24]),
-	.Y(n_13464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379943 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [24]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [24]),
-	.Y(n_13463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379944 (
-	.A1(n_11926),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [29]),
-	.B1(n_12884),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [29]),
-	.Y(n_13462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379945 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [24]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [24]),
-	.Y(n_13461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379946 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [24]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [24]),
-	.Y(n_13460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379947 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [31]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [31]),
-	.Y(n_13459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379948 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [24]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [24]),
-	.Y(n_13458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379949 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [29]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [29]),
-	.X(n_13457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379950 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [24]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [24]),
-	.Y(n_13456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379951 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [24]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [24]),
-	.Y(n_13455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379952 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [24]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [24]),
-	.Y(n_13454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379953 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [29]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [29]),
-	.X(n_13453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379954 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [14]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [14]),
-	.Y(n_13452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379955 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [14]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [14]),
-	.Y(n_13451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379956 (
-	.A1(n_12893),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [24]),
-	.B1(n_12884),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [24]),
-	.Y(n_13450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379957 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [14]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [14]),
-	.Y(n_13449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379958 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [14]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [14]),
-	.Y(n_13448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379959 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [29]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [29]),
-	.Y(n_13447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379960 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [14]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [14]),
-	.Y(n_13446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379961 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [29]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [29]),
-	.Y(n_13445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379962 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [14]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [14]),
-	.Y(n_13444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379963 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [14]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [14]),
-	.Y(n_13443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379964 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [24]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [24]),
-	.Y(n_13442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379965 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [14]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [14]),
-	.Y(n_13441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379966 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [24]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [24]),
-	.Y(n_13440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379967 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [29]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [29]),
-	.Y(n_13439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379968 (
-	.A1(n_12893),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [14]),
-	.B1(n_12884),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [14]),
-	.Y(n_13438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379969 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [29]),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [29]),
-	.Y(n_13437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379970 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [24]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [24]),
-	.X(n_13436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379971 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [14]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [14]),
-	.X(n_13435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379972 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [24]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [24]),
-	.Y(n_13434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379973 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [24]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [24]),
-	.Y(n_13433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379974 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [14]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [14]),
-	.Y(n_13432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379975 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [14]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [14]),
-	.Y(n_13431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379976 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [24]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [24]),
-	.Y(n_13430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379977 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [14]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [14]),
-	.Y(n_13429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379978 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [24]),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [24]),
-	.Y(n_13428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379979 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [14]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [14]),
-	.Y(n_13427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379980 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [14]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [14]),
-	.Y(n_13426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379981 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [14]),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [14]),
-	.Y(n_13425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379982 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [24]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [24]),
-	.Y(n_13424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379983 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [14]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [14]),
-	.Y(n_13423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379984 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [14]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [14]),
-	.Y(n_13422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379985 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [24]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [24]),
-	.Y(n_13421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379986 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [14]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [14]),
-	.Y(n_13420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379987 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [14]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [14]),
-	.Y(n_13419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379988 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [29]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [29]),
-	.X(n_13418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379989 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [24]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [24]),
-	.Y(n_13417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379990 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [24]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [24]),
-	.Y(n_13416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379991 (
-	.A1(n_11926),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [31]),
-	.B1(n_12884),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [31]),
-	.Y(n_13415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379992 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [29]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [29]),
-	.Y(n_13414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379993 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [13]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [13]),
-	.X(n_13413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379994 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [13]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [13]),
-	.X(n_13412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379995 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [29]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [29]),
-	.Y(n_13411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379996 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [23]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [23]),
-	.Y(n_13410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g379997 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [13]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [13]),
-	.X(n_13409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379998 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [23]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [23]),
-	.Y(n_13408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379999 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [13]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [13]),
-	.Y(n_13407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380000 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [13]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [13]),
-	.Y(n_13406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380001 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [23]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [23]),
-	.Y(n_13405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380002 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [23]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [23]),
-	.Y(n_13404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380003 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [13]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [13]),
-	.Y(n_13403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380004 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [13]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [13]),
-	.Y(n_13402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380005 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [13]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [13]),
-	.Y(n_13401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380006 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [13]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [13]),
-	.Y(n_13400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380007 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [23]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [23]),
-	.Y(n_13399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380008 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [13]),
-	.B1(n_12853),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [13]),
-	.X(n_13398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g380009 (
-	.A_N(soc_top_u_top_u_core_alu_operator_ex[0]),
-	.B(soc_top_u_top_u_core_alu_operator_ex[5]),
-	.Y(n_14911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g380010 (
-	.A(n_12775),
-	.B(n_12845),
-	.C(n_12862),
-	.Y(n_13798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g380011 (
-	.A(n_12614),
-	.B(n_12992),
-	.X(n_13797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g380012 (
-	.A(n_12842),
-	.B(n_12991),
-	.Y(n_13796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g380013 (
-	.A(n_12993),
-	.B(n_12863),
-	.X(n_13795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g380014 (
-	.A(n_12993),
-	.B(n_12864),
-	.Y(n_13794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_4 g380015 (
-	.A_N(n_12994),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.X(n_13793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380016 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [8]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [8]),
-	.Y(n_13397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380017 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [28]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [28]),
-	.Y(n_13396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380018 (
-	.A1(n_12893),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [13]),
-	.B1(n_12884),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [13]),
-	.Y(n_13395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380019 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [28]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [28]),
-	.Y(n_13394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380020 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [13]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [13]),
-	.X(n_13393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380021 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [13]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [13]),
-	.X(n_13392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380022 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [13]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [13]),
-	.Y(n_13391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380023 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [13]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [13]),
-	.Y(n_13390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380024 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [13]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [13]),
-	.Y(n_13389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380025 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [13]),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [13]),
-	.Y(n_13388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380026 (
-	.A(n_12975),
-	.B(n_12967),
-	.Y(n_13387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380027 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [13]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [13]),
-	.X(n_13386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380028 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [13]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [13]),
-	.Y(n_13385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380029 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [13]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [13]),
-	.Y(n_13384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380030 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [29]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [29]),
-	.Y(n_13383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380031 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [12]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [12]),
-	.Y(n_13382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380032 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [12]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [12]),
-	.Y(n_13381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380033 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [12]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [12]),
-	.Y(n_13380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380034 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [12]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [12]),
-	.Y(n_13379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380035 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [12]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [12]),
-	.Y(n_13378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380036 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [12]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [12]),
-	.Y(n_13377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380037 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [12]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [12]),
-	.Y(n_13376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380038 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [12]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [12]),
-	.Y(n_13375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380039 (
-	.A1(n_12852),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [23]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [23]),
-	.X(n_13374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380040 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [23]),
-	.B1(n_12853),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [23]),
-	.X(n_13373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380041 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [23]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [23]),
-	.Y(n_13372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380042 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [23]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [23]),
-	.Y(n_13371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380043 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [23]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [23]),
-	.Y(n_13370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380044 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [23]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [23]),
-	.Y(n_13369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380045 (
-	.A1(n_11926),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [23]),
-	.B1(n_12893),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [23]),
-	.Y(n_13368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380046 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [12]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [12]),
-	.X(n_13367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380047 (
-	.A1(n_12853),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [12]),
-	.B1(n_12852),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [12]),
-	.X(n_13366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380048 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [12]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [12]),
-	.Y(n_13365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380049 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [12]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [12]),
-	.Y(n_13364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380050 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [12]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [12]),
-	.Y(n_13363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380051 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [12]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [12]),
-	.Y(n_13362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380052 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [23]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [23]),
-	.X(n_13361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380053 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [23]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [23]),
-	.Y(n_13360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380054 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [23]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [23]),
-	.Y(n_13359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380055 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [12]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [12]),
-	.X(n_13358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380056 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [12]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [12]),
-	.Y(n_13357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380057 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [31]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [31]),
-	.Y(n_13356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380058 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [23]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [23]),
-	.Y(n_13355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380059 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [23]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [23]),
-	.Y(n_13354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380060 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [12]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [12]),
-	.Y(n_13353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380061 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [23]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [23]),
-	.Y(n_13352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380062 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [12]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [12]),
-	.Y(n_13351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380063 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [23]),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [23]),
-	.Y(n_13350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380064 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [12]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [12]),
-	.Y(n_13349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380065 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [12]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [12]),
-	.Y(n_13348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380066 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [31]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [31]),
-	.Y(n_13347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380067 (
-	.A1(n_12893),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [12]),
-	.B1(n_12884),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [12]),
-	.Y(n_13346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380068 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [23]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [23]),
-	.Y(n_13345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380069 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [23]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [23]),
-	.Y(n_13344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380070 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [12]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [12]),
-	.Y(n_13343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380071 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [12]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [12]),
-	.Y(n_13342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380072 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [23]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [23]),
-	.Y(n_13341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380073 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [12]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [12]),
-	.Y(n_13340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380074 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [23]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [23]),
-	.Y(n_13339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380075 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [12]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [12]),
-	.Y(n_13338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380076 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [11]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [11]),
-	.X(n_13337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380077 (
-	.A1(n_12853),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [11]),
-	.B1(n_12852),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [11]),
-	.X(n_13336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380078 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [11]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [11]),
-	.Y(n_13335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380079 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [11]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [11]),
-	.Y(n_13334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380080 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [11]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [11]),
-	.Y(n_13333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380081 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [22]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [22]),
-	.X(n_13332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380082 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [11]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [11]),
-	.Y(n_13331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380083 (
-	.A1(n_12853),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [22]),
-	.B1(n_12852),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [22]),
-	.X(n_13330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380084 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [22]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [22]),
-	.Y(n_13329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380085 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [22]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [22]),
-	.Y(n_13328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380086 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [22]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [22]),
-	.Y(n_13327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380087 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [22]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [22]),
-	.Y(n_13326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380088 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [31]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [31]),
-	.Y(n_13325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380089 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [31]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [31]),
-	.X(n_13324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380090 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [31]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [31]),
-	.Y(n_13323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380091 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [28]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [28]),
-	.Y(n_13322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380092 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [28]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [28]),
-	.Y(n_13321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380093 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [11]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [11]),
-	.Y(n_13320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380094 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [11]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [11]),
-	.Y(n_13319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380095 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [11]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [11]),
-	.Y(n_13318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380096 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [11]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [11]),
-	.Y(n_13317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380097 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [28]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [28]),
-	.Y(n_13316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380098 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [11]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [11]),
-	.Y(n_13315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380099 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [11]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [11]),
-	.Y(n_13314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380100 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [11]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [11]),
-	.Y(n_13313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380101 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [11]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [11]),
-	.Y(n_13312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380102 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [31]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [31]),
-	.Y(n_13311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380103 (
-	.A1(n_12893),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [11]),
-	.B1(n_12884),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [11]),
-	.Y(n_13310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380104 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [28]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [28]),
-	.Y(n_13309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380105 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [28]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [28]),
-	.Y(n_13308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380106 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [11]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [11]),
-	.X(n_13307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380107 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [11]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [11]),
-	.Y(n_13306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380108 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [11]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [11]),
-	.Y(n_13305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380109 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [28]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [28]),
-	.Y(n_13304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380110 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [11]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [11]),
-	.Y(n_13303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380111 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [11]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [11]),
-	.Y(n_13302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380112 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [11]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [11]),
-	.Y(n_13301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380113 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [11]),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [11]),
-	.Y(n_13300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380114 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [28]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [28]),
-	.Y(n_13299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380115 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [11]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [11]),
-	.Y(n_13298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380116 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [11]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [11]),
-	.Y(n_13297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380117 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [11]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [11]),
-	.Y(n_13296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380118 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [11]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [11]),
-	.Y(n_13295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380119 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [10]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [10]),
-	.X(n_13294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380120 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [10]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [10]),
-	.X(n_13293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380121 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [28]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [28]),
-	.Y(n_13292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380122 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [10]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [10]),
-	.X(n_13291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380123 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [22]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [22]),
-	.Y(n_13290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380124 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [10]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [10]),
-	.Y(n_13289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380125 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [22]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [22]),
-	.Y(n_13288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380126 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [10]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [10]),
-	.Y(n_13287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380127 (
-	.A1(n_12893),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [28]),
-	.B1(n_12884),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [28]),
-	.Y(n_13286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380128 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [10]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [10]),
-	.Y(n_13285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380129 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [22]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [22]),
-	.Y(n_13284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380130 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [10]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [10]),
-	.Y(n_13283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380131 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [31]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [31]),
-	.Y(n_13282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380132 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [22]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [22]),
-	.Y(n_13281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380133 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [10]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [10]),
-	.Y(n_13280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380134 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [10]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [10]),
-	.Y(n_13279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380135 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [10]),
-	.B1(n_12853),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [10]),
-	.X(n_13278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380136 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [22]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [22]),
-	.Y(n_13277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380137 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [31]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [31]),
-	.Y(n_13276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380138 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [31]),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [31]),
-	.Y(n_13275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380139 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [22]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [22]),
-	.Y(n_13274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380140 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [22]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [22]),
-	.Y(n_13273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380141 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [22]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [22]),
-	.Y(n_13272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380142 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [28]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [28]),
-	.Y(n_13271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380143 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [28]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [28]),
-	.Y(n_13270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380144 (
-	.A1(n_12893),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [22]),
-	.B1(n_12884),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [22]),
-	.Y(n_13269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380145 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [28]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [28]),
-	.X(n_13268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380146 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [31]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [31]),
-	.Y(n_13267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380147 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [22]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [22]),
-	.Y(n_13266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380148 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [31]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [31]),
-	.Y(n_13265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380149 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [22]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [22]),
-	.Y(n_13264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380150 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [28]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [28]),
-	.Y(n_13263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380151 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [28]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [28]),
-	.Y(n_13262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380152 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [22]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [22]),
-	.X(n_13261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380153 (
-	.A1(n_11926),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [10]),
-	.B1(n_12893),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [10]),
-	.Y(n_13260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380154 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [31]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [31]),
-	.Y(n_13259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380155 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [22]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [22]),
-	.Y(n_13258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380156 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [28]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [28]),
-	.Y(n_13257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380157 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [10]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [10]),
-	.X(n_13256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380158 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [22]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [22]),
-	.Y(n_13255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380159 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [10]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [10]),
-	.X(n_13254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380160 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [22]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [22]),
-	.Y(n_13253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380161 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [22]),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [22]),
-	.Y(n_13252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380162 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [10]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [10]),
-	.Y(n_13251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380163 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [10]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [10]),
-	.Y(n_13250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380164 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [31]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [31]),
-	.Y(n_13249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380165 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [10]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [10]),
-	.Y(n_13248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380166 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [28]),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [28]),
-	.Y(n_13247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380167 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [10]),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [10]),
-	.Y(n_13246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380168 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [22]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [22]),
-	.Y(n_13245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380169 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [22]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [22]),
-	.Y(n_13244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380170 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [28]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [28]),
-	.Y(n_13243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380171 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [10]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [10]),
-	.X(n_13242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380172 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [22]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [22]),
-	.Y(n_13241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380173 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [10]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [10]),
-	.Y(n_13240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380174 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [10]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [10]),
-	.Y(n_13239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380175 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [22]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [22]),
-	.Y(n_13238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380176 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [28]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [28]),
-	.Y(n_13237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380177 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [9]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [9]),
-	.X(n_13236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380178 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [28]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [28]),
-	.Y(n_13235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380179 (
-	.A1(n_12853),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [9]),
-	.B1(n_12852),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [9]),
-	.X(n_13234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380180 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [28]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [28]),
-	.Y(n_13233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380181 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [9]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [9]),
-	.Y(n_13232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380182 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [9]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [9]),
-	.Y(n_13231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380183 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [9]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [9]),
-	.Y(n_13230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380184 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [9]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [9]),
-	.Y(n_13229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380185 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [21]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [21]),
-	.X(n_13228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380186 (
-	.A1(n_12853),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [21]),
-	.B1(n_12852),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [21]),
-	.X(n_13227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380187 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [21]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [21]),
-	.Y(n_13226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380188 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [21]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [21]),
-	.Y(n_13225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380189 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [21]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [21]),
-	.Y(n_13224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380190 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [21]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [21]),
-	.Y(n_13223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380191 (
-	.A1(n_12852),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [27]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [27]),
-	.X(n_13222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380192 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [27]),
-	.B1(n_12853),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [27]),
-	.X(n_13221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380193 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [9]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [9]),
-	.Y(n_13220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380194 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [9]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [9]),
-	.Y(n_13219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380195 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [9]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [9]),
-	.Y(n_13218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380196 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [27]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [27]),
-	.Y(n_13217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380197 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [9]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [9]),
-	.Y(n_13216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380198 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [9]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [9]),
-	.Y(n_13215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380199 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [9]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [9]),
-	.Y(n_13214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380200 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [27]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [27]),
-	.Y(n_13213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380201 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [9]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [9]),
-	.Y(n_13212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380202 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [9]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [9]),
-	.Y(n_13211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380203 (
-	.A1(n_11926),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [9]),
-	.B1(n_12893),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [9]),
-	.Y(n_13210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380204 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [27]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [27]),
-	.Y(n_13209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380205 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [9]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [9]),
-	.X(n_13208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380206 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [27]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [27]),
-	.Y(n_13207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380207 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [9]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [9]),
-	.X(n_13206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380208 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [9]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [9]),
-	.Y(n_13205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380209 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [9]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [9]),
-	.Y(n_13204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380210 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [9]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [9]),
-	.Y(n_13203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380211 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [9]),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [9]),
-	.Y(n_13202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380212 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [9]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [9]),
-	.Y(n_13201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380213 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [9]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [9]),
-	.Y(n_13200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380214 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [9]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [9]),
-	.Y(n_13199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380215 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [9]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [9]),
-	.Y(n_13198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380216 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [30]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [30]),
-	.X(n_13197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380217 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [28]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [28]),
-	.Y(n_13196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380218 (
-	.A1(n_12853),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [30]),
-	.B1(n_12852),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [30]),
-	.X(n_13195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380219 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [8]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [8]),
-	.Y(n_13194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380220 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [8]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [8]),
-	.Y(n_13193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380221 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [8]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [8]),
-	.Y(n_13192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380222 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [8]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [8]),
-	.Y(n_13191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380223 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [8]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [8]),
-	.Y(n_13190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380224 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [8]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [8]),
-	.Y(n_13189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380225 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [21]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [21]),
-	.Y(n_13188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380226 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [8]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [8]),
-	.Y(n_13187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380227 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [31]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [31]),
-	.Y(n_13186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380228 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [21]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [21]),
-	.Y(n_13185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380229 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [21]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [21]),
-	.Y(n_13184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380230 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [30]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [30]),
-	.Y(n_13183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380231 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [21]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [21]),
-	.Y(n_13182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380232 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [21]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [21]),
-	.Y(n_13181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380233 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [21]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [21]),
-	.Y(n_13180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380234 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [21]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [21]),
-	.Y(n_13179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380235 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [21]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [21]),
-	.Y(n_13178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380236 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [2]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [2]),
-	.X(n_13177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380237 (
-	.A1(n_12893),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [21]),
-	.B1(n_12884),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [21]),
-	.Y(n_13176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380238 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [30]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [30]),
-	.Y(n_13175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380239 (
-	.A1(n_12852),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [8]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [8]),
-	.Y(n_13174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380240 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [8]),
-	.B1(n_12853),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [8]),
-	.Y(n_13173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380241 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [30]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [30]),
-	.Y(n_13172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380242 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [8]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [8]),
-	.Y(n_13171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380243 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [8]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [8]),
-	.Y(n_13170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380244 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [8]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [8]),
-	.Y(n_13169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380245 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [21]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [21]),
-	.Y(n_13168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380246 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [8]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [8]),
-	.Y(n_13167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380247 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [31]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [31]),
-	.Y(n_13166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380248 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [30]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [30]),
-	.Y(n_13165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380249 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [21]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [21]),
-	.Y(n_13164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380250 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [21]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [21]),
-	.X(n_13163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380251 (
-	.A1(n_11926),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [8]),
-	.B1(n_12893),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [8]),
-	.Y(n_13162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380252 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [8]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [8]),
-	.X(n_13161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380253 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [8]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [8]),
-	.X(n_13160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380254 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [21]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [21]),
-	.Y(n_13159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380255 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [21]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [21]),
-	.Y(n_13158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380256 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [8]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [8]),
-	.Y(n_13157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380257 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [21]),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [21]),
-	.Y(n_13156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380258 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [8]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [8]),
-	.Y(n_13155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380259 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [8]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [8]),
-	.Y(n_13154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380260 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [8]),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [8]),
-	.Y(n_13153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380261 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [21]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [21]),
-	.Y(n_13152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380262 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [21]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [21]),
-	.Y(n_13151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380263 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [8]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [8]),
-	.Y(n_13150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380264 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [8]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [8]),
-	.Y(n_13149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380265 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [21]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [21]),
-	.Y(n_13148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380266 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [8]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [8]),
-	.Y(n_13147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380267 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [8]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [8]),
-	.Y(n_13146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380268 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [21]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [21]),
-	.Y(n_13145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380269 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [21]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [21]),
-	.Y(n_13144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380270 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [2]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [2]),
-	.X(n_13143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380271 (
-	.A1(n_12852),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [7]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [7]),
-	.Y(n_13142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380272 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [7]),
-	.B1(n_12853),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [7]),
-	.Y(n_13141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380273 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [7]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [7]),
-	.Y(n_13140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380274 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [7]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [7]),
-	.Y(n_13139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380275 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [7]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [7]),
-	.Y(n_13138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380276 (
-	.A1(n_12853),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [20]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [20]),
-	.X(n_13137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380277 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [7]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [7]),
-	.Y(n_13136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380278 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [31]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [31]),
-	.Y(n_13135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380279 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [20]),
-	.B1(n_12852),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [20]),
-	.X(n_13134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380280 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [20]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [20]),
-	.Y(n_13133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380281 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [20]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [20]),
-	.Y(n_13132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380282 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [20]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [20]),
-	.Y(n_13131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380283 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [20]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [20]),
-	.Y(n_13130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380284 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [27]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [27]),
-	.Y(n_13129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380285 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [27]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [27]),
-	.Y(n_13128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380286 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [7]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [7]),
-	.Y(n_13127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380287 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [7]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [7]),
-	.Y(n_13126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380288 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [7]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [7]),
-	.Y(n_13125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380289 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [7]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [7]),
-	.Y(n_13124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380290 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [27]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [27]),
-	.Y(n_13123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380291 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [7]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [7]),
-	.Y(n_13122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380292 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [7]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [7]),
-	.Y(n_13121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380293 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [7]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [7]),
-	.Y(n_13120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380294 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [7]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [7]),
-	.Y(n_13119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380295 (
-	.A1(n_11926),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [7]),
-	.B1(n_12884),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [7]),
-	.Y(n_13118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380296 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [27]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [27]),
-	.Y(n_13117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380297 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [7]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [7]),
-	.X(n_13116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380298 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [27]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [27]),
-	.Y(n_13115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380299 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [7]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [7]),
-	.Y(n_13114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380300 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [7]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [7]),
-	.Y(n_13113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380301 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [7]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [7]),
-	.Y(n_13112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380302 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [7]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [7]),
-	.Y(n_13111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380303 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [7]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [7]),
-	.Y(n_13110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380304 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [7]),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [7]),
-	.Y(n_13109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380305 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [7]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [7]),
-	.Y(n_13108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380306 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [7]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [7]),
-	.Y(n_13107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380307 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [7]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [7]),
-	.Y(n_13106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380308 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [27]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [27]),
-	.Y(n_13105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380309 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [7]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [7]),
-	.Y(n_13104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380310 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [27]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [27]),
-	.Y(n_13103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380311 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [27]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [27]),
-	.Y(n_13102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380312 (
-	.A1(n_12852),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [6]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [6]),
-	.Y(n_13101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380313 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [6]),
-	.B1(n_12853),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [6]),
-	.Y(n_13100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380314 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [6]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [6]),
-	.Y(n_13099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380315 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [6]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [6]),
-	.Y(n_13098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380316 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [6]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [6]),
-	.Y(n_13097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380317 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [6]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [6]),
-	.Y(n_13096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380318 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [31]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [31]),
-	.Y(n_13095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380319 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [20]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [20]),
-	.Y(n_13094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380320 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [20]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [20]),
-	.Y(n_13093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380321 (
-	.A1(n_11926),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [27]),
-	.B1(n_12884),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [27]),
-	.Y(n_13092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380322 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [20]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [20]),
-	.Y(n_13091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380323 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [20]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [20]),
-	.Y(n_13090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380324 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [20]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [20]),
-	.Y(n_13089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380325 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [20]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [20]),
-	.Y(n_13088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380326 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [20]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [20]),
-	.Y(n_13087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380327 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [20]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [20]),
-	.Y(n_13086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380328 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [2]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [2]),
-	.Y(n_13085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380329 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [27]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [27]),
-	.Y(n_13084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380330 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [6]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [6]),
-	.Y(n_13083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380331 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [6]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [6]),
-	.Y(n_13082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380332 (
-	.A1(n_11926),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [20]),
-	.B1(n_12884),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [20]),
-	.Y(n_13081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380333 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [27]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [27]),
-	.Y(n_13080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380334 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [6]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [6]),
-	.Y(n_13079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380335 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [6]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [6]),
-	.Y(n_13078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380336 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [6]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [6]),
-	.Y(n_13077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380337 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [27]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [27]),
-	.X(n_13076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380338 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [20]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [20]),
-	.X(n_13075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380339 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [6]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [6]),
-	.Y(n_13074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380340 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [6]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [6]),
-	.Y(n_13073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380341 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [6]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [6]),
-	.Y(n_13072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380342 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [27]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [27]),
-	.Y(n_13071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380343 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [20]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [20]),
-	.X(n_13070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380344 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [31]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [31]),
-	.Y(n_13069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380345 (
-	.A1(n_12893),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [6]),
-	.B1(n_12884),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [6]),
-	.Y(n_13068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380346 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [20]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [20]),
-	.Y(n_13067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380347 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [27]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [27]),
-	.Y(n_13066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380348 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [20]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [20]),
-	.Y(n_13065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380349 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [20]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [20]),
-	.Y(n_13064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380350 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [6]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [6]),
-	.X(n_13063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380351 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [20]),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [20]),
-	.Y(n_13062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380352 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [6]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [6]),
-	.Y(n_13061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380353 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [6]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [6]),
-	.Y(n_13060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380354 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [27]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [27]),
-	.Y(n_13059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380355 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [6]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [6]),
-	.Y(n_13058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380356 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [27]),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [27]),
-	.Y(n_13057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380357 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [6]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [6]),
-	.Y(n_13056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380358 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [6]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [6]),
-	.Y(n_13055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380359 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [6]),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [6]),
-	.Y(n_13054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380360 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [20]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [20]),
-	.Y(n_13053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380361 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [6]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [6]),
-	.Y(n_13052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380362 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [6]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [6]),
-	.Y(n_13051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380363 (
-	.A1(n_12890),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [20]),
-	.B1(n_12880),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [20]),
-	.Y(n_13050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380364 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [6]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [6]),
-	.Y(n_13049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380365 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [6]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [6]),
-	.Y(n_13048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380366 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [27]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [27]),
-	.Y(n_13047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380367 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [27]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [27]),
-	.Y(n_13046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380368 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [20]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [20]),
-	.X(n_13045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380369 (
-	.A1(n_12873),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [27]),
-	.B1(n_12894),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [27]),
-	.Y(n_13044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380370 (
-	.A1(n_12852),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [5]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [5]),
-	.Y(n_13043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380371 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [5]),
-	.B1(n_12853),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [5]),
-	.Y(n_13042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380372 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [5]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [5]),
-	.Y(n_13041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380373 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [5]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [5]),
-	.Y(n_13040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380374 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [5]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [5]),
-	.Y(n_13039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380375 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [5]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [5]),
-	.Y(n_13038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380376 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [19]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [19]),
-	.Y(n_13037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380377 (
-	.A1(n_12895),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [27]),
-	.B1(n_12874),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [27]),
-	.Y(n_13036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380378 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [19]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [19]),
-	.Y(n_13035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380379 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [19]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [19]),
-	.Y(n_13034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380380 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [19]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [19]),
-	.Y(n_13033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380381 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [19]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [19]),
-	.Y(n_13032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380382 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [19]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [19]),
-	.Y(n_13031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380383 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [19]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [19]),
-	.Y(n_13030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380384 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [26]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [26]),
-	.X(n_13029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380385 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [19]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [19]),
-	.Y(n_13028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380386 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [5]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [5]),
-	.Y(n_13027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380387 (
-	.A1(n_12853),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [26]),
-	.B1(n_12852),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [26]),
-	.X(n_13026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380388 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [5]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [5]),
-	.Y(n_13025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380389 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [5]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [5]),
-	.Y(n_13024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380390 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [26]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [26]),
-	.Y(n_13023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380391 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [5]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [5]),
-	.Y(n_13022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380392 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [5]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [5]),
-	.Y(n_13021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380393 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [5]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [5]),
-	.Y(n_13020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380394 (
-	.A1(n_11903),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [5]),
-	.B1(n_11912),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [5]),
-	.Y(n_13019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380395 (
-	.A1(n_11909),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [5]),
-	.B1(n_11913),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [5]),
-	.Y(n_13018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380396 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [26]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [26]),
-	.Y(n_13017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380397 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [26]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [26]),
-	.Y(n_13016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380398 (
-	.A1(n_11926),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [5]),
-	.B1(n_12884),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [5]),
-	.Y(n_13015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380399 (
-	.A1(n_12896),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [5]),
-	.B1(n_12859),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [5]),
-	.X(n_13014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380400 (
-	.A1(n_12875),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [5]),
-	.B1(n_12876),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [5]),
-	.X(n_13013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380401 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [26]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [26]),
-	.Y(n_13012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380402 (
-	.A1(n_12886),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [5]),
-	.B1(n_12887),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [5]),
-	.Y(n_13011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380403 (
-	.A1(n_12888),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [5]),
-	.B1(n_12878),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [5]),
-	.Y(n_13010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380404 (
-	.A1(n_12897),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [5]),
-	.B1(n_12860),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [5]),
-	.Y(n_13009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380405 (
-	.A1(n_12885),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [5]),
-	.B1(n_12877),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [5]),
-	.Y(n_13008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380406 (
-	.A1(n_12891),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [5]),
-	.B1(n_12889),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [5]),
-	.X(n_13007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380407 (
-	.A1(n_18177),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [5]),
-	.B1(n_12879),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [5]),
-	.Y(n_13006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380408 (
-	.A1(n_12872),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [5]),
-	.B1(n_12881),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [5]),
-	.Y(n_13005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380409 (
-	.A1(n_12852),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [4]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [4]),
-	.Y(n_13004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380410 (
-	.A1(n_11906),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [30]),
-	.B1(n_11910),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [30]),
-	.Y(n_13003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380411 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [4]),
-	.B1(n_12853),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [4]),
-	.Y(n_13002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380412 (
-	.A1(n_12856),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [4]),
-	.B1(n_12847),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [4]),
-	.Y(n_13001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380413 (
-	.A1(n_12858),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [4]),
-	.B1(n_12846),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [4]),
-	.Y(n_13000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380414 (
-	.A1(n_12849),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [4]),
-	.B1(n_12855),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [4]),
-	.Y(n_12999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380415 (
-	.A1(n_12857),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [4]),
-	.B1(n_12848),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [4]),
-	.Y(n_12998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380416 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [30]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [30]),
-	.Y(n_12997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380417 (
-	.A1(n_12852),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [19]),
-	.B1(n_12851),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [19]),
-	.X(n_12996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380418 (
-	.A1(n_12850),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [19]),
-	.B1(n_12853),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [19]),
-	.X(n_12995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380419 (
-	.A(n_12868),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [21]),
-	.Y(n_12989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380420 (
-	.A(n_12871),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [18]),
-	.Y(n_12988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380421 (
-	.A(n_12868),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [8]),
-	.Y(n_12987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380422 (
-	.A(n_12871),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [2]),
-	.Y(n_12986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380426 (
-	.A(n_12871),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [17]),
-	.Y(n_12982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g380428 (
-	.A1(n_12840),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[9]),
-	.B1(n_12086),
-	.Y(n_12980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380429 (
-	.A(n_12869),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [0]),
-	.Y(n_12979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380433 (
-	.A(n_11903),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [0]),
-	.Y(n_12975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380434 (
-	.A(n_11913),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [0]),
-	.Y(n_12974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380435 (
-	.A(n_11909),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [0]),
-	.Y(n_12973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380436 (
-	.A(n_12868),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [29]),
-	.Y(n_12972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380437 (
-	.A(n_12871),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [16]),
-	.Y(n_12971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380439 (
-	.A(n_12868),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [15]),
-	.Y(n_12969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380440 (
-	.A(n_12871),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [24]),
-	.Y(n_12968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380441 (
-	.A(n_11912),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [0]),
-	.Y(n_12967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380442 (
-	.A(n_12868),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [14]),
-	.Y(n_12966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380443 (
-	.A(n_12871),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [1]),
-	.Y(n_12965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380444 (
-	.A(n_12871),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [23]),
-	.Y(n_12964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380445 (
-	.A(n_12871),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [28]),
-	.Y(n_12963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380446 (
-	.A(n_12868),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [12]),
-	.Y(n_12962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380447 (
-	.A(n_12869),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [1]),
-	.Y(n_12961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380448 (
-	.A(n_12871),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [11]),
-	.Y(n_12960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380449 (
-	.A(n_12868),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [22]),
-	.Y(n_12959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380450 (
-	.A(n_12868),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [9]),
-	.Y(n_12958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380451 (
-	.A(n_12868),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [3]),
-	.Y(n_12957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380453 (
-	.A(n_12868),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [27]),
-	.Y(n_12955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380454 (
-	.A(n_12868),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [7]),
-	.Y(n_12954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380455 (
-	.A(n_12868),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [20]),
-	.Y(n_12953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380456 (
-	.A(n_12868),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [6]),
-	.Y(n_12952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380457 (
-	.A(n_12871),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [30]),
-	.Y(n_12951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380458 (
-	.A(n_12868),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [5]),
-	.Y(n_12950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380459 (
-	.A(n_12871),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [19]),
-	.Y(n_12949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380460 (
-	.A(n_12871),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [31]),
-	.Y(n_12948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380461 (
-	.A(n_12868),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [26]),
-	.Y(n_12947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380462 (
-	.A(n_12871),
-	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [4]),
-	.Y(n_12946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g380464 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
-	.B1(n_12866),
-	.Y(n_12944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g380465 (
-	.A(soc_top_u_top_u_core_alu_operator_ex[3]),
-	.B_N(soc_top_u_top_u_core_alu_operator_ex[0]),
-	.Y(n_12943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g380466 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
-	.B1(n_12866),
-	.Y(n_12942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380467 (
-	.A(n_12867),
-	.B(n_11965),
-	.Y(n_12941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g380468 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
-	.B1(n_12866),
-	.Y(n_12940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g380469 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
-	.B1(n_12866),
-	.Y(n_12939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g380470 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
-	.B1(n_12866),
-	.Y(n_12938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g380471 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
-	.B1(n_12866),
-	.Y(n_12937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g380472 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
-	.B1(n_12866),
-	.Y(n_12936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380473 (
-	.A(n_12867),
-	.B(n_11991),
-	.Y(n_12935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g380474 (
-	.A(n_12899),
-	.B(n_12664),
-	.C(n_12764),
-	.Y(soc_top_u_top_u_core_alu_operator_ex[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g380475 (
-	.A_N(n_15185),
-	.B(n_12899),
-	.Y(soc_top_u_top_u_core_alu_operator_ex[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g380476 (
-	.A(n_12865),
-	.B(n_14906),
-	.X(n_12994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g380477 (
-	.A1(n_12841),
-	.A2(n_14908),
-	.B1(n_11898),
-	.Y(n_12993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g380478 (
-	.A(n_12760),
-	.B(n_12755),
-	.C(n_12753),
-	.D(n_12800),
-	.X(n_15232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380479 (
-	.A(n_12809),
-	.B(n_12805),
-	.C(n_12648),
-	.D(n_12638),
-	.Y(n_15204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g380480 (
-	.A(n_12775),
-	.B(n_12715),
-	.C(n_12862),
-	.Y(n_12992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380481 (
-	.A(n_12844),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[31]),
-	.Y(n_12991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g380482 (
-	.A(n_14909),
-	.B(n_12864),
-	.Y(n_12990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g380483 (
-	.A(n_12934),
-	.Y(n_15203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380484 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [4]),
-	.B2(n_12833),
-	.Y(n_12933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380485 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [25]),
-	.B2(n_12833),
-	.Y(n_12932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380486 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [27]),
-	.B2(n_12833),
-	.Y(n_12931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380487 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [20]),
-	.B2(n_12833),
-	.Y(n_12930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380488 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [7]),
-	.B2(n_12833),
-	.Y(n_12929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380489 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [21]),
-	.B2(n_12833),
-	.Y(n_12928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380490 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [8]),
-	.B2(n_12833),
-	.Y(n_12927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380491 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [2]),
-	.B2(n_12833),
-	.Y(n_12926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380492 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [28]),
-	.B2(n_12833),
-	.Y(n_12925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380493 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [22]),
-	.B2(n_12833),
-	.Y(n_12924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380494 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [31]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [31]),
-	.B2(n_12833),
-	.Y(n_12923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380495 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [10]),
-	.B2(n_12833),
-	.Y(n_12922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380496 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [11]),
-	.B2(n_12833),
-	.Y(n_12921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g380497 (
-	.A1_N(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
-	.A2_N(n_15425),
-	.B1(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
-	.B2(\soc_top_timer_to_xbar[d_valid] ),
-	.Y(n_12920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380498 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [23]),
-	.B2(n_12833),
-	.Y(n_12919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380499 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [12]),
-	.B2(n_12833),
-	.Y(n_12918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380500 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [13]),
-	.B2(n_12833),
-	.Y(n_12917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380501 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [29]),
-	.B2(n_12833),
-	.Y(n_12916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380502 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [24]),
-	.B2(n_12833),
-	.Y(n_12915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380503 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [14]),
-	.B2(n_12833),
-	.Y(n_12914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380504 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [15]),
-	.B2(n_12833),
-	.Y(n_12913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380505 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [16]),
-	.B2(n_12833),
-	.Y(n_12912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380506 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [6]),
-	.B2(n_12833),
-	.Y(n_12911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380507 (
-	.A1(n_12826),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [0]),
-	.B1(n_12770),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [1]),
-	.Y(n_12910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380508 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [17]),
-	.B2(n_12833),
-	.Y(n_12909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380509 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [0]),
-	.B2(n_12833),
-	.Y(n_12908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380510 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [1]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [1]),
-	.B2(n_12833),
-	.Y(n_12907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380511 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [30]),
-	.B2(n_12833),
-	.Y(n_12906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380512 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [26]),
-	.B2(n_12833),
-	.Y(n_12905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380513 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [18]),
-	.B2(n_12833),
-	.Y(n_12904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380514 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [19]),
-	.B2(n_12833),
-	.Y(n_12903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380515 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [5]),
-	.B2(n_12833),
-	.Y(n_12902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380516 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [9]),
-	.B2(n_12833),
-	.Y(n_12901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380517 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
-	.A2(n_12825),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [3]),
-	.B2(n_12833),
-	.Y(n_12900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g380518 (
-	.A(n_12754),
-	.B(n_12803),
-	.C(n_12817),
-	.Y(n_12934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380519 (
-	.A(n_12822),
-	.B(n_12807),
-	.C(n_12655),
-	.D(n_12650),
-	.Y(n_15205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g380520 (
-	.A(n_12687),
-	.B(n_12678),
-	.C(n_12759),
-	.D(n_12806),
-	.X(n_15227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g380521 (
-	.A(n_12679),
-	.B(n_12681),
-	.C(n_12680),
-	.D(n_12776),
-	.X(n_15220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380522 (
-	.A(n_12814),
-	.B(n_12777),
-	.C(n_12639),
-	.D(n_12651),
-	.Y(n_15206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380523 (
-	.A(n_12820),
-	.B(n_12792),
-	.C(n_12629),
-	.D(n_12636),
-	.Y(n_15207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g380524 (
-	.A(n_12688),
-	.B(n_12691),
-	.C(n_12690),
-	.D(n_12779),
-	.X(n_15221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380525 (
-	.A(n_12819),
-	.B(n_12780),
-	.C(n_12632),
-	.D(n_12631),
-	.Y(n_15208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g380526 (
-	.A(n_12706),
-	.B(n_12692),
-	.C(n_12686),
-	.D(n_12778),
-	.X(n_15231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380527 (
-	.A(n_12818),
-	.B(n_12782),
-	.C(n_12633),
-	.D(n_12634),
-	.Y(n_15209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g380528 (
-	.A(n_12698),
-	.B(n_12695),
-	.C(n_12677),
-	.D(n_12781),
-	.X(n_15228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g380529 (
-	.A(n_12699),
-	.B(n_12700),
-	.C(n_12701),
-	.D(n_12783),
-	.X(n_15222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g380530 (
-	.A(n_12750),
-	.B(n_12702),
-	.C(n_12703),
-	.D(n_12784),
-	.X(n_15210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380531 (
-	.A(n_12816),
-	.B(n_12785),
-	.C(n_12658),
-	.D(n_12635),
-	.Y(n_15211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g380532 (
-	.A(n_12710),
-	.B(n_12709),
-	.C(n_12708),
-	.D(n_12786),
-	.X(n_15223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g380533 (
-	.A(n_12711),
-	.B(n_12712),
-	.C(n_12742),
-	.D(n_12787),
-	.X(n_15212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g380534 (
-	.A(n_12721),
-	.B(n_12720),
-	.C(n_12719),
-	.D(n_12789),
-	.X(n_15213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g380535 (
-	.A(n_12765),
-	.B(n_12722),
-	.C(n_12763),
-	.D(n_12788),
-	.X(n_15229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g380536 (
-	.A(n_12725),
-	.B(n_12724),
-	.C(n_12723),
-	.D(n_12790),
-	.X(n_15224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g380537 (
-	.A(n_12726),
-	.B(n_12727),
-	.C(n_12728),
-	.D(n_12791),
-	.X(n_15214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g380538 (
-	.A(n_12730),
-	.B(n_12731),
-	.C(n_12732),
-	.D(n_12794),
-	.X(n_15215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g380539 (
-	.A(n_12733),
-	.B(n_12734),
-	.C(n_12735),
-	.D(n_12795),
-	.X(n_15225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g380540 (
-	.A(n_12738),
-	.B(n_12737),
-	.C(n_12736),
-	.D(n_12796),
-	.X(n_15216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g380541 (
-	.A(n_12767),
-	.B(n_12744),
-	.C(n_12743),
-	.D(n_12798),
-	.X(n_15217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g380542 (
-	.A(n_12745),
-	.B(n_12746),
-	.C(n_12739),
-	.D(n_12797),
-	.X(n_15230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g380543 (
-	.A(n_12684),
-	.B(n_12740),
-	.C(n_12685),
-	.D(n_12799),
-	.X(n_15226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380544 (
-	.A(n_12811),
-	.B(n_12801),
-	.C(n_12654),
-	.D(n_12653),
-	.Y(n_15201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g380545 (
-	.A(n_12689),
-	.B(n_12729),
-	.C(n_12752),
-	.D(n_12793),
-	.X(n_15218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380546 (
-	.A(n_12813),
-	.B(n_12802),
-	.C(n_12649),
-	.D(n_12644),
-	.Y(n_15202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g380547 (
-	.A(n_12757),
-	.B(n_12756),
-	.C(n_12751),
-	.D(n_12804),
-	.X(n_15219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g380577 (
-	.A(n_12867),
-	.Y(n_12866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g380578 (
-	.A_N(n_16210),
-	.B(n_17294),
-	.Y(n_12865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g380579 (
-	.A(n_12761),
-	.B(n_12841),
-	.Y(n_12899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g380580 (
-	.A(n_14898),
-	.B(n_15246),
-	.C(n_14900),
-	.Y(n_14906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g380581 (
-	.A(n_15149),
-	.B(n_12834),
-	.Y(n_11926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g380582 (
-	.A(n_12837),
-	.B(n_12046),
-	.X(n_12897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g380583 (
-	.A(n_12835),
-	.B(n_12046),
-	.X(n_12896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g380584 (
-	.A(n_12839),
-	.B(n_12046),
-	.X(n_12895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g380585 (
-	.A(n_15155),
-	.B(n_12838),
-	.Y(n_12894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g380586 (
-	.A(n_12835),
-	.B(n_12041),
-	.X(n_12893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g380587 (
-	.A(n_12839),
-	.B(n_12043),
-	.X(n_12892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g380588 (
-	.A(n_12836),
-	.B(n_12041),
-	.X(n_12891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g380589 (
-	.A(n_12837),
-	.B(n_12041),
-	.X(n_12890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g380590 (
-	.A(n_12836),
-	.B(n_11896),
-	.X(n_12889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g380591 (
-	.A(n_12836),
-	.B(n_12042),
-	.X(n_12888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g380592 (
-	.A(n_12836),
-	.B(n_12044),
-	.X(n_12887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g380593 (
-	.A(n_12836),
-	.B(n_12046),
-	.X(n_12886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g380594 (
-	.A(n_12837),
-	.B(n_12042),
-	.X(n_12885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g380595 (
-	.A(n_12835),
-	.B(n_11896),
-	.X(n_12884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g380596 (
-	.A(n_12836),
-	.B(n_12043),
-	.X(n_12883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g380597 (
-	.A(n_12839),
-	.B(n_11896),
-	.X(n_12882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g380598 (
-	.A(n_12837),
-	.B(n_12043),
-	.X(n_12881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g380599 (
-	.A(n_12837),
-	.B(n_11896),
-	.X(n_12880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g380600 (
-	.A(n_15157),
-	.B(n_12834),
-	.Y(n_12879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_4 g380601 (
-	.A_N(n_15155),
-	.B(n_12836),
-	.X(n_12878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g380602 (
-	.A(n_12837),
-	.B(n_12031),
-	.X(n_12877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g380603 (
-	.A(n_15155),
-	.B(n_12834),
-	.Y(n_12876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g380604 (
-	.A(n_12835),
-	.B(n_12042),
-	.X(n_12875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g380605 (
-	.A(n_15156),
-	.B(n_12838),
-	.Y(n_12874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g380606 (
-	.A(n_12839),
-	.B(n_12042),
-	.X(n_12873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g380607 (
-	.A(n_12836),
-	.B(n_11918),
-	.X(n_12872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_2 g380608 (
-	.A(n_12771),
-	.B(n_12714),
-	.C(n_12093),
-	.X(n_12871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g380609 (
-	.A(n_12839),
-	.B(n_12041),
-	.X(n_12870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_2 g380610 (
-	.A(n_12771),
-	.B(n_12714),
-	.C(n_12067),
-	.X(n_12869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g380611 (
-	.A(n_12771),
-	.B(n_12714),
-	.C(n_12088),
-	.X(n_12868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g380612 (
-	.A(n_17294),
-	.B(n_16210),
-	.Y(n_12867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g380613 (
-	.A(n_12863),
-	.Y(n_12864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g380614 (
-	.A(n_12861),
-	.Y(n_12862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 g380632 (
-	.A(n_12844),
-	.Y(n_12845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g380633 (
-	.A1(n_14890),
-	.A2(n_12643),
-	.B1(n_12821),
-	.Y(n_15185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g380634 (
-	.A(n_12660),
-	.B(n_12674),
-	.C(n_12619),
-	.Y(n_12863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380635 (
-	.A(n_12808),
-	.B(n_12625),
-	.Y(soc_top_u_top_u_core_alu_operator_ex[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g380636 (
-	.A(n_12622),
-	.B(n_12815),
-	.Y(n_12861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g380637 (
-	.A(n_12837),
-	.B(n_12044),
-	.X(n_12860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g380638 (
-	.A(n_12835),
-	.B(n_12044),
-	.X(n_12859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g380639 (
-	.A(n_12714),
-	.B(n_12771),
-	.C(n_12076),
-	.X(n_12858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g380644 (
-	.A(n_12714),
-	.B(n_12771),
-	.C(n_12097),
-	.X(n_12857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g380646 (
-	.A(n_12714),
-	.B(n_12771),
-	.C(n_12069),
-	.X(n_12856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g380647 (
-	.A(n_12714),
-	.B(n_12771),
-	.C(n_12073),
-	.X(n_12855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g380655 (
-	.A(n_12714),
-	.B(n_12771),
-	.C(n_12096),
-	.X(n_12853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g380657 (
-	.A(n_12714),
-	.B(n_12771),
-	.C(n_12099),
-	.X(n_12852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g380658 (
-	.A(n_12714),
-	.B(n_12771),
-	.C(n_12065),
-	.X(n_12851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g380660 (
-	.A(n_12714),
-	.B(n_12771),
-	.C(n_11924),
-	.X(n_12850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g380662 (
-	.A(n_12714),
-	.B(n_12771),
-	.C(n_12068),
-	.X(n_12849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g380663 (
-	.A(n_12714),
-	.B(n_12771),
-	.C(n_11921),
-	.X(n_12848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_2 g380665 (
-	.A(n_12714),
-	.B(n_12771),
-	.C(n_12091),
-	.X(n_12847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g380666 (
-	.A(n_12714),
-	.B(n_12771),
-	.C(n_11923),
-	.X(n_12846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380668 (
-	.A(n_12774),
-	.B(n_12812),
-	.Y(n_12844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g380670 (
-	.A(n_12839),
-	.Y(n_12838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g380671 (
-	.A(n_12835),
-	.Y(n_12834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g380672 (
-	.A(n_12831),
-	.Y(n_12830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g380673 (
-	.A(n_12829),
-	.Y(n_12828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g380675 (
-	.A(n_12826),
-	.Y(n_12825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g380676 (
-	.A_N(\soc_top_u_top_u_core_imd_val_q_ex[0] [0]),
-	.B(n_12770),
-	.Y(n_17295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380677 (
-	.A(n_12713),
-	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[13]),
-	.Y(n_12824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380678 (
-	.A(n_12713),
-	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[10]),
-	.Y(n_12823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g380679 (
-	.A(n_12676),
-	.B(n_12675),
-	.Y(n_12822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g380680 (
-	.A1(n_12656),
-	.A2(n_12128),
-	.B1(n_12659),
-	.Y(n_12821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g380681 (
-	.A(n_12762),
-	.B(n_12766),
-	.Y(n_12820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g380682 (
-	.A(n_12693),
-	.B(n_12694),
-	.Y(n_12819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g380683 (
-	.A(n_12696),
-	.B(n_12697),
-	.Y(n_12818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380684 (
-	.A(n_12628),
-	.B(n_12646),
-	.C(n_12647),
-	.D(n_12645),
-	.Y(n_12817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g380685 (
-	.A(n_12704),
-	.B(n_12705),
-	.Y(n_12816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g380686 (
-	.A1(n_14904),
-	.A2(n_12115),
-	.B1(n_12615),
-	.C1(n_12774),
-	.Y(n_12815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g380687 (
-	.A(n_12683),
-	.B(n_12682),
-	.Y(n_12814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g380688 (
-	.A(n_12741),
-	.B(n_12749),
-	.Y(n_12813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g380689 (
-	.A1(n_12128),
-	.A2(n_12642),
-	.B1(n_12601),
-	.X(n_12812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g380690 (
-	.A(n_12748),
-	.B(n_12747),
-	.Y(n_12811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380691 (
-	.A(n_12713),
-	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[25]),
-	.Y(n_12810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g380692 (
-	.A(n_12758),
-	.B(n_12707),
-	.Y(n_12809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g380693 (
-	.A1(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2941),
-	.A2(n_12630),
-	.B1(n_12626),
-	.Y(soc_top_u_top_u_core_alu_operator_ex[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380694 (
-	.A(n_14898),
-	.B(n_14900),
-	.Y(n_14905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380695 (
-	.A(n_12715),
-	.B(n_12615),
-	.Y(n_12842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g380696 (
-	.A(n_12663),
-	.B(n_12662),
-	.Y(n_12841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380697 (
-	.A(n_18245),
-	.B(n_14900),
-	.Y(n_16210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g380698 (
-	.A_N(n_12618),
-	.B(n_12774),
-	.Y(n_12840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g380699 (
-	.A(n_15158),
-	.B(n_12768),
-	.Y(n_12839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g380700 (
-	.A(n_15159),
-	.B(n_12768),
-	.Y(n_12837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g380701 (
-	.A(n_15160),
-	.B(n_12768),
-	.Y(n_12836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g380702 (
-	.A(n_15161),
-	.B(n_12768),
-	.Y(n_12835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g380703 (
-	.A(n_14897),
-	.B(n_14900),
-	.X(n_12833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380704 (
-	.A(n_510),
-	.B(n_15247),
-	.Y(n_12832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g380705 (
-	.A(n_15129),
-	.B(n_12714),
-	.X(n_12831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g380706 (
-	.A(n_14895),
-	.B(n_14900),
-	.X(n_12829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g380707 (
-	.A(n_12769),
-	.B(n_14900),
-	.Y(n_15246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3b_2 g380708 (
-	.A_N(n_15247),
-	.B(n_510),
-	.C(n_14867),
-	.X(n_12826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g380709 (
-	.A1(n_12128),
-	.A2(n_12623),
-	.B1(n_12637),
-	.Y(n_12808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380710 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [4]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [4]),
-	.C1(n_12673),
-	.Y(n_12807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380711 (
-	.A(n_12572),
-	.B(n_12579),
-	.C(n_12582),
-	.D(n_12575),
-	.Y(n_12806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380712 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [3]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [3]),
-	.C1(n_12672),
-	.Y(n_12805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380713 (
-	.A(n_12565),
-	.B(n_12581),
-	.C(n_12553),
-	.D(n_12545),
-	.Y(n_12804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380714 (
-	.A(n_12533),
-	.B(n_12471),
-	.C(n_12535),
-	.D(n_12583),
-	.Y(n_12803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380715 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [1]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [1]),
-	.C1(n_12671),
-	.Y(n_12802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380716 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [0]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [0]),
-	.C1(n_12669),
-	.Y(n_12801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380717 (
-	.A(n_12523),
-	.B(n_12465),
-	.C(n_12476),
-	.D(n_12472),
-	.Y(n_12800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380718 (
-	.A(n_12187),
-	.B(n_12448),
-	.C(n_12606),
-	.D(n_12352),
-	.Y(n_12799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380719 (
-	.A(n_12453),
-	.B(n_12461),
-	.C(n_12455),
-	.D(n_12449),
-	.Y(n_12798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380720 (
-	.A(n_12428),
-	.B(n_12424),
-	.C(n_12435),
-	.D(n_12433),
-	.Y(n_12797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380721 (
-	.A(n_12311),
-	.B(n_12412),
-	.C(n_12278),
-	.D(n_12414),
-	.Y(n_12796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380722 (
-	.A(n_12397),
-	.B(n_12395),
-	.C(n_12398),
-	.D(n_12396),
-	.Y(n_12795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380723 (
-	.A(n_12599),
-	.B(n_12491),
-	.C(n_12380),
-	.D(n_12598),
-	.Y(n_12794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380724 (
-	.A(n_12608),
-	.B(n_12452),
-	.C(n_12348),
-	.D(n_12493),
-	.Y(n_12793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380725 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [6]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [6]),
-	.C1(n_12666),
-	.Y(n_12792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380726 (
-	.A(n_12356),
-	.B(n_12353),
-	.C(n_12358),
-	.D(n_12355),
-	.Y(n_12791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380727 (
-	.A(n_12337),
-	.B(n_12334),
-	.C(n_12339),
-	.D(n_12335),
-	.Y(n_12790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380728 (
-	.A(n_12316),
-	.B(n_12314),
-	.C(n_12317),
-	.D(n_12291),
-	.Y(n_12789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380729 (
-	.A(n_12296),
-	.B(n_12345),
-	.C(n_12303),
-	.D(n_12298),
-	.Y(n_12788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380730 (
-	.A(n_12288),
-	.B(n_12611),
-	.C(n_12612),
-	.D(n_12289),
-	.Y(n_12787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380731 (
-	.A(n_12271),
-	.B(n_12269),
-	.C(n_12272),
-	.D(n_12270),
-	.Y(n_12786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380732 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [10]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [10]),
-	.C1(n_12670),
-	.Y(n_12785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380733 (
-	.A(n_12503),
-	.B(n_12243),
-	.C(n_12499),
-	.D(n_12502),
-	.Y(n_12784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380734 (
-	.A(n_12546),
-	.B(n_12232),
-	.C(n_12235),
-	.D(n_12234),
-	.Y(n_12783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380735 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [8]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [8]),
-	.C1(n_12668),
-	.Y(n_12782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380736 (
-	.A(n_12186),
-	.B(n_12198),
-	.C(n_12207),
-	.D(n_12202),
-	.Y(n_12781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380737 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [7]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [7]),
-	.C1(n_12667),
-	.Y(n_12780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380738 (
-	.A(n_12181),
-	.B(n_12300),
-	.C(n_12182),
-	.D(n_12280),
-	.Y(n_12779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380739 (
-	.A(n_12154),
-	.B(n_12555),
-	.C(n_12155),
-	.D(n_12150),
-	.Y(n_12778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380740 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [5]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [5]),
-	.C1(n_12665),
-	.Y(n_12777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380741 (
-	.A(n_12140),
-	.B(n_12613),
-	.C(n_12141),
-	.D(n_12137),
-	.Y(n_12776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g380742 (
-	.A1(n_15195),
-	.A2(n_17416),
-	.B1(n_11897),
-	.Y(n_15425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g380743 (
-	.A(n_12774),
-	.Y(n_12773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g380744 (
-	.A(n_14900),
-	.Y(n_510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 g380745 (
-	.A(n_15129),
-	.Y(n_12771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g380746 (
-	.A(n_12769),
-	.Y(n_12770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_8 g380747 (
-	.A(n_12718),
-	.Y(n_12768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380748 (
-	.A(n_12457),
-	.B(n_12469),
-	.C(n_12342),
-	.D(n_12304),
-	.Y(n_12767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380749 (
-	.A(n_12178),
-	.B(n_12177),
-	.C(n_12179),
-	.D(n_12176),
-	.Y(n_12766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380750 (
-	.A(n_12308),
-	.B(n_12307),
-	.C(n_12310),
-	.D(n_12306),
-	.Y(n_12765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g380751 (
-	.A1(n_15130),
-	.A2(n_14888),
-	.B1(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2951),
-	.X(n_12764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380752 (
-	.A(n_12315),
-	.B(n_12313),
-	.C(n_12320),
-	.D(n_12312),
-	.Y(n_12763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380753 (
-	.A(n_12377),
-	.B(n_12175),
-	.C(n_12172),
-	.D(n_12325),
-	.Y(n_12762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g380754 (
-	.A1(n_14890),
-	.A2(n_15151),
-	.B1(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2972),
-	.B2(n_14896),
-	.C1(n_12640),
-	.Y(n_12761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380755 (
-	.A(n_12174),
-	.B(n_12563),
-	.C(n_12145),
-	.D(n_12447),
-	.Y(n_12760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380756 (
-	.A(n_12571),
-	.B(n_12592),
-	.C(n_12594),
-	.D(n_12135),
-	.Y(n_12759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380757 (
-	.A(n_12570),
-	.B(n_12569),
-	.C(n_12573),
-	.D(n_12568),
-	.Y(n_12758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380758 (
-	.A(n_12604),
-	.B(n_12559),
-	.C(n_12558),
-	.D(n_12557),
-	.Y(n_12757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380759 (
-	.A(n_12475),
-	.B(n_12554),
-	.C(n_12444),
-	.D(n_12552),
-	.Y(n_12756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380760 (
-	.A(n_12163),
-	.B(n_12521),
-	.C(n_12538),
-	.D(n_12518),
-	.Y(n_12755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380761 (
-	.A(n_12540),
-	.B(n_12539),
-	.C(n_12212),
-	.D(n_12537),
-	.Y(n_12754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380762 (
-	.A(n_12282),
-	.B(n_12482),
-	.C(n_12263),
-	.D(n_12375),
-	.Y(n_12753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380763 (
-	.A(n_12548),
-	.B(n_12192),
-	.C(n_12512),
-	.D(n_12419),
-	.Y(n_12752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380764 (
-	.A(n_12527),
-	.B(n_12526),
-	.C(n_12550),
-	.D(n_12530),
-	.Y(n_12751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380765 (
-	.A(n_12245),
-	.B(n_12497),
-	.C(n_12495),
-	.D(n_12244),
-	.Y(n_12750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380766 (
-	.A(n_12520),
-	.B(n_12514),
-	.C(n_12515),
-	.D(n_12513),
-	.Y(n_12749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380767 (
-	.A(n_12488),
-	.B(n_12266),
-	.C(n_12489),
-	.D(n_12487),
-	.Y(n_12748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380768 (
-	.A(n_12486),
-	.B(n_12485),
-	.C(n_12450),
-	.D(n_12484),
-	.Y(n_12747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380769 (
-	.A(n_12458),
-	.B(n_12468),
-	.C(n_12365),
-	.D(n_12167),
-	.Y(n_12746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380770 (
-	.A(n_12454),
-	.B(n_12494),
-	.C(n_12456),
-	.D(n_12165),
-	.Y(n_12745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380771 (
-	.A(n_12254),
-	.B(n_12255),
-	.C(n_12466),
-	.D(n_12462),
-	.Y(n_12744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380772 (
-	.A(n_12531),
-	.B(n_12460),
-	.C(n_12556),
-	.D(n_12459),
-	.Y(n_12743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380773 (
-	.A(n_12301),
-	.B(n_12349),
-	.C(n_12302),
-	.D(n_12299),
-	.Y(n_12742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380774 (
-	.A(n_12473),
-	.B(n_12516),
-	.C(n_12436),
-	.D(n_12477),
-	.Y(n_12741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380775 (
-	.A(n_12474),
-	.B(n_12607),
-	.C(n_12451),
-	.D(n_12470),
-	.Y(n_12740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380776 (
-	.A(n_12439),
-	.B(n_12443),
-	.C(n_12446),
-	.D(n_12438),
-	.Y(n_12739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380777 (
-	.A(n_12430),
-	.B(n_12429),
-	.C(n_12431),
-	.D(n_12427),
-	.Y(n_12738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380778 (
-	.A(n_12425),
-	.B(n_12423),
-	.C(n_12426),
-	.D(n_12422),
-	.Y(n_12737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380779 (
-	.A(n_12420),
-	.B(n_12417),
-	.C(n_12421),
-	.D(n_12416),
-	.Y(n_12736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380780 (
-	.A(n_12413),
-	.B(n_12411),
-	.C(n_12333),
-	.D(n_12410),
-	.Y(n_12735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380781 (
-	.A(n_12409),
-	.B(n_12407),
-	.C(n_12406),
-	.D(n_12405),
-	.Y(n_12734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380782 (
-	.A(n_12400),
-	.B(n_12401),
-	.C(n_12403),
-	.D(n_12399),
-	.Y(n_12733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380783 (
-	.A(n_12393),
-	.B(n_12392),
-	.C(n_12394),
-	.D(n_12391),
-	.Y(n_12732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380784 (
-	.A(n_12389),
-	.B(n_12388),
-	.C(n_12390),
-	.D(n_12386),
-	.Y(n_12731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380785 (
-	.A(n_12384),
-	.B(n_12383),
-	.C(n_12385),
-	.D(n_12381),
-	.Y(n_12730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380786 (
-	.A(n_12142),
-	.B(n_12500),
-	.C(n_12498),
-	.D(n_12525),
-	.Y(n_12729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380787 (
-	.A(n_12373),
-	.B(n_12372),
-	.C(n_12374),
-	.D(n_12371),
-	.Y(n_12728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380788 (
-	.A(n_12368),
-	.B(n_12367),
-	.C(n_12370),
-	.D(n_12366),
-	.Y(n_12727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380789 (
-	.A(n_12363),
-	.B(n_12361),
-	.C(n_12364),
-	.D(n_12360),
-	.Y(n_12726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380790 (
-	.A(n_12357),
-	.B(n_12359),
-	.C(n_12362),
-	.D(n_12354),
-	.Y(n_12725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380791 (
-	.A(n_12347),
-	.B(n_12350),
-	.C(n_12351),
-	.D(n_12346),
-	.Y(n_12724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380792 (
-	.A(n_12341),
-	.B(n_12343),
-	.C(n_12344),
-	.D(n_12340),
-	.Y(n_12723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380793 (
-	.A(n_12332),
-	.B(n_12329),
-	.C(n_12336),
-	.D(n_12327),
-	.Y(n_12722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380794 (
-	.A(n_12267),
-	.B(n_12331),
-	.C(n_12330),
-	.D(n_12328),
-	.Y(n_12721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380795 (
-	.A(n_12326),
-	.B(n_12324),
-	.C(n_12273),
-	.D(n_12323),
-	.Y(n_12720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380796 (
-	.A(n_12321),
-	.B(n_12319),
-	.C(n_12322),
-	.D(n_12318),
-	.Y(n_12719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380797 (
-	.A(n_12660),
-	.B(n_12085),
-	.Y(n_12775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380798 (
-	.A(n_12624),
-	.B(n_12085),
-	.Y(n_12774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_2 g380799 (
-	.A_N(n_14892),
-	.B(n_12128),
-	.Y(n_14900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31o_2 g380800 (
-	.A1(n_12109),
-	.A2(n_6939),
-	.A3(n_9490),
-	.B1(n_12080),
-	.X(n_15129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380801 (
-	.A(n_12661),
-	.B(n_12128),
-	.Y(n_12769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g380802 (
-	.A(n_12641),
-	.B(n_12106),
-	.Y(n_12718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g380803 (
-	.A(n_18245),
-	.Y(n_14898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g380804 (
-	.A(n_12716),
-	.Y(n_12715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g380805 (
-	.A(n_12714),
-	.Y(n_12713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380806 (
-	.A(n_12297),
-	.B(n_12295),
-	.C(n_12369),
-	.D(n_12294),
-	.Y(n_12712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380807 (
-	.A(n_12293),
-	.B(n_12603),
-	.C(n_12376),
-	.D(n_12292),
-	.Y(n_12711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380808 (
-	.A(n_12290),
-	.B(n_12287),
-	.C(n_12286),
-	.D(n_12285),
-	.Y(n_12710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380809 (
-	.A(n_12284),
-	.B(n_12283),
-	.C(n_12281),
-	.D(n_12279),
-	.Y(n_12709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380810 (
-	.A(n_12277),
-	.B(n_12276),
-	.C(n_12275),
-	.D(n_12382),
-	.Y(n_12708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380811 (
-	.A(n_12577),
-	.B(n_12576),
-	.C(n_12578),
-	.D(n_12574),
-	.Y(n_12707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380812 (
-	.A(n_12173),
-	.B(n_12180),
-	.C(n_12183),
-	.D(n_12169),
-	.Y(n_12706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380813 (
-	.A(n_12264),
-	.B(n_12415),
-	.C(n_12265),
-	.D(n_12418),
-	.Y(n_12705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380814 (
-	.A(n_12262),
-	.B(n_12261),
-	.C(n_12432),
-	.D(n_12260),
-	.Y(n_12704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380815 (
-	.A(n_12379),
-	.B(n_12253),
-	.C(n_12252),
-	.D(n_12251),
-	.Y(n_12703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380816 (
-	.A(n_12250),
-	.B(n_12249),
-	.C(n_12247),
-	.D(n_12246),
-	.Y(n_12702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380817 (
-	.A(n_12242),
-	.B(n_12241),
-	.C(n_12501),
-	.D(n_12517),
-	.Y(n_12701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380818 (
-	.A(n_12519),
-	.B(n_12240),
-	.C(n_12239),
-	.D(n_12238),
-	.Y(n_12700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380819 (
-	.A(n_12536),
-	.B(n_12534),
-	.C(n_12237),
-	.D(n_12542),
-	.Y(n_12699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380820 (
-	.A(n_12227),
-	.B(n_12230),
-	.C(n_12233),
-	.D(n_12223),
-	.Y(n_12698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380821 (
-	.A(n_12226),
-	.B(n_12228),
-	.C(n_12229),
-	.D(n_12225),
-	.Y(n_12697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380822 (
-	.A(n_12221),
-	.B(n_12222),
-	.C(n_12567),
-	.D(n_12220),
-	.Y(n_12696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380823 (
-	.A(n_12587),
-	.B(n_12214),
-	.C(n_12219),
-	.D(n_12213),
-	.Y(n_12695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380824 (
-	.A(n_12204),
-	.B(n_12205),
-	.C(n_12206),
-	.D(n_12203),
-	.Y(n_12694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380825 (
-	.A(n_12208),
-	.B(n_12201),
-	.C(n_12191),
-	.D(n_12199),
-	.Y(n_12693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380826 (
-	.A(n_12200),
-	.B(n_12195),
-	.C(n_12189),
-	.D(n_12274),
-	.Y(n_12692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380827 (
-	.A(n_12236),
-	.B(n_12231),
-	.C(n_12194),
-	.D(n_12190),
-	.Y(n_12691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380828 (
-	.A(n_12256),
-	.B(n_12188),
-	.C(n_12257),
-	.D(n_12305),
-	.Y(n_12690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380829 (
-	.A(n_12509),
-	.B(n_12507),
-	.C(n_12528),
-	.D(n_12504),
-	.Y(n_12689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380830 (
-	.A(n_12185),
-	.B(n_12404),
-	.C(n_12258),
-	.D(n_12268),
-	.Y(n_12688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380831 (
-	.A(n_12588),
-	.B(n_12166),
-	.C(n_12434),
-	.D(n_12584),
-	.Y(n_12687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380832 (
-	.A(n_12408),
-	.B(n_12445),
-	.C(n_12387),
-	.D(n_12162),
-	.Y(n_12686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380833 (
-	.A(n_12210),
-	.B(n_12464),
-	.C(n_12589),
-	.D(n_12463),
-	.Y(n_12685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380834 (
-	.A(n_12549),
-	.B(n_12402),
-	.C(n_12309),
-	.D(n_12605),
-	.Y(n_12684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380835 (
-	.A(n_12483),
-	.B(n_12478),
-	.C(n_12160),
-	.D(n_12161),
-	.Y(n_12683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380836 (
-	.A(n_12158),
-	.B(n_12157),
-	.C(n_12156),
-	.D(n_12490),
-	.Y(n_12682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380837 (
-	.A(n_12532),
-	.B(n_12152),
-	.C(n_12153),
-	.D(n_12149),
-	.Y(n_12681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380838 (
-	.A(n_12561),
-	.B(n_12551),
-	.C(n_12547),
-	.D(n_12147),
-	.Y(n_12680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380839 (
-	.A(n_12146),
-	.B(n_12144),
-	.C(n_12586),
-	.D(n_12143),
-	.Y(n_12679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380840 (
-	.A(n_12134),
-	.B(n_12492),
-	.C(n_12139),
-	.D(n_12131),
-	.Y(n_12678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380841 (
-	.A(n_12151),
-	.B(n_12164),
-	.C(n_12148),
-	.D(n_12209),
-	.Y(n_12677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380842 (
-	.A(n_12138),
-	.B(n_12159),
-	.C(n_12597),
-	.D(n_12136),
-	.Y(n_12676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380843 (
-	.A(n_12132),
-	.B(n_12338),
-	.C(n_12133),
-	.D(n_12130),
-	.Y(n_12675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g380844 (
-	.A1(n_12117),
-	.A2(n_12602),
-	.B1(n_12085),
-	.Y(n_12674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g380846 (
-	.A(n_12593),
-	.B(n_12591),
-	.C(n_12590),
-	.Y(n_12673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g380847 (
-	.A(n_12184),
-	.B(n_12562),
-	.C(n_12560),
-	.Y(n_12672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g380848 (
-	.A(n_12505),
-	.B(n_12508),
-	.C(n_12506),
-	.Y(n_12671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g380849 (
-	.A(n_12441),
-	.B(n_12442),
-	.C(n_12440),
-	.Y(n_12670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g380850 (
-	.A(n_12248),
-	.B(n_12480),
-	.C(n_12479),
-	.Y(n_12669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g380851 (
-	.A(n_12585),
-	.B(n_12216),
-	.C(n_12217),
-	.Y(n_12668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g380852 (
-	.A(n_12215),
-	.B(n_12193),
-	.C(n_12224),
-	.Y(n_12667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g380853 (
-	.A(n_12609),
-	.B(n_12170),
-	.C(n_12168),
-	.Y(n_12666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g380854 (
-	.A(n_12524),
-	.B(n_12522),
-	.C(n_12529),
-	.Y(n_12665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380855 (
-	.A1(n_12128),
-	.A2(n_12118),
-	.B1(n_12113),
-	.B2(n_12040),
-	.C1(n_12659),
-	.Y(n_12664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380856 (
-	.A(n_12627),
-	.B(n_14888),
-	.C(n_12127),
-	.D(n_14907),
-	.Y(n_12663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g380857 (
-	.A_N(n_12642),
-	.B(n_14896),
-	.Y(n_12662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g380859 (
-	.A(n_12619),
-	.B(n_12621),
-	.C(n_14904),
-	.D(n_12085),
-	.Y(n_12716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_8 g380860 (
-	.A(n_12657),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2518),
-	.Y(n_12714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g380861 (
-	.A(n_12661),
-	.Y(n_14886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380862 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [10]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [10]),
-	.C1(n_12259),
-	.Y(n_12658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g380863 (
-	.A_N(n_15132),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2518),
-	.Y(n_14899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g380864 (
-	.A(n_12617),
-	.B(n_12106),
-	.X(n_12657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380865 (
-	.A(n_12620),
-	.B(n_14891),
-	.Y(n_12656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380866 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [4]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [4]),
-	.C1(n_12596),
-	.Y(n_12655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380867 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [0]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [0]),
-	.C1(n_12481),
-	.Y(n_12654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380868 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [0]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [0]),
-	.C1(n_12600),
-	.Y(n_12653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380870 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [5]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [5]),
-	.C1(n_12496),
-	.Y(n_12651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380871 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [4]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [4]),
-	.C1(n_12595),
-	.Y(n_12650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380872 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [1]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [1]),
-	.C1(n_12511),
-	.Y(n_12649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380873 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [3]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [3]),
-	.C1(n_12564),
-	.Y(n_12648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380874 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [2]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [2]),
-	.C1(n_18246),
-	.Y(n_12647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380875 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [2]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [2]),
-	.C1(n_18514),
-	.Y(n_12646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380876 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [2]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [2]),
-	.C1(n_12541),
-	.Y(n_12645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380877 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [1]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [1]),
-	.C1(n_12211),
-	.Y(n_12644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g380878 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[14]),
-	.B(n_14887),
-	.Y(n_12661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380879 (
-	.A(n_12616),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[14]),
-	.Y(n_14892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g380880 (
-	.A(n_15130),
-	.B(n_14888),
-	.X(n_12643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g380881 (
-	.A(n_12618),
-	.B(n_12614),
-	.Y(n_12660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g380882 (
-	.A(n_12102),
-	.B(n_15144),
-	.Y(n_12659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g380883 (
-	.A(n_12641),
-	.Y(n_14889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g380884 (
-	.A(n_15147),
-	.Y(n_12640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380885 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [5]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [5]),
-	.C1(n_12510),
-	.Y(n_12639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380886 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [3]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [3]),
-	.C1(n_12566),
-	.Y(n_12638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380887 (
-	.A1(n_12039),
-	.A2(n_15151),
-	.B1(n_14879),
-	.B2(n_14888),
-	.Y(n_12637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380888 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [6]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [6]),
-	.C1(n_12171),
-	.Y(n_12636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380889 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [10]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [10]),
-	.C1(n_12437),
-	.Y(n_12635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380890 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [8]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [8]),
-	.C1(n_12580),
-	.Y(n_12634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380891 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [8]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [8]),
-	.C1(n_12218),
-	.Y(n_12633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380892 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [7]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [7]),
-	.C1(n_12197),
-	.Y(n_12632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380893 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [7]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [7]),
-	.C1(n_12196),
-	.Y(n_12631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g380894 (
-	.A1(n_12062),
-	.A2(n_14896),
-	.B1(n_15144),
-	.X(n_12630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380895 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [6]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [6]),
-	.C1(n_12378),
-	.Y(n_12629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g380896 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [2]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [2]),
-	.C1(n_12544),
-	.Y(n_12628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g380897 (
-	.A(n_12610),
-	.B(n_12129),
-	.Y(n_12627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g380898 (
-	.A1(n_15151),
-	.A2(n_14888),
-	.B1(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2789),
-	.X(n_12626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g380899 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_9),
-	.C(n_15144),
-	.X(n_12625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g380900 (
-	.A1(n_14890),
-	.A2(n_14894),
-	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[14]),
-	.B2(n_14881),
-	.Y(n_12624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g380901 (
-	.A1(n_12110),
-	.A2(n_14880),
-	.B1(n_12108),
-	.Y(n_12623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g380902 (
-	.A(n_14894),
-	.B(n_14881),
-	.C(n_14884),
-	.Y(n_12642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4_4 g380903 (
-	.A(n_12107),
-	.B(n_12081),
-	.C(n_12082),
-	.D(soc_top_u_top_u_core_id_stage_i_n_1397),
-	.X(n_12641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380905 (
-	.A(n_12619),
-	.B(n_12621),
-	.Y(n_12622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g380906 (
-	.A1(n_11975),
-	.A2(n_14907),
-	.B1(n_15131),
-	.Y(n_15147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g380908 (
-	.A(n_12617),
-	.Y(n_15132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g380909 (
-	.A(n_12616),
-	.Y(n_14887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g380910 (
-	.A(n_12615),
-	.Y(n_12614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380911 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [19]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [19]),
-	.Y(n_12613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380912 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [11]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [11]),
-	.Y(n_12612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380914 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [11]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [11]),
-	.Y(n_12611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380915 (
-	.A(n_14882),
-	.B(n_14904),
-	.Y(n_12610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380916 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [6]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [6]),
-	.Y(n_12609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380917 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [17]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [17]),
-	.Y(n_12608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380918 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [25]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [25]),
-	.Y(n_12607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380919 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [25]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [25]),
-	.Y(n_12606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380920 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [25]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [25]),
-	.Y(n_12605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380921 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [18]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [18]),
-	.Y(n_12604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g380922 (
-	.A_N(n_15135),
-	.B(n_12085),
-	.Y(n_12621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380923 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [11]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [11]),
-	.Y(n_12603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g380924 (
-	.A1(n_12052),
-	.A2(n_12079),
-	.B1(n_14904),
-	.Y(n_12602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g380925 (
-	.A1(n_12078),
-	.A2(n_14883),
-	.B1(n_12086),
-	.Y(n_12601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380926 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [0]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [0]),
-	.X(n_12600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380927 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [14]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [14]),
-	.Y(n_12599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380928 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [14]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [14]),
-	.Y(n_12598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380929 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [4]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [4]),
-	.Y(n_12597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380930 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [4]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [4]),
-	.X(n_12596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380931 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [4]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [4]),
-	.X(n_12595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380932 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [26]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [26]),
-	.Y(n_12594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380933 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [4]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [4]),
-	.Y(n_12593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380934 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [26]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [26]),
-	.Y(n_12592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380935 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [4]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [4]),
-	.Y(n_12591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380936 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [4]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [4]),
-	.Y(n_12590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380937 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [25]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [25]),
-	.Y(n_12589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380938 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [26]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [26]),
-	.Y(n_12588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380939 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [27]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [27]),
-	.Y(n_12587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380940 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [19]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [19]),
-	.Y(n_12586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380941 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [8]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [8]),
-	.Y(n_12585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380942 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [26]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [26]),
-	.Y(n_12584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380943 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [2]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [2]),
-	.Y(n_12583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380944 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [26]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [26]),
-	.Y(n_12582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380945 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [18]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [18]),
-	.Y(n_12581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380946 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [8]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [8]),
-	.X(n_12580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380947 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [26]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [26]),
-	.Y(n_12579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380948 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [3]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [3]),
-	.Y(n_12578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380949 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [3]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [3]),
-	.Y(n_12577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380950 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [3]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [3]),
-	.Y(n_12576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380951 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [26]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [26]),
-	.Y(n_12575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380952 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [3]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [3]),
-	.Y(n_12574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380953 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [3]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [3]),
-	.Y(n_12573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380954 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [26]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [26]),
-	.Y(n_12572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380955 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [26]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [26]),
-	.Y(n_12571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380956 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [3]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [3]),
-	.Y(n_12570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380957 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [3]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [3]),
-	.Y(n_12569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380958 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [3]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [3]),
-	.Y(n_12568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380959 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [8]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [8]),
-	.Y(n_12567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380960 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [3]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [3]),
-	.X(n_12566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380961 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [18]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [18]),
-	.Y(n_12565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g380962 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [3]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [3]),
-	.X(n_12564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380963 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [31]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [31]),
-	.Y(n_12563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380964 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [3]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [3]),
-	.Y(n_12562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380965 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [19]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [19]),
-	.Y(n_12561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380966 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [3]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [3]),
-	.Y(n_12560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380967 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [18]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [18]),
-	.Y(n_12559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380968 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [18]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [18]),
-	.Y(n_12558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380969 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [18]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [18]),
-	.Y(n_12557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380970 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [16]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [16]),
-	.Y(n_12556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380971 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [30]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [30]),
-	.Y(n_12555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380972 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [18]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [18]),
-	.Y(n_12554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380973 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [18]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [18]),
-	.Y(n_12553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380974 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [18]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [18]),
-	.Y(n_12552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380975 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [19]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [19]),
-	.Y(n_12551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380976 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [18]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [18]),
-	.Y(n_12550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380977 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [25]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [25]),
-	.Y(n_12549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380978 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [17]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [17]),
-	.Y(n_12548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380979 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [19]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [19]),
-	.Y(n_12547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380980 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [21]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [21]),
-	.Y(n_12546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380981 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [18]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [18]),
-	.Y(n_12545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380982 (
-	.A(n_12125),
-	.B(n_12126),
-	.Y(n_12544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380984 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [21]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [21]),
-	.Y(n_12542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g380985 (
-	.A(n_12122),
-	.B(n_12123),
-	.Y(n_12541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380986 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [2]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [2]),
-	.Y(n_12540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380987 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [2]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [2]),
-	.Y(n_12539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380988 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [31]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [31]),
-	.Y(n_12538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380989 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [2]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [2]),
-	.Y(n_12537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380990 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [21]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [21]),
-	.Y(n_12536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380991 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [2]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [2]),
-	.Y(n_12535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380992 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [21]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [21]),
-	.Y(n_12534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380993 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [2]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [2]),
-	.Y(n_12533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380994 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [19]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [19]),
-	.Y(n_12532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380995 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [16]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [16]),
-	.Y(n_12531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380996 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [18]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [18]),
-	.Y(n_12530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380997 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [5]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [5]),
-	.Y(n_12529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380998 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [17]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [17]),
-	.Y(n_12528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g380999 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [18]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [18]),
-	.Y(n_12527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381000 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [18]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [18]),
-	.Y(n_12526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381001 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [17]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [17]),
-	.Y(n_12525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381002 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [5]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [5]),
-	.Y(n_12524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381003 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [31]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [31]),
-	.Y(n_12523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381004 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [5]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [5]),
-	.Y(n_12522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381005 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [31]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [31]),
-	.Y(n_12521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381006 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [1]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [1]),
-	.Y(n_12520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381007 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [21]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [21]),
-	.Y(n_12519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381008 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [31]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [31]),
-	.Y(n_12518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381009 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [21]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [21]),
-	.Y(n_12517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381010 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [1]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [1]),
-	.Y(n_12516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381011 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [1]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [1]),
-	.Y(n_12515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381012 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [1]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [1]),
-	.Y(n_12514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381013 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [1]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [1]),
-	.Y(n_12513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381014 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [17]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [17]),
-	.Y(n_12512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g381015 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [1]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [1]),
-	.X(n_12511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g381016 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [5]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [5]),
-	.X(n_12510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381017 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [17]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [17]),
-	.Y(n_12509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381018 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [1]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [1]),
-	.Y(n_12508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381019 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [17]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [17]),
-	.Y(n_12507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381020 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [1]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [1]),
-	.Y(n_12506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381021 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [1]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [1]),
-	.Y(n_12505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381022 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [17]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [17]),
-	.Y(n_12504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381023 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [9]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [9]),
-	.Y(n_12503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381024 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [9]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [9]),
-	.Y(n_12502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381025 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [21]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [21]),
-	.Y(n_12501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381026 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [17]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [17]),
-	.Y(n_12500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381027 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [9]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [9]),
-	.Y(n_12499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381028 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [17]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [17]),
-	.Y(n_12498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381029 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [9]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [9]),
-	.Y(n_12497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g381030 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [5]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [5]),
-	.X(n_12496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381031 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [9]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [9]),
-	.Y(n_12495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381032 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [29]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [29]),
-	.Y(n_12494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381033 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [17]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [17]),
-	.Y(n_12493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381034 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [26]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [26]),
-	.Y(n_12492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381035 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [14]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [14]),
-	.Y(n_12491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381036 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [5]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [5]),
-	.Y(n_12490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381037 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [0]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [0]),
-	.Y(n_12489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381038 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [0]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [0]),
-	.Y(n_12488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381039 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [0]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [0]),
-	.Y(n_12487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381040 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [0]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [0]),
-	.Y(n_12486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381041 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [0]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [0]),
-	.Y(n_12485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381042 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [0]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [0]),
-	.Y(n_12484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381043 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [5]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [5]),
-	.Y(n_12483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381044 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [31]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [31]),
-	.Y(n_12482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g381045 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [0]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [0]),
-	.X(n_12481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381046 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [0]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [0]),
-	.Y(n_12480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381047 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [0]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [0]),
-	.Y(n_12479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381048 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [5]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [5]),
-	.Y(n_12478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381049 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [1]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [1]),
-	.Y(n_12477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381050 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [31]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [31]),
-	.Y(n_12476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381051 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [18]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [18]),
-	.Y(n_12475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381052 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [25]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [25]),
-	.Y(n_12474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381053 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [1]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [1]),
-	.Y(n_12473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381054 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [31]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [31]),
-	.Y(n_12472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381055 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [2]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [2]),
-	.Y(n_12471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381056 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [25]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [25]),
-	.Y(n_12470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381057 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [16]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [16]),
-	.Y(n_12469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381058 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [29]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [29]),
-	.Y(n_12468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381060 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [16]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [16]),
-	.Y(n_12466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381061 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [31]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [31]),
-	.Y(n_12465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381062 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [25]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [25]),
-	.Y(n_12464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381063 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [25]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [25]),
-	.Y(n_12463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381064 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [16]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [16]),
-	.Y(n_12462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381065 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [16]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [16]),
-	.Y(n_12461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381066 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [16]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [16]),
-	.Y(n_12460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381067 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [16]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [16]),
-	.Y(n_12459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381068 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [29]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [29]),
-	.Y(n_12458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381069 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [16]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [16]),
-	.Y(n_12457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381070 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [29]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [29]),
-	.Y(n_12456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381071 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [16]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [16]),
-	.Y(n_12455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381072 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [29]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [29]),
-	.Y(n_12454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381073 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [16]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [16]),
-	.Y(n_12453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381074 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [17]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [17]),
-	.Y(n_12452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381075 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [25]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [25]),
-	.Y(n_12451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381076 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [0]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [0]),
-	.Y(n_12450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381077 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [16]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [16]),
-	.Y(n_12449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381078 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [25]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [25]),
-	.Y(n_12448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381079 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [31]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [31]),
-	.Y(n_12447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381080 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [29]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [29]),
-	.Y(n_12446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381081 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [30]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [30]),
-	.Y(n_12445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381082 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [18]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [18]),
-	.Y(n_12444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381083 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [29]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [29]),
-	.Y(n_12443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381084 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [10]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [10]),
-	.Y(n_12442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381085 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [10]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [10]),
-	.Y(n_12441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381086 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [10]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [10]),
-	.Y(n_12440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381087 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [29]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [29]),
-	.Y(n_12439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381088 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [29]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [29]),
-	.Y(n_12438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g381089 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [10]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [10]),
-	.X(n_12437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381090 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [1]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [1]),
-	.Y(n_12436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381091 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [29]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [29]),
-	.Y(n_12435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381092 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [26]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [26]),
-	.Y(n_12434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381093 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [29]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [29]),
-	.Y(n_12433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381094 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [10]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [10]),
-	.Y(n_12432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381095 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [15]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [15]),
-	.Y(n_12431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381096 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [15]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [15]),
-	.Y(n_12430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381097 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [15]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [15]),
-	.Y(n_12429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381098 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [29]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [29]),
-	.Y(n_12428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381099 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [15]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [15]),
-	.Y(n_12427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381100 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [15]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [15]),
-	.Y(n_12426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381101 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [15]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [15]),
-	.Y(n_12425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381102 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [29]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [29]),
-	.Y(n_12424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381103 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [15]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [15]),
-	.Y(n_12423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381104 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [15]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [15]),
-	.Y(n_12422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381105 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [15]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [15]),
-	.Y(n_12421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381106 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [15]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [15]),
-	.Y(n_12420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381107 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [17]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [17]),
-	.Y(n_12419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381108 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [10]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [10]),
-	.Y(n_12418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381109 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [15]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [15]),
-	.Y(n_12417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381110 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [15]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [15]),
-	.Y(n_12416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381111 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [10]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [10]),
-	.Y(n_12415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381112 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [15]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [15]),
-	.Y(n_12414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381113 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [24]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [24]),
-	.Y(n_12413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381114 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [15]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [15]),
-	.Y(n_12412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381115 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [24]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [24]),
-	.Y(n_12411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381116 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [24]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [24]),
-	.Y(n_12410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381117 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [24]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [24]),
-	.Y(n_12409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381118 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [30]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [30]),
-	.Y(n_12408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381119 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [24]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [24]),
-	.Y(n_12407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381120 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [24]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [24]),
-	.Y(n_12406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381121 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [24]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [24]),
-	.Y(n_12405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381122 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [20]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [20]),
-	.Y(n_12404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381123 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [24]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [24]),
-	.Y(n_12403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381124 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [25]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [25]),
-	.Y(n_12402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381125 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [24]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [24]),
-	.Y(n_12401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381126 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [24]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [24]),
-	.Y(n_12400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381127 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [24]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [24]),
-	.Y(n_12399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381128 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [24]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [24]),
-	.Y(n_12398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381129 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [24]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [24]),
-	.Y(n_12397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381130 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [24]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [24]),
-	.Y(n_12396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381131 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [24]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [24]),
-	.Y(n_12395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381132 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [14]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [14]),
-	.Y(n_12394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381133 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [14]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [14]),
-	.Y(n_12393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381134 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [14]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [14]),
-	.Y(n_12392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381135 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [14]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [14]),
-	.Y(n_12391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381136 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [14]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [14]),
-	.Y(n_12390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381137 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [14]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [14]),
-	.Y(n_12389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381138 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [14]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [14]),
-	.Y(n_12388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381139 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [30]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [30]),
-	.Y(n_12387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381140 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [14]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [14]),
-	.Y(n_12386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381141 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [14]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [14]),
-	.Y(n_12385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381142 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [14]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [14]),
-	.Y(n_12384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381143 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [14]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [14]),
-	.Y(n_12383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381144 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [22]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [22]),
-	.Y(n_12382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381145 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [14]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [14]),
-	.Y(n_12381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381146 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [14]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [14]),
-	.Y(n_12380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381147 (
-	.A(n_12128),
-	.B(n_9475),
-	.Y(n_15130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g381148 (
-	.A1(n_11980),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[12]),
-	.B1(n_12118),
-	.Y(n_12620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g381149 (
-	.A(n_18247),
-	.B(n_12115),
-	.X(n_12619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381150 (
-	.A(n_12113),
-	.B(n_14890),
-	.Y(n_14912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381152 (
-	.A(n_12128),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2552),
-	.Y(n_14885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g381153 (
-	.A_N(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
-	.B(n_12114),
-	.C(soc_top_dccm_adapter_rvalid_o),
-	.Y(n_15196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381154 (
-	.A(n_14884),
-	.B(n_12115),
-	.Y(n_12618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g381155 (
-	.A(soc_top_u_top_u_core_load_store_unit_i_lsu_err_q),
-	.B(\soc_top_xbar_to_lsu[d_error] ),
-	.X(soc_top_u_top_u_core_load_store_unit_i_data_or_pmp_err), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g381156 (
-	.A(n_12059),
-	.B(n_12060),
-	.C(n_12029),
-	.X(n_12617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381157 (
-	.A(n_9475),
-	.B(n_14880),
-	.Y(n_12616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381159 (
-	.A(n_12129),
-	.B(n_12085),
-	.Y(n_12615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381160 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [9]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [9]),
-	.Y(n_12379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g381161 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [6]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [6]),
-	.X(n_12378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381162 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [6]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [6]),
-	.Y(n_12377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381163 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [11]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [11]),
-	.Y(n_12376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381164 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [31]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [31]),
-	.Y(n_12375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381165 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [13]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [13]),
-	.Y(n_12374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381166 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [13]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [13]),
-	.Y(n_12373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381167 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [13]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [13]),
-	.Y(n_12372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381168 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [13]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [13]),
-	.Y(n_12371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381169 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [13]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [13]),
-	.Y(n_12370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381170 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [11]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [11]),
-	.Y(n_12369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381171 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [13]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [13]),
-	.Y(n_12368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381172 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [13]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [13]),
-	.Y(n_12367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381173 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [13]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [13]),
-	.Y(n_12366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381174 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [29]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [29]),
-	.Y(n_12365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381175 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [13]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [13]),
-	.Y(n_12364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381176 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [13]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [13]),
-	.Y(n_12363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381177 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [23]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [23]),
-	.Y(n_12362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381178 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [13]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [13]),
-	.Y(n_12361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381179 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [13]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [13]),
-	.Y(n_12360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381180 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [23]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [23]),
-	.Y(n_12359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381181 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [13]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [13]),
-	.Y(n_12358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381182 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [23]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [23]),
-	.Y(n_12357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381183 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [13]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [13]),
-	.Y(n_12356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381184 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [13]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [13]),
-	.Y(n_12355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381185 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [23]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [23]),
-	.Y(n_12354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381186 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [13]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [13]),
-	.Y(n_12353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381187 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [25]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [25]),
-	.Y(n_12352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381188 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [23]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [23]),
-	.Y(n_12351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381189 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [23]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [23]),
-	.Y(n_12350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381190 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [11]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [11]),
-	.Y(n_12349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381191 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [17]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [17]),
-	.Y(n_12348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381192 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [23]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [23]),
-	.Y(n_12347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381193 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [23]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [23]),
-	.Y(n_12346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381194 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [28]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [28]),
-	.Y(n_12345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381195 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [23]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [23]),
-	.Y(n_12344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381196 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [23]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [23]),
-	.Y(n_12343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381197 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [16]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [16]),
-	.Y(n_12342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381198 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [23]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [23]),
-	.Y(n_12341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381199 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [23]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [23]),
-	.Y(n_12340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381200 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [23]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [23]),
-	.Y(n_12339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381201 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [4]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [4]),
-	.Y(n_12338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381202 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [23]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [23]),
-	.Y(n_12337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381203 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [28]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [28]),
-	.Y(n_12336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381204 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [23]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [23]),
-	.Y(n_12335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381205 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [23]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [23]),
-	.Y(n_12334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381206 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [24]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [24]),
-	.Y(n_12333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381207 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [28]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [28]),
-	.Y(n_12332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381208 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [12]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [12]),
-	.Y(n_12331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381209 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [12]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [12]),
-	.Y(n_12330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381210 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [28]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [28]),
-	.Y(n_12329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381211 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [12]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [12]),
-	.Y(n_12328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381212 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [28]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [28]),
-	.Y(n_12327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381213 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [12]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [12]),
-	.Y(n_12326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381214 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [6]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [6]),
-	.Y(n_12325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381215 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [12]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [12]),
-	.Y(n_12324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381216 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [12]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [12]),
-	.Y(n_12323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381217 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [12]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [12]),
-	.Y(n_12322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381218 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [12]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [12]),
-	.Y(n_12321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381219 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [28]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [28]),
-	.Y(n_12320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381220 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [12]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [12]),
-	.Y(n_12319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381221 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [12]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [12]),
-	.Y(n_12318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381222 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [12]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [12]),
-	.Y(n_12317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381223 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [12]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [12]),
-	.Y(n_12316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381224 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [28]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [28]),
-	.Y(n_12315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381225 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [12]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [12]),
-	.Y(n_12314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381226 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [28]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [28]),
-	.Y(n_12313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381227 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [28]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [28]),
-	.Y(n_12312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381228 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [15]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [15]),
-	.Y(n_12311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381229 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [28]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [28]),
-	.Y(n_12310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381230 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [25]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [25]),
-	.Y(n_12309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381231 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [28]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [28]),
-	.Y(n_12308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381232 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [28]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [28]),
-	.Y(n_12307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381233 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [28]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [28]),
-	.Y(n_12306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381234 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [20]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [20]),
-	.Y(n_12305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381235 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [16]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [16]),
-	.Y(n_12304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381236 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [28]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [28]),
-	.Y(n_12303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381237 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [11]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [11]),
-	.Y(n_12302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381238 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [11]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [11]),
-	.Y(n_12301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381239 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [20]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [20]),
-	.Y(n_12300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381240 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [11]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [11]),
-	.Y(n_12299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381241 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [28]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [28]),
-	.Y(n_12298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381242 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [11]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [11]),
-	.Y(n_12297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381243 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [28]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [28]),
-	.Y(n_12296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381244 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [11]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [11]),
-	.Y(n_12295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381245 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [11]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [11]),
-	.Y(n_12294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381246 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [11]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [11]),
-	.Y(n_12293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381247 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [11]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [11]),
-	.Y(n_12292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381248 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [12]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [12]),
-	.Y(n_12291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381249 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [22]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [22]),
-	.Y(n_12290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381250 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [11]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [11]),
-	.Y(n_12289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381251 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [11]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [11]),
-	.Y(n_12288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381252 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [22]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [22]),
-	.Y(n_12287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381253 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [22]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [22]),
-	.Y(n_12286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381254 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [22]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [22]),
-	.Y(n_12285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381255 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [22]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [22]),
-	.Y(n_12284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381256 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [22]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [22]),
-	.Y(n_12283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381257 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [31]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [31]),
-	.Y(n_12282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381258 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [22]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [22]),
-	.Y(n_12281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381259 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [20]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [20]),
-	.Y(n_12280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381260 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [22]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [22]),
-	.Y(n_12279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381261 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [15]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [15]),
-	.Y(n_12278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381262 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [22]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [22]),
-	.Y(n_12277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381263 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [22]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [22]),
-	.Y(n_12276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381264 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [22]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [22]),
-	.Y(n_12275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381265 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [30]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [30]),
-	.Y(n_12274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381266 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [12]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [12]),
-	.Y(n_12273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381267 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [22]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [22]),
-	.Y(n_12272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381268 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [22]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [22]),
-	.Y(n_12271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381269 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [22]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [22]),
-	.Y(n_12270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381270 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [22]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [22]),
-	.Y(n_12269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381271 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [20]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [20]),
-	.Y(n_12268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381272 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [12]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [12]),
-	.Y(n_12267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381273 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [0]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [0]),
-	.Y(n_12266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381274 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [10]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [10]),
-	.Y(n_12265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381275 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [10]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [10]),
-	.Y(n_12264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381276 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [31]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [31]),
-	.Y(n_12263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381277 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [10]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [10]),
-	.Y(n_12262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381278 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [10]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [10]),
-	.Y(n_12261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381279 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [10]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [10]),
-	.Y(n_12260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g381280 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [10]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [10]),
-	.X(n_12259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381281 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [20]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [20]),
-	.Y(n_12258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381282 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [20]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [20]),
-	.Y(n_12257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381283 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [20]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [20]),
-	.Y(n_12256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381284 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [16]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [16]),
-	.Y(n_12255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381285 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [16]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [16]),
-	.Y(n_12254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381286 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [9]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [9]),
-	.Y(n_12253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381287 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [9]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [9]),
-	.Y(n_12252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381288 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [9]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [9]),
-	.Y(n_12251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381289 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [9]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [9]),
-	.Y(n_12250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381290 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [9]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [9]),
-	.Y(n_12249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381291 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [0]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [0]),
-	.Y(n_12248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381292 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [9]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [9]),
-	.Y(n_12247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381293 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [9]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [9]),
-	.Y(n_12246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381294 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [9]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [9]),
-	.Y(n_12245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381295 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [9]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [9]),
-	.Y(n_12244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381296 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [9]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [9]),
-	.Y(n_12243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381297 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [21]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [21]),
-	.Y(n_12242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381298 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [21]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [21]),
-	.Y(n_12241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381299 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [21]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [21]),
-	.Y(n_12240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381300 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [21]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [21]),
-	.Y(n_12239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381301 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [21]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [21]),
-	.Y(n_12238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381302 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [21]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [21]),
-	.Y(n_12237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381303 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [20]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [20]),
-	.Y(n_12236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381304 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [21]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [21]),
-	.Y(n_12235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381305 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [21]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [21]),
-	.Y(n_12234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381306 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [27]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [27]),
-	.Y(n_12233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381307 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [21]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [21]),
-	.Y(n_12232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381308 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [20]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [20]),
-	.Y(n_12231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381309 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [27]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [27]),
-	.Y(n_12230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381310 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [8]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [8]),
-	.Y(n_12229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381311 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [8]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [8]),
-	.Y(n_12228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381312 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [27]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [27]),
-	.Y(n_12227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381313 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [8]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [8]),
-	.Y(n_12226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381314 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [8]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [8]),
-	.Y(n_12225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381315 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [7]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [7]),
-	.Y(n_12224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381316 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [27]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [27]),
-	.Y(n_12223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381317 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [8]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [8]),
-	.Y(n_12222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381318 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [8]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [8]),
-	.Y(n_12221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381319 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [8]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [8]),
-	.Y(n_12220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381320 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [27]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [27]),
-	.Y(n_12219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g381321 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [8]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [8]),
-	.X(n_12218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381322 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [8]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [8]),
-	.Y(n_12217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381323 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [8]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [8]),
-	.Y(n_12216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381324 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [7]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [7]),
-	.Y(n_12215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381325 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [27]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [27]),
-	.Y(n_12214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381326 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [27]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [27]),
-	.Y(n_12213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381327 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [2]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [2]),
-	.Y(n_12212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g381328 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [1]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [1]),
-	.X(n_12211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381329 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [25]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [25]),
-	.Y(n_12210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381330 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [27]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [27]),
-	.Y(n_12209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381331 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [7]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [7]),
-	.Y(n_12208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381332 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [27]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [27]),
-	.Y(n_12207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381333 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [7]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [7]),
-	.Y(n_12206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381334 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [7]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [7]),
-	.Y(n_12205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381335 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [7]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [7]),
-	.Y(n_12204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381336 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [7]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [7]),
-	.Y(n_12203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381337 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [27]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [27]),
-	.Y(n_12202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381338 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [7]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [7]),
-	.Y(n_12201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381339 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [30]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [30]),
-	.Y(n_12200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381340 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [7]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [7]),
-	.Y(n_12199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381341 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [27]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [27]),
-	.Y(n_12198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g381342 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [7]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [7]),
-	.X(n_12197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g381343 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [7]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [7]),
-	.X(n_12196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381344 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [30]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [30]),
-	.Y(n_12195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381345 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [20]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [20]),
-	.Y(n_12194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381346 (
-	.A1(n_11924),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [7]),
-	.B1(n_12067),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [7]),
-	.Y(n_12193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381347 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [17]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [17]),
-	.Y(n_12192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381348 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [7]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [7]),
-	.Y(n_12191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381349 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [20]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [20]),
-	.Y(n_12190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381350 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [30]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [30]),
-	.Y(n_12189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381351 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [20]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [20]),
-	.Y(n_12188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381352 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [25]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [25]),
-	.Y(n_12187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381353 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [27]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [27]),
-	.Y(n_12186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381354 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [20]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [20]),
-	.Y(n_12185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381355 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [3]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [3]),
-	.Y(n_12184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381356 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [30]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [30]),
-	.Y(n_12183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381357 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [20]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [20]),
-	.Y(n_12182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381358 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [20]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [20]),
-	.Y(n_12181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381359 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [30]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [30]),
-	.Y(n_12180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381360 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [6]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [6]),
-	.Y(n_12179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381361 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [6]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [6]),
-	.Y(n_12178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381362 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [6]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [6]),
-	.Y(n_12177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381363 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [6]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [6]),
-	.Y(n_12176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381364 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [6]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [6]),
-	.Y(n_12175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381365 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [31]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [31]),
-	.Y(n_12174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381366 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [30]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [30]),
-	.Y(n_12173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381367 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [6]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [6]),
-	.Y(n_12172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g381368 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [6]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [6]),
-	.X(n_12171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381369 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [6]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [6]),
-	.Y(n_12170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381370 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [30]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [30]),
-	.Y(n_12169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381371 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [6]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [6]),
-	.Y(n_12168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381372 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [29]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [29]),
-	.Y(n_12167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381373 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [26]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [26]),
-	.Y(n_12166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381374 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [29]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [29]),
-	.Y(n_12165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381375 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [27]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [27]),
-	.Y(n_12164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381376 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [31]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [31]),
-	.Y(n_12163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381377 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [30]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [30]),
-	.Y(n_12162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381378 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [5]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [5]),
-	.Y(n_12161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381379 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [5]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [5]),
-	.Y(n_12160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381380 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [4]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [4]),
-	.Y(n_12159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381381 (
-	.A1(n_12072),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [5]),
-	.B1(n_12070),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [5]),
-	.Y(n_12158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381382 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [5]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [5]),
-	.Y(n_12157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381383 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [5]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [5]),
-	.Y(n_12156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381384 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [30]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [30]),
-	.Y(n_12155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381385 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [30]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [30]),
-	.Y(n_12154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381386 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [19]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [19]),
-	.Y(n_12153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381387 (
-	.A1(n_12063),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [19]),
-	.B1(n_12101),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [19]),
-	.Y(n_12152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381388 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [27]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [27]),
-	.Y(n_12151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381389 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [30]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [30]),
-	.Y(n_12150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381390 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [19]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [19]),
-	.Y(n_12149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381391 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [27]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [27]),
-	.Y(n_12148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381392 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [19]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [19]),
-	.Y(n_12147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381393 (
-	.A1(n_11921),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [19]),
-	.B1(n_12073),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [19]),
-	.Y(n_12146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381394 (
-	.A1(n_12091),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [31]),
-	.B1(n_12065),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [31]),
-	.Y(n_12145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381395 (
-	.A1(n_12068),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [19]),
-	.B1(n_12069),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [19]),
-	.Y(n_12144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381396 (
-	.A1(n_12076),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [19]),
-	.B1(n_12097),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [19]),
-	.Y(n_12143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381397 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [17]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [17]),
-	.Y(n_12142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381398 (
-	.A1(n_12087),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [19]),
-	.B1(n_12088),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [19]),
-	.Y(n_12141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381399 (
-	.A1(n_12093),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [19]),
-	.B1(n_12096),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [19]),
-	.Y(n_12140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381400 (
-	.A1(n_12095),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [26]),
-	.B1(n_12064),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [26]),
-	.Y(n_12139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381401 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [4]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [4]),
-	.Y(n_12138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381402 (
-	.A1(n_12099),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [19]),
-	.B1(n_11923),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [19]),
-	.Y(n_12137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381403 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [4]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [4]),
-	.Y(n_12136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381404 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [26]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [26]),
-	.Y(n_12135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381405 (
-	.A1(n_12066),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [26]),
-	.B1(n_12092),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [26]),
-	.Y(n_12134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381406 (
-	.A1(n_12090),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [4]),
-	.B1(n_12075),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [4]),
-	.Y(n_12133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381407 (
-	.A1(n_12071),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [4]),
-	.B1(n_12074),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [4]),
-	.Y(n_12132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381408 (
-	.A1(n_12100),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [26]),
-	.B1(n_12094),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [26]),
-	.Y(n_12131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381409 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [4]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [4]),
-	.Y(n_12130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381410 (
-	.A(n_12128),
-	.Y(n_14896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g381411 (
-	.A(n_12079),
-	.B(n_18247),
-	.X(n_12127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381412 (
-	.A(n_12072),
-	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [2]),
-	.Y(n_12126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381413 (
-	.A(n_12070),
-	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [2]),
-	.Y(n_12125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381415 (
-	.A(n_12097),
-	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [2]),
-	.Y(n_12123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381416 (
-	.A(n_12076),
-	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [2]),
-	.Y(n_12122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 g381420 (
-	.A(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2789),
-	.B(n_12079),
-	.X(n_15135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381421 (
-	.A(n_18153),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2884),
-	.Y(n_12129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g381422 (
-	.A_N(n_12079),
-	.B(n_14865),
-	.Y(n_15131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381423 (
-	.A(n_12105),
-	.B(n_12013),
-	.Y(n_14880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381424 (
-	.A(n_12104),
-	.B(n_12056),
-	.Y(n_14881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381425 (
-	.A(n_12104),
-	.B(n_12045),
-	.Y(n_14894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g381426 (
-	.A(n_12103),
-	.B(n_12053),
-	.X(n_12128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381431 (
-	.A(n_12113),
-	.Y(n_15151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g381432 (
-	.A_N(n_18153),
-	.B(n_12104),
-	.C(soc_top_u_top_u_core_instr_rdata_alu_id[4]),
-	.Y(n_12112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux4_2 g381433 (
-	.A0(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]),
-	.A1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]),
-	.A2(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2] [11]),
-	.A3(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3] [11]),
-	.S0(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.S1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.X(n_12111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g381434 (
-	.A1(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2986),
-	.A2(n_14876),
-	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
-	.B2(n_14879),
-	.X(n_12110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g381435 (
-	.A(n_12061),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2747),
-	.C(n_12058),
-	.Y(n_12109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4bb_1 g381436 (
-	.A_N(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2940),
-	.B_N(soc_top_u_top_u_core_id_stage_i_decoder_i_n_44),
-	.C(n_11980),
-	.D(n_11977),
-	.Y(n_12108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381437 (
-	.A(n_12102),
-	.B(n_12024),
-	.Y(n_12118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g381439 (
-	.A(n_12049),
-	.B(n_11920),
-	.C(n_11929),
-	.Y(n_14882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381440 (
-	.A(n_9493),
-	.B(n_14908),
-	.Y(n_12117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g381441 (
-	.A1(n_11961),
-	.A2(n_15194),
-	.B1(n_11893),
-	.C1(n_12084),
-	.Y(\soc_top_xbar_to_lsu[d_error] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g381442 (
-	.A(soc_top_dccm_adapter_data_mem_u_rspfifo_n_629),
-	.B(soc_top_dccm_adapter_data_mem_u_rspfifo_n_628),
-	.C(soc_top_dccm_adapter_data_mem_u_rspfifo_n_125),
-	.Y(soc_top_dccm_adapter_data_mem_u_rspfifo_n_638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g381443 (
-	.A_N(n_14908),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2787),
-	.Y(n_14909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381444 (
-	.A(n_12085),
-	.B(n_14883),
-	.Y(n_12115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g381445 (
-	.A(soc_top_dccm_adapter_data_mem_u_reqfifo_n_327),
-	.B(soc_top_dccm_adapter_data_mem_u_reqfifo_n_326),
-	.C(soc_top_dccm_adapter_data_mem_u_reqfifo_n_85),
-	.Y(n_12114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g381446 (
-	.A(n_12049),
-	.B(n_12045),
-	.C(n_11944),
-	.Y(n_14907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381447 (
-	.A(n_12103),
-	.B(n_11920),
-	.Y(n_14888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381448 (
-	.A(n_14883),
-	.B(n_14884),
-	.Y(n_12113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381449 (
-	.A(n_6939),
-	.Y(n_14878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381452 (
-	.A(n_12105),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g381453 (
-	.A(n_12103),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g381454 (
-	.A(n_12102),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g381527 (
-	.A(n_11923),
-	.Y(n_12089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g381529 (
-	.A(n_12088),
-	.Y(n_14901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 g381530 (
-	.A(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2518),
-	.Y(n_12087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381531 (
-	.A(n_12086),
-	.Y(n_12085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381532 (
-	.A(n_12038),
-	.B(\soc_top_plic_resp[d_error] ),
-	.Y(n_12084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381533 (
-	.A(n_12038),
-	.B(\soc_top_plic_resp[d_valid] ),
-	.Y(n_12083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381534 (
-	.A(n_12041),
-	.B(n_12014),
-	.Y(n_15128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381536 (
-	.A(n_11918),
-	.B(n_12014),
-	.Y(n_12107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381537 (
-	.A(n_14871),
-	.B(n_12018),
-	.Y(n_12106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381538 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2940),
-	.Y(n_12105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g381539 (
-	.A(n_12049),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[5]),
-	.X(n_12104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g381540 (
-	.A(n_12049),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[4]),
-	.X(n_12103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381541 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2940),
-	.Y(n_12102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381542 (
-	.A(n_12057),
-	.B(n_12053),
-	.Y(n_14908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g381543 (
-	.A(n_12037),
-	.B(n_11971),
-	.X(n_12101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g381544 (
-	.A(n_14870),
-	.B(n_12035),
-	.Y(n_11924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g381545 (
-	.A(n_11972),
-	.B(n_11919),
-	.Y(n_12100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g381546 (
-	.A(n_14870),
-	.B(n_12050),
-	.Y(n_12099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g381547 (
-	.A(n_12055),
-	.B(n_11970),
-	.X(n_12098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g381548 (
-	.A(n_12055),
-	.B(n_11974),
-	.X(n_12097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g381549 (
-	.A(n_14870),
-	.B(n_12033),
-	.Y(n_12096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g381550 (
-	.A(n_11972),
-	.B(n_12050),
-	.Y(n_12095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_4 g381551 (
-	.A_N(n_12054),
-	.B(n_11971),
-	.X(n_12094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g381552 (
-	.A(n_14870),
-	.B(n_12036),
-	.Y(n_12093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g381553 (
-	.A(n_12032),
-	.B(n_11971),
-	.X(n_12092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g381554 (
-	.A(n_12051),
-	.B(n_11974),
-	.X(n_12091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g381555 (
-	.A(n_12051),
-	.B(n_11970),
-	.X(n_12090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381556 (
-	.A(n_14870),
-	.B(n_12048),
-	.Y(n_11923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_4 g381557 (
-	.A_N(n_14873),
-	.B(soc_top_u_top_u_core_rf_raddr_b[0]),
-	.X(n_12088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g381558 (
-	.A(soc_top_u_top_u_core_rf_raddr_b[0]),
-	.B(n_14873),
-	.X(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g381559 (
-	.A(n_14869),
-	.B(n_12021),
-	.Y(n_12086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381560 (
-	.A(n_12080),
-	.Y(n_14893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g381561 (
-	.A(n_14884),
-	.Y(n_12078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g381595 (
-	.A(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2788),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2986),
-	.C(n_11980),
-	.X(n_12062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g381596 (
-	.A_N(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
-	.B(n_11983),
-	.C(n_12013),
-	.Y(n_12061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381597 (
-	.A(n_12030),
-	.B(n_12020),
-	.Y(n_12060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381598 (
-	.A(n_12026),
-	.B(n_12023),
-	.Y(n_12082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381599 (
-	.A(n_12028),
-	.B(n_11895),
-	.Y(n_12081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381600 (
-	.A(n_11894),
-	.B(n_12025),
-	.Y(n_12059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g381602 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[5]),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2791),
-	.C(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2928),
-	.Y(n_12080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g381604 (
-	.A(n_12045),
-	.B(n_11994),
-	.C(soc_top_u_top_u_core_instr_rdata_alu_id[0]),
-	.D(soc_top_u_top_u_core_instr_rdata_alu_id[3]),
-	.Y(n_12079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g381605 (
-	.A_N(n_14866),
-	.B(n_12045),
-	.C(soc_top_u_top_u_core_instr_rdata_alu_id[5]),
-	.D(soc_top_u_top_u_core_instr_rdata_alu_id[3]),
-	.Y(n_14904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381606 (
-	.A(n_12056),
-	.B(n_12022),
-	.Y(n_14884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g381607 (
-	.A(n_15162),
-	.B(n_11919),
-	.Y(n_12077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_4 g381608 (
-	.A_N(n_11919),
-	.B(n_11974),
-	.X(n_12076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_4 g381609 (
-	.A_N(n_12048),
-	.B(n_11970),
-	.X(n_12075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g381610 (
-	.A(n_11973),
-	.B(n_12036),
-	.Y(n_11921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g381611 (
-	.A(n_12032),
-	.B(n_11970),
-	.X(n_12074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g381612 (
-	.A(n_11973),
-	.B(n_12033),
-	.Y(n_12073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g381613 (
-	.A(n_15162),
-	.B(n_12035),
-	.Y(n_12072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g381614 (
-	.A(n_15162),
-	.B(n_12036),
-	.Y(n_12071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g381615 (
-	.A(n_12037),
-	.B(n_11970),
-	.X(n_12070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g381616 (
-	.A(n_12037),
-	.B(n_11974),
-	.X(n_12069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g381617 (
-	.A(n_12034),
-	.B(n_11974),
-	.X(n_12068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4_2 g381618 (
-	.A(n_12012),
-	.B(soc_top_u_top_u_core_rf_raddr_b[0]),
-	.C(n_11946),
-	.D(soc_top_u_top_u_core_rf_raddr_b[2]),
-	.X(n_12067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g381619 (
-	.A(n_11972),
-	.B(n_12036),
-	.Y(n_12066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g381620 (
-	.A(n_11973),
-	.B(n_12048),
-	.Y(n_12065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_4 g381621 (
-	.A_N(n_12048),
-	.B(n_11971),
-	.X(n_12064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g381622 (
-	.A(n_11972),
-	.B(n_12035),
-	.Y(n_12063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g381625 (
-	.A(n_12054),
-	.Y(n_12055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g381629 (
-	.A(n_12052),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381630 (
-	.A(n_12051),
-	.Y(n_12050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g381631 (
-	.A(n_11896),
-	.Y(n_15154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381636 (
-	.A(n_11918),
-	.Y(n_15149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g381637 (
-	.A(n_12046),
-	.Y(n_15153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g381638 (
-	.A(n_12045),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381639 (
-	.A(n_12044),
-	.Y(n_15156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381640 (
-	.A(n_15157),
-	.Y(n_12043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g381641 (
-	.A(n_12042),
-	.Y(n_15152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381642 (
-	.A(n_15150),
-	.Y(n_12041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 g381643 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
-	.B(n_15145),
-	.X(n_14874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381644 (
-	.A(n_12015),
-	.B(n_6992),
-	.Y(n_12058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381645 (
-	.A(n_15247),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
-	.Y(n_14875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381646 (
-	.A(n_14877),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2522),
-	.Y(n_12040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381647 (
-	.A(n_9488),
-	.B(n_9476),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g381648 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
-	.B(n_14867),
-	.X(n_14895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g381649 (
-	.A_N(n_14867),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
-	.Y(n_14897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381650 (
-	.A(n_11976),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381651 (
-	.A(n_11981),
-	.B(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
-	.Y(n_14869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381652 (
-	.A(n_12013),
-	.B(n_9475),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_44), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g381653 (
-	.A_N(n_18153),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[5]),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381655 (
-	.A(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2794),
-	.B(n_18211),
-	.Y(n_12057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381656 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[4]),
-	.B(n_15148),
-	.Y(n_12056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381657 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[5]),
-	.B(n_15148),
-	.Y(n_11920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381658 (
-	.A(n_12016),
-	.B(n_12012),
-	.Y(n_14873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381659 (
-	.A(n_12016),
-	.B(soc_top_u_top_u_core_rf_raddr_b[0]),
-	.Y(n_12054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381660 (
-	.A(n_11944),
-	.B(n_15148),
-	.Y(n_12053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g381661 (
-	.A(n_12016),
-	.B(n_11941),
-	.Y(n_11919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381662 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[12]),
-	.B(n_14865),
-	.Y(n_12052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381663 (
-	.A(n_11979),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
-	.Y(n_17294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381664 (
-	.A(soc_top_u_top_u_core_rf_raddr_b[0]),
-	.B(n_11957),
-	.Y(n_12051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381665 (
-	.A(n_12015),
-	.B(n_9489),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g381666 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[6]),
-	.B(n_18211),
-	.Y(n_12049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g381667 (
-	.A(soc_top_u_top_u_core_rf_raddr_b[0]),
-	.B(soc_top_u_top_u_core_rf_raddr_b[2]),
-	.C(soc_top_u_top_u_core_rf_raddr_b[1]),
-	.Y(n_12048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381669 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[15]),
-	.B(n_11989),
-	.Y(n_11918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381670 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[15]),
-	.B(n_11988),
-	.Y(n_12046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381671 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[4]),
-	.B(n_18153),
-	.Y(n_12045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381672 (
-	.A(n_11927),
-	.B(n_11988),
-	.Y(n_12044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381673 (
-	.A(n_11985),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[15]),
-	.Y(n_15157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381674 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[15]),
-	.B(n_11984),
-	.Y(n_12042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381675 (
-	.A(n_11990),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[15]),
-	.Y(n_15150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381677 (
-	.A(n_12034),
-	.Y(n_12035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381678 (
-	.A(n_12033),
-	.Y(n_12032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381679 (
-	.A(n_12031),
-	.Y(n_15155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g381680 (
-	.A1(soc_top_u_top_u_core_rf_waddr_wb[3]),
-	.A2(n_11932),
-	.B1(soc_top_u_top_u_core_rf_waddr_wb[0]),
-	.B2(n_11941),
-	.Y(n_12030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g381683 (
-	.A(soc_top_u_top_u_core_rf_waddr_wb[1]),
-	.B(soc_top_u_top_u_core_rf_raddr_b[1]),
-	.Y(n_12029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g381685 (
-	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[15]),
-	.A2(n_513),
-	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[17]),
-	.B2(n_334),
-	.Y(n_12028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g381687 (
-	.A1(soc_top_u_top_u_core_rf_waddr_wb[3]),
-	.A2(n_11950),
-	.B1(soc_top_u_top_u_core_rf_waddr_wb[2]),
-	.B2(n_11930),
-	.Y(n_12026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381688 (
-	.A(n_12009),
-	.B(n_12010),
-	.Y(n_12025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g381689 (
-	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
-	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
-	.Y(n_12024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g381690 (
-	.A1(soc_top_u_top_u_core_rf_waddr_wb[0]),
-	.A2(n_11927),
-	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
-	.B2(n_332),
-	.Y(n_12023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g381691 (
-	.A(n_9479),
-	.B(n_11944),
-	.C(n_18211),
-	.Y(n_12022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g381692 (
-	.A1(soc_top_u_top_u_core_load_store_unit_i_handle_misaligned_q),
-	.A2(n_7013),
-	.B1(n_12017),
-	.Y(n_12021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381693 (
-	.A(n_11992),
-	.B(n_11997),
-	.Y(n_12020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381694 (
-	.A1(n_11948),
-	.A2(\soc_top_uart_to_xbar[d_valid] ),
-	.B1(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
-	.B2(\soc_top_spi_to_xbar[d_valid] ),
-	.Y(n_12019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g381695 (
-	.A1_N(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.A2_N(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.B1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B2(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(soc_top_dccm_adapter_data_mem_u_reqfifo_n_326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g381696 (
-	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[12]),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[14]),
-	.B1(n_11943),
-	.B2(n_9493),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g381697 (
-	.A1_N(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.A2_N(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B2(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.Y(soc_top_dccm_adapter_data_mem_u_reqfifo_n_327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g381698 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[14]),
-	.B(n_11943),
-	.C(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
-	.Y(n_14891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g381699 (
-	.A1_N(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
-	.A2_N(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
-	.B1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
-	.Y(soc_top_dccm_adapter_data_mem_u_rspfifo_n_125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g381700 (
-	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[14]),
-	.A2(n_11945),
-	.B1(n_11977),
-	.Y(n_12039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g381701 (
-	.A1_N(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.A2_N(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.Y(soc_top_dccm_adapter_data_mem_u_rspfifo_n_629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381702 (
-	.A(n_11967),
-	.B(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
-	.Y(n_14871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g381703 (
-	.A(n_11948),
-	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
-	.C(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
-	.X(n_12038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g381704 (
-	.A1_N(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.A2_N(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.B1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(soc_top_dccm_adapter_data_mem_u_rspfifo_n_628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g381705 (
-	.A1_N(soc_top_u_top_u_core_instr_rdata_alu_id[19]),
-	.A2_N(soc_top_u_top_u_core_rf_waddr_wb[4]),
-	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[19]),
-	.B2(soc_top_u_top_u_core_rf_waddr_wb[4]),
-	.Y(soc_top_u_top_u_core_id_stage_i_n_1397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g381706 (
-	.A1_N(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.A2_N(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.B1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.B2(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.Y(soc_top_dccm_adapter_data_mem_u_reqfifo_n_85), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381707 (
-	.A(n_11976),
-	.B(n_9493),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381708 (
-	.A(n_11976),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[14]),
-	.Y(n_14876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381709 (
-	.A(n_11941),
-	.B(n_11982),
-	.Y(n_12037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g381710 (
-	.A(n_11900),
-	.B(n_11941),
-	.Y(n_12036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381711 (
-	.A(soc_top_u_top_u_core_rf_raddr_b[0]),
-	.B(n_11982),
-	.Y(n_12034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g381712 (
-	.A(n_11900),
-	.B(soc_top_u_top_u_core_rf_raddr_b[0]),
-	.Y(n_12033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381713 (
-	.A(n_11927),
-	.B(n_11984),
-	.Y(n_12031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g381715 (
-	.A(n_12017),
-	.Y(n_15146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g381716 (
-	.A(n_12015),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g381717 (
-	.A(n_12014),
-	.Y(n_15158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g381718 (
-	.A(n_12013),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381719 (
-	.A(n_12012),
-	.Y(n_14870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381720 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
-	.Y(n_12011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381721 (
-	.A(soc_top_u_top_u_core_rf_raddr_b[2]),
-	.B(n_334),
-	.Y(n_12010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381722 (
-	.A(n_11941),
-	.B(soc_top_u_top_u_core_rf_waddr_wb[0]),
-	.Y(n_12009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381723 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
-	.Y(n_12008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381724 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
-	.Y(n_12007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381725 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
-	.Y(n_12006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381726 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
-	.Y(n_12005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381727 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
-	.Y(n_12004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381728 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
-	.Y(n_12003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381729 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
-	.Y(n_12002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381730 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
-	.Y(n_12001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381731 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
-	.Y(n_12000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381732 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
-	.Y(n_11999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381733 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
-	.Y(n_11998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381734 (
-	.A(n_11932),
-	.B(soc_top_u_top_u_core_rf_waddr_wb[3]),
-	.Y(n_11997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381735 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
-	.Y(n_11996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381736 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
-	.Y(n_11995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381737 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[6]),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[5]),
-	.Y(n_11994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381738 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
-	.Y(n_11993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381739 (
-	.A(n_11951),
-	.B(soc_top_u_top_u_core_rf_waddr_wb[2]),
-	.Y(n_11992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381740 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
-	.Y(n_11991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381741 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[1]),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[0]),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381742 (
-	.A(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
-	.B(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ),
-	.Y(n_12018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g381743 (
-	.A(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
-	.B_N(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
-	.Y(n_12017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381744 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[6]),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[4]),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381745 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[17]),
-	.Y(n_15160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381746 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[6]),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[0]),
-	.Y(n_14866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381747 (
-	.A(soc_top_u_top_u_core_rf_raddr_b[1]),
-	.B(soc_top_u_top_u_core_rf_raddr_b[2]),
-	.Y(n_12016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381748 (
-	.A(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
-	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
-	.Y(n_15194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381749 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[31]),
-	.Y(n_12015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381750 (
-	.A(n_9475),
-	.B(n_9490),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381751 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
-	.Y(n_15247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381752 (
-	.A(n_11940),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[1]),
-	.Y(n_15148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381753 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[17]),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
-	.Y(n_12014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g381754 (
-	.A_N(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
-	.Y(n_14867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381755 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
-	.Y(n_12013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g381756 (
-	.A_N(soc_top_u_top_u_core_id_stage_i_id_fsm_q),
-	.B(soc_top_u_top_u_core_instr_valid_id),
-	.Y(n_14883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381759 (
-	.A(soc_top_u_top_u_core_rf_raddr_b[3]),
-	.B(soc_top_u_top_u_core_rf_raddr_b[4]),
-	.Y(n_12012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g381760 (
-	.A(n_11989),
-	.Y(n_11990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381761 (
-	.A(n_9488),
-	.Y(n_14864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381764 (
-	.A(n_11983),
-	.Y(n_14863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381766 (
-	.A(n_11979),
-	.Y(n_15145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g381767 (
-	.A(n_11977),
-	.Y(n_14877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g381768 (
-	.A(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2788),
-	.Y(n_11976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g381769 (
-	.A(n_14890),
-	.Y(n_11975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381770 (
-	.A(n_11974),
-	.Y(n_11973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381771 (
-	.A(n_11972),
-	.Y(n_11971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381772 (
-	.A(n_11970),
-	.Y(n_15162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381773 (
-	.A(n_11929),
-	.B(n_9479),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381774 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
-	.Y(n_11969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381775 (
-	.A(n_9477),
-	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
-	.Y(n_11968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381776 (
-	.A(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [1]),
-	.B(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [0]),
-	.Y(n_11967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381777 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
-	.Y(n_11966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381778 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
-	.Y(n_11965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381779 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
-	.Y(n_11964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381780 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
-	.Y(n_11963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381781 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
-	.Y(n_11962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381782 (
-	.A(\soc_top_gpio_to_xbarp[d_error] ),
-	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
-	.Y(n_11961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381783 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
-	.Y(n_11960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381784 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
-	.Y(n_11959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381785 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
-	.Y(n_11958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381786 (
-	.A(n_11933),
-	.B(n_11931),
-	.Y(n_11989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381787 (
-	.A(soc_top_u_top_u_core_rf_raddr_b[2]),
-	.B(soc_top_u_top_u_core_rf_raddr_b[1]),
-	.Y(n_11957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381788 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[16]),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[19]),
-	.Y(n_11988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381789 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
-	.Y(n_9488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g381790 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [32]),
-	.X(n_11986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381791 (
-	.A(n_11930),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
-	.Y(n_15159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381792 (
-	.A(n_11950),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[17]),
-	.Y(n_15161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381793 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[13]),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[12]),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381794 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[13]),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381795 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[19]),
-	.B(n_11933),
-	.Y(n_11985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381796 (
-	.A(n_11933),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[19]),
-	.Y(n_11984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381798 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
-	.B(n_9489),
-	.Y(n_11983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381799 (
-	.A(n_11946),
-	.B(soc_top_u_top_u_core_rf_raddr_b[2]),
-	.Y(n_11982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381800 (
-	.A(n_11929),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[6]),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381801 (
-	.A(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
-	.B(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
-	.Y(n_11981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381802 (
-	.A(n_9493),
-	.B(n_11945),
-	.Y(n_14865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381803 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[14]),
-	.B(n_6992),
-	.Y(n_11980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381804 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
-	.Y(n_11979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381805 (
-	.A(n_11943),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[13]),
-	.Y(n_14879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381807 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[12]),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[13]),
-	.Y(n_11977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381808 (
-	.A(n_11945),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[12]),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381809 (
-	.A(n_9493),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[13]),
-	.Y(n_14890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381810 (
-	.A(soc_top_u_top_u_core_rf_raddr_b[4]),
-	.B(n_11932),
-	.Y(n_11974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g381811 (
-	.A(soc_top_u_top_u_core_rf_raddr_b[4]),
-	.B(soc_top_u_top_u_core_rf_raddr_b[3]),
-	.Y(n_11972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g381812 (
-	.A(soc_top_u_top_u_core_rf_raddr_b[3]),
-	.B(n_11947),
-	.Y(n_11970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g381813 (
-	.A(\soc_top_gpio_to_xbarp[d_valid] ),
-	.Y(n_11956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g381816 (
-	.A(soc_top_u_top_u_core_rf_waddr_wb[0]),
-	.Y(n_513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381820 (
-	.A(soc_top_u_top_u_core_rf_raddr_b[2]),
-	.Y(n_11951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381821 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
-	.Y(n_11950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g381823 (
-	.A(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
-	.Y(n_11948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381824 (
-	.A(soc_top_u_top_u_core_rf_raddr_b[4]),
-	.Y(n_11947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g381825 (
-	.A(soc_top_u_top_u_core_rf_raddr_b[1]),
-	.Y(n_11946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g381826 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[13]),
-	.Y(n_11945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g381827 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[5]),
-	.Y(n_11944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g381828 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[12]),
-	.Y(n_11943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381829 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[14]),
-	.Y(n_9493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381830 (
-	.A(soc_top_u_top_u_core_rf_raddr_b[0]),
-	.Y(n_11941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g381831 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[2]),
-	.Y(n_11940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g381838 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[6]),
-	.Y(n_9479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381839 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[16]),
-	.Y(n_11933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381840 (
-	.A(soc_top_u_top_u_core_rf_raddr_b[3]),
-	.Y(n_11932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g381841 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[19]),
-	.Y(n_11931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381842 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[17]),
-	.Y(n_11930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g381843 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[4]),
-	.Y(n_11929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g381845 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[15]),
-	.Y(n_11927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g304183 (
-	.A(n_12771),
-	.B(n_12714),
-	.C(n_12094),
-	.X(n_11917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g381846 (
-	.A(n_12771),
-	.B(n_12714),
-	.C(n_12101),
-	.X(n_11916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g381847 (
-	.A(n_12771),
-	.B(n_12714),
-	.C(n_12092),
-	.X(n_11915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g381848 (
-	.A(n_12714),
-	.B(n_12771),
-	.C(n_12100),
-	.X(n_11914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g381849 (
-	.A(n_12771),
-	.B(n_12714),
-	.C(n_12098),
-	.X(n_11913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g381850 (
-	.A(n_12771),
-	.B(n_12714),
-	.C(n_12070),
-	.X(n_11912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g381851 (
-	.A(n_12771),
-	.B(n_12714),
-	.C(n_12074),
-	.X(n_11911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g381852 (
-	.A(n_12771),
-	.B(n_12714),
-	.C(n_12064),
-	.X(n_11910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g381853 (
-	.A(n_12714),
-	.B(n_12771),
-	.C(n_12077),
-	.X(n_11909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g381854 (
-	.A(n_12714),
-	.B(n_12771),
-	.C(n_12063),
-	.X(n_11908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g381855 (
-	.A(n_12714),
-	.B(n_12771),
-	.C(n_12066),
-	.X(n_11907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g381856 (
-	.A(n_12714),
-	.B(n_12771),
-	.C(n_12095),
-	.X(n_11906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g381857 (
-	.A(n_12771),
-	.B(n_12714),
-	.C(n_12075),
-	.X(n_11905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g381858 (
-	.A(n_12714),
-	.B(n_12771),
-	.C(n_12071),
-	.X(n_11904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g381859 (
-	.A(n_12714),
-	.B(n_12771),
-	.C(n_12072),
-	.X(n_11903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g381860 (
-	.A(n_12714),
-	.B(n_12771),
-	.C(n_12090),
-	.X(n_11902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g381861 (
-	.A(n_12057),
-	.B(n_11920),
-	.X(n_6939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g381862 (
-	.A(n_11951),
-	.B(soc_top_u_top_u_core_rf_raddr_b[1]),
-	.X(n_11900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4b_2 g381863 (
-	.A(n_14028),
-	.B(n_14029),
-	.C(n_14190),
-	.D_N(n_13813),
-	.X(n_17336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g381864 (
-	.A1(n_12842),
-	.A2(n_12845),
-	.B1_N(n_13798),
-	.Y(n_11899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g381865 (
-	.A_N(n_12117),
-	.B(n_12640),
-	.C(n_12112),
-	.D(n_12085),
-	.Y(n_11898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g381866 (
-	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
-	.B_N(n_12114),
-	.Y(n_11897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g381867 (
-	.A(n_14876),
-	.B(n_14888),
-	.X(n_15144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g381868 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[15]),
-	.B_N(n_11985),
-	.Y(n_11896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2i_1 g381869 (
-	.A0(n_11933),
-	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[16]),
-	.S(soc_top_u_top_u_core_rf_waddr_wb[1]),
-	.Y(n_11895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2i_1 g381870 (
-	.A0(n_11947),
-	.A1(soc_top_u_top_u_core_rf_raddr_b[4]),
-	.S(soc_top_u_top_u_core_rf_waddr_wb[4]),
-	.Y(n_11894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g381871 (
-	.A_N(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
-	.B(n_9477),
-	.C(\soc_top_timer_to_xbar[d_error] ),
-	.D(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
-	.Y(n_11893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303581 (
-	.A1(n_11891),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
-	.B1(n_11873),
-	.B2(n_16091),
-	.X(n_15688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303582 (
-	.A1(n_11891),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
-	.B1(n_11873),
-	.B2(n_16090),
-	.X(n_15687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g303583 (
-	.A(n_11892),
-	.B(n_11876),
-	.Y(n_15686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g303584 (
-	.A(n_11891),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
-	.Y(n_11892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g303585 (
-	.A1_N(n_16093),
-	.A2_N(n_11873),
-	.B1(n_7000),
-	.B2(n_11890),
-	.Y(n_15690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g303586 (
-	.A1_N(n_16092),
-	.A2_N(n_11873),
-	.B1(n_6949),
-	.B2(n_11890),
-	.Y(n_15689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g303587 (
-	.A1_N(n_16094),
-	.A2_N(n_11873),
-	.B1(n_7001),
-	.B2(n_11890),
-	.Y(n_15691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303588 (
-	.A1(n_11889),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
-	.B1(n_11873),
-	.B2(n_16097),
-	.X(n_15694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g303589 (
-	.A1(n_15093),
-	.A2(n_11834),
-	.B1(n_11890),
-	.Y(n_11891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303590 (
-	.A1(n_11889),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
-	.B1(n_11873),
-	.B2(n_16096),
-	.X(n_15693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303591 (
-	.A1(n_11889),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
-	.B1(n_11873),
-	.B2(n_16095),
-	.X(n_15692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303592 (
-	.A1(n_11888),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
-	.B1(n_11873),
-	.B2(n_16098),
-	.X(n_15695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303593 (
-	.A(n_11840),
-	.B(n_11889),
-	.Y(n_11890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303594 (
-	.A1(n_11888),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
-	.B1(n_11873),
-	.B2(n_16100),
-	.X(n_15697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303595 (
-	.A1(n_11888),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
-	.B1(n_11873),
-	.B2(n_16099),
-	.X(n_15696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303596 (
-	.A1(n_11828),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
-	.B1(n_11873),
-	.B2(n_16101),
-	.X(n_15698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g303597 (
-	.A1(n_15098),
-	.A2(n_11834),
-	.B1(n_11887),
-	.Y(n_11889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303598 (
-	.A1(n_11828),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
-	.B1(n_11873),
-	.B2(n_15410),
-	.X(n_15700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303599 (
-	.A1(n_11828),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
-	.B1(n_11873),
-	.B2(n_15409),
-	.X(n_15699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g303600 (
-	.A(n_11887),
-	.Y(n_11888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303601 (
-	.A1(n_11886),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
-	.B1(n_11873),
-	.B2(n_15411),
-	.X(n_15701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303602 (
-	.A(n_11845),
-	.B(n_11828),
-	.Y(n_11887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303603 (
-	.A1(n_11886),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
-	.B1(n_11873),
-	.B2(n_15412),
-	.X(n_15702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303604 (
-	.A1(n_11886),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
-	.B1(n_11873),
-	.B2(n_15413),
-	.X(n_15703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303605 (
-	.A1(n_11885),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
-	.B1(n_11873),
-	.B2(n_15414),
-	.X(n_15704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303607 (
-	.A1(n_11885),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
-	.B1(n_11873),
-	.B2(n_15415),
-	.X(n_15705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303608 (
-	.A1(n_11885),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
-	.B1(n_11873),
-	.B2(n_15416),
-	.X(n_15706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303610 (
-	.A1(n_18248),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
-	.B1(n_11873),
-	.B2(n_15419),
-	.X(n_15709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g303611 (
-	.A1(n_15097),
-	.A2(n_11834),
-	.B1(n_11884),
-	.Y(n_11886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303612 (
-	.A1(n_18248),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
-	.B1(n_11873),
-	.B2(n_15418),
-	.X(n_15708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303613 (
-	.A1(n_18248),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
-	.B1(n_11873),
-	.B2(n_15417),
-	.X(n_15707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g303614 (
-	.A(n_11884),
-	.Y(n_11885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303615 (
-	.A1(n_11826),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
-	.B1(n_11873),
-	.B2(n_15422),
-	.X(n_15712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303616 (
-	.A(n_11837),
-	.B(n_18248),
-	.Y(n_11884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303617 (
-	.A1(n_11826),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
-	.B1(n_11873),
-	.B2(n_15421),
-	.X(n_15711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303618 (
-	.A1(n_11826),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
-	.B1(n_11873),
-	.B2(n_15420),
-	.X(n_15710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g303620 (
-	.A1(n_11842),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [29]),
-	.B1(n_11882),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [32]),
-	.C1(n_11857),
-	.X(n_15718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303621 (
-	.A1(n_11883),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [27]),
-	.B1(n_11873),
-	.B2(n_15423),
-	.X(n_15713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g303623 (
-	.A(n_11883),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [28]),
-	.X(n_15714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g303624 (
-	.A(n_11883),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [29]),
-	.X(n_15715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g303626 (
-	.A1(n_11842),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [31]),
-	.B1(n_11880),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [34]),
-	.C1(n_11862),
-	.X(n_15720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g303627 (
-	.A1(n_11842),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [32]),
-	.B1(n_11880),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [35]),
-	.C1(n_11864),
-	.X(n_15721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g303628 (
-	.A1(n_11882),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [31]),
-	.B1(n_15742),
-	.X(n_15717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g303629 (
-	.A1(n_11842),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [30]),
-	.B1(n_11880),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [33]),
-	.C1(n_11860),
-	.X(n_15719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g303631 (
-	.A(n_11882),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [30]),
-	.X(n_15716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g303632 (
-	.A1(n_15103),
-	.A2(n_11834),
-	.B1(n_11881),
-	.Y(n_11883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g303633 (
-	.A1(n_11842),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [34]),
-	.B1(n_11879),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [37]),
-	.C1(n_11868),
-	.X(n_15723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g303634 (
-	.A1(n_11842),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [33]),
-	.B1(n_11879),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [36]),
-	.C1(n_11865),
-	.X(n_15722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g303635 (
-	.A1(n_11842),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [35]),
-	.B1(n_11879),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [38]),
-	.C1(n_11869),
-	.X(n_15724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g303636 (
-	.A(n_11881),
-	.Y(n_11882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g303637 (
-	.A1(n_11842),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [38]),
-	.B1(n_11877),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [41]),
-	.C1(n_11852),
-	.X(n_15727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303638 (
-	.A(n_11838),
-	.B(n_11880),
-	.Y(n_11881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g303639 (
-	.A1(n_11842),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [37]),
-	.B1(n_11877),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [40]),
-	.C1(n_11850),
-	.X(n_15726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g303640 (
-	.A1(n_11842),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [36]),
-	.B1(n_11877),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [39]),
-	.C1(n_11871),
-	.X(n_15725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g303641 (
-	.A1(n_11842),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [40]),
-	.B1(n_11875),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [43]),
-	.C1(n_11856),
-	.X(n_15729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g303642 (
-	.A1(n_15108),
-	.A2(n_11834),
-	.B1(n_11878),
-	.Y(n_11880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g303643 (
-	.A1(n_11842),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [39]),
-	.B1(n_11875),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [42]),
-	.C1(n_11855),
-	.X(n_15728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g303644 (
-	.A1(n_11842),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [41]),
-	.B1(n_11875),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [44]),
-	.C1(n_11859),
-	.X(n_15730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g303645 (
-	.A(n_11878),
-	.Y(n_11879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g303646 (
-	.A1(n_11842),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [42]),
-	.B1(n_11872),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [45]),
-	.C1(n_11863),
-	.X(n_15731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303647 (
-	.A(n_11847),
-	.B(n_11877),
-	.Y(n_11878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g303648 (
-	.A1(n_11842),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [44]),
-	.B1(n_11872),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [47]),
-	.C1(n_11870),
-	.X(n_15733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g303649 (
-	.A1(n_11842),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [43]),
-	.B1(n_11872),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [46]),
-	.C1(n_11867),
-	.X(n_15732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g303650 (
-	.A1(n_11842),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [47]),
-	.B1(n_11844),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [50]),
-	.C1(n_11861),
-	.X(n_15736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g303651 (
-	.A1(n_11842),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [49]),
-	.B1(n_11849),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [52]),
-	.C1(n_11853),
-	.X(n_15738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g303652 (
-	.A(n_11873),
-	.B(n_16089),
-	.Y(n_11876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g303653 (
-	.A1(n_15107),
-	.A2(n_11834),
-	.B1(n_11874),
-	.Y(n_11877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g303654 (
-	.A1(n_11842),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [50]),
-	.B1(n_11849),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [53]),
-	.C1(n_11858),
-	.X(n_15739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g303655 (
-	.A1(n_11842),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [45]),
-	.B1(n_11844),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [48]),
-	.C1(n_11851),
-	.X(n_15734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g303656 (
-	.A1(n_11842),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [46]),
-	.B1(n_11844),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [49]),
-	.C1(n_11854),
-	.X(n_15735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g303657 (
-	.A1(n_11842),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [48]),
-	.B1(n_11849),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [51]),
-	.C1(n_11866),
-	.X(n_15737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g303658 (
-	.A(n_11874),
-	.Y(n_11875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303659 (
-	.A(n_11839),
-	.B(n_11872),
-	.Y(n_11874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31a_1 g303660 (
-	.A1(n_15009),
-	.A2(n_15122),
-	.A3(n_15008),
-	.B1(n_11834),
-	.X(n_11873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g303661 (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [51]),
-	.A2_N(n_11842),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [51]),
-	.B2(n_11848),
-	.Y(n_15740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g303662 (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [52]),
-	.A2_N(n_11842),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [52]),
-	.B2(n_11848),
-	.Y(n_15741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303663 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [36]),
-	.B(n_11848),
-	.Y(n_11871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303664 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [44]),
-	.B(n_11848),
-	.Y(n_11870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303665 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [35]),
-	.B(n_11848),
-	.Y(n_11869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303666 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [34]),
-	.B(n_11848),
-	.Y(n_11868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303667 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [43]),
-	.B(n_11848),
-	.Y(n_11867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303668 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [48]),
-	.B(n_11848),
-	.Y(n_11866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303669 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [33]),
-	.B(n_11848),
-	.Y(n_11865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303670 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [32]),
-	.B(n_11848),
-	.Y(n_11864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303671 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [42]),
-	.B(n_11848),
-	.Y(n_11863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303672 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [31]),
-	.B(n_11848),
-	.Y(n_11862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303673 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [47]),
-	.B(n_11848),
-	.Y(n_11861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303674 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [30]),
-	.B(n_11848),
-	.Y(n_11860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303675 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [41]),
-	.B(n_11848),
-	.Y(n_11859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303676 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [50]),
-	.B(n_11848),
-	.Y(n_11858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303677 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [29]),
-	.B(n_11848),
-	.Y(n_11857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303678 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [40]),
-	.B(n_11848),
-	.Y(n_11856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303679 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [39]),
-	.B(n_11848),
-	.Y(n_11855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303680 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [46]),
-	.B(n_11848),
-	.Y(n_11854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303681 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [49]),
-	.B(n_11848),
-	.Y(n_11853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303682 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [38]),
-	.B(n_11848),
-	.Y(n_11852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303683 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [45]),
-	.B(n_11848),
-	.Y(n_11851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303684 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [37]),
-	.B(n_11848),
-	.Y(n_11850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303685 (
-	.A1(n_15983),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15982),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g303686 (
-	.A1(n_18438),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.B1(n_15742),
-	.X(n_15949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303687 (
-	.A1(n_15994),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15993),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303688 (
-	.A1(n_15993),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15992),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303689 (
-	.A1(n_15992),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15991),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303690 (
-	.A1(n_15991),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15990),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303691 (
-	.A1(n_15990),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15989),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303692 (
-	.A1(n_15989),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [15]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303693 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [15]),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15988),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303694 (
-	.A1(n_15988),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15987),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303695 (
-	.A1(n_15987),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [12]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303696 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [12]),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15986),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303697 (
-	.A1(n_15986),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15985),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303698 (
-	.A1(n_15985),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15984),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303699 (
-	.A1(n_15984),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15983),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g303700 (
-	.A(n_11843),
-	.B(n_11846),
-	.Y(n_11872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303701 (
-	.A1(n_16014),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_16013),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303702 (
-	.A1(n_15980),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15979),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303705 (
-	.A1(n_15982),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15981),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303706 (
-	.A1(n_16028),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_16029),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303707 (
-	.A1(n_16027),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_16026),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303708 (
-	.A1(n_16026),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_16025),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303709 (
-	.A1(n_16025),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_16024),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303710 (
-	.A1(n_16024),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_16023),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303711 (
-	.A1(n_16023),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_16022),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303712 (
-	.A1(n_16022),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_16021),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303713 (
-	.A1(n_16021),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_16020),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303714 (
-	.A1(n_16020),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_16019),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303715 (
-	.A1(n_16019),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_16018),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303716 (
-	.A1(n_16018),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_16017),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303717 (
-	.A1(n_16017),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_16016),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303718 (
-	.A1(n_16016),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_16015),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303719 (
-	.A1(n_16015),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_16014),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303720 (
-	.A1(n_15981),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15980),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303721 (
-	.A1(n_16013),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_16012),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303722 (
-	.A1(n_16012),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_16011),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303723 (
-	.A1(n_16011),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_16010),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303724 (
-	.A1(n_16010),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_16009),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303725 (
-	.A1(n_16009),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_16008),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303726 (
-	.A1(n_16008),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_16007),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303727 (
-	.A1(n_16007),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_16006),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303728 (
-	.A1(n_16006),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_16005),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303729 (
-	.A1(n_16005),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_16004),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303730 (
-	.A1(n_16004),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_16003),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303731 (
-	.A1(n_18438),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_18440),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g303732 (
-	.A1_N(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.A2_N(n_18440),
-	.B1(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B2(n_11834),
-	.Y(n_15947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g303733 (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.A2_N(n_18442),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.B2(n_11834),
-	.Y(n_15946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303734 (
-	.A1(n_18442),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_18444),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303735 (
-	.A1(n_18444),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_18446),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303736 (
-	.A1(n_18446),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_18448),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303737 (
-	.A1(n_18448),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_18450),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303738 (
-	.A1(n_18450),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_18452),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g303739 (
-	.A1(n_18452),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15994),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g303740 (
-	.A(n_15742),
-	.Y(n_11848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303741 (
-	.A(n_15105),
-	.B(n_11834),
-	.Y(n_11847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g303744 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [28]),
-	.B(n_15299),
-	.Y(n_11846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303745 (
-	.A(n_15096),
-	.B(n_11834),
-	.Y(n_11845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g303746 (
-	.A(n_11834),
-	.B_N(n_15298),
-	.Y(n_11849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303747 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.B(n_16029),
-	.Y(n_15742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g303748 (
-	.A(n_11843),
-	.Y(n_11844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g303750 (
-	.A(n_11834),
-	.B_N(n_15301),
-	.Y(n_11840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303751 (
-	.A(n_15106),
-	.B(n_11834),
-	.Y(n_11839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303752 (
-	.A(n_15104),
-	.B(n_11834),
-	.Y(n_11838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g303753 (
-	.A(n_15095),
-	.B(n_11834),
-	.Y(n_11837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g303754 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [28]),
-	.B(n_15123),
-	.Y(n_11843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g303755 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.B_N(n_16029),
-	.Y(n_11842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g303758 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [28]),
-	.Y(n_11834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g381872 (
-	.A1(n_15094),
-	.A2(n_11834),
-	.B1_N(n_11886),
-	.Y(n_11828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g303824 (
-	.A1(n_15092),
-	.A2(n_11834),
-	.B1_N(n_11883),
-	.Y(n_11826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g303825 (
-	.A0(n_15978),
-	.A1(n_15977),
-	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g303826 (
-	.A0(n_15979),
-	.A1(n_15978),
-	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g303827 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.B(n_15975),
-	.X(n_15919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g303828 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.B(n_15976),
-	.X(n_15920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377524 (
-	.A(n_11821),
-	.B(n_11812),
-	.Y(\soc_top_u_top_u_core_fp_operands[2] [30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377525 (
-	.A(n_11823),
-	.B(n_11814),
-	.Y(\soc_top_u_top_u_core_fp_operands[2] [29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377526 (
-	.A(n_11824),
-	.B(n_11813),
-	.Y(\soc_top_u_top_u_core_fp_operands[2] [26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g377527 (
-	.A1(\soc_top_u_top_u_core_fp_operands[0] [17]),
-	.A2(n_6988),
-	.B1(n_17420),
-	.B2(n_7028),
-	.C1(n_11148),
-	.X(\soc_top_u_top_u_core_fp_operands[2] [17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g377528 (
-	.A1(\soc_top_u_top_u_core_fp_operands[0] [16]),
-	.A2(n_6988),
-	.B1(n_11762),
-	.B2(n_7028),
-	.C1(n_11146),
-	.X(\soc_top_u_top_u_core_fp_operands[2] [16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377529 (
-	.A(n_11822),
-	.B(n_11815),
-	.Y(\soc_top_u_top_u_core_fp_operands[2] [24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g377530 (
-	.A1(\soc_top_u_top_u_core_fp_operands[0] [18]),
-	.A2(n_6988),
-	.B1(n_18286),
-	.B2(n_7028),
-	.C1(n_11118),
-	.X(\soc_top_u_top_u_core_fp_operands[2] [18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377531 (
-	.A(n_11820),
-	.B(n_11819),
-	.Y(\soc_top_u_top_u_core_fp_operands[2] [27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g377532 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [22]),
-	.A2(n_6988),
-	.B1(n_11753),
-	.B2(n_7028),
-	.C1(n_11128),
-	.X(\soc_top_u_top_u_core_fp_operands[2] [22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g377533 (
-	.A1(\soc_top_u_top_u_core_fp_operands[0] [21]),
-	.A2(n_6988),
-	.B1(n_17417),
-	.B2(n_7028),
-	.C1(n_11125),
-	.X(\soc_top_u_top_u_core_fp_operands[2] [21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g377534 (
-	.A1(\soc_top_u_top_u_core_fp_operands[0] [20]),
-	.A2(n_6988),
-	.B1(n_9474),
-	.B2(n_7028),
-	.C1(n_11121),
-	.X(\soc_top_u_top_u_core_fp_operands[2] [20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g377535 (
-	.A1(\soc_top_u_top_u_core_fp_operands[0] [19]),
-	.A2(n_6988),
-	.B1(n_17419),
-	.B2(n_7028),
-	.C1(n_11119),
-	.X(\soc_top_u_top_u_core_fp_operands[2] [19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377536 (
-	.A(n_11825),
-	.B(n_11816),
-	.Y(\soc_top_u_top_u_core_fp_operands[2] [23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377537 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][0] ),
-	.B(n_6988),
-	.Y(n_11825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377538 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [26]),
-	.B(n_6988),
-	.Y(n_11824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377539 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][6] ),
-	.B(n_6988),
-	.Y(n_11823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377540 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][1] ),
-	.B(n_6988),
-	.Y(n_11822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377541 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [30]),
-	.B(n_6988),
-	.Y(n_11821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377542 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][4] ),
-	.B(n_6988),
-	.Y(n_11820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g377543 (
-	.A1(\soc_top_u_top_u_core_fp_operands[0] [15]),
-	.A2(n_6988),
-	.B1(n_9471),
-	.B2(n_7028),
-	.C1(n_11145),
-	.X(\soc_top_u_top_u_core_fp_operands[2] [15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g377544 (
-	.A1(\soc_top_u_top_u_core_fp_operands[0] [14]),
-	.A2(n_6988),
-	.B1(n_18287),
-	.B2(n_7028),
-	.C1(n_11143),
-	.X(\soc_top_u_top_u_core_fp_operands[2] [14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g377545 (
-	.A1(\soc_top_u_top_u_core_fp_operands[0] [10]),
-	.A2(n_6988),
-	.B1(n_11729),
-	.B2(n_7028),
-	.C1(n_11138),
-	.X(\soc_top_u_top_u_core_fp_operands[2] [10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g377546 (
-	.A1(\soc_top_u_top_u_core_fp_operands[0] [13]),
-	.A2(n_6988),
-	.B1(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.B2(n_7028),
-	.C1(n_11141),
-	.X(\soc_top_u_top_u_core_fp_operands[2] [13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g377547 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [12]),
-	.A2(n_6988),
-	.B1(n_17427),
-	.B2(n_7028),
-	.C1(n_11140),
-	.X(\soc_top_u_top_u_core_fp_operands[2] [12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g377548 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [11]),
-	.A2(n_6988),
-	.B1(n_17428),
-	.B2(n_7028),
-	.C1(n_11139),
-	.X(\soc_top_u_top_u_core_fp_operands[2] [11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377549 (
-	.A(n_11817),
-	.B(n_11791),
-	.Y(\soc_top_u_top_u_core_fp_operands[2] [25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g377550 (
-	.A1(\soc_top_u_top_u_core_fp_operands[0] [9]),
-	.A2(n_6988),
-	.B1(n_11728),
-	.B2(n_7028),
-	.C1(n_11136),
-	.X(\soc_top_u_top_u_core_fp_operands[2] [9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g377551 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [8]),
-	.A2(n_6988),
-	.B1(n_17429),
-	.B2(n_7028),
-	.C1(n_11135),
-	.X(\soc_top_u_top_u_core_fp_operands[2] [8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377552 (
-	.A(n_11818),
-	.B(n_11790),
-	.Y(\soc_top_u_top_u_core_fp_operands[2] [28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g377553 (
-	.A1(n_17418),
-	.A2(n_7028),
-	.B1(n_11122),
-	.Y(n_11819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377554 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][5] ),
-	.B(n_6988),
-	.Y(n_11818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377555 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][2] ),
-	.B(n_6988),
-	.Y(n_11817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g377556 (
-	.A1(n_17425),
-	.A2(n_7028),
-	.B1(n_11132),
-	.Y(n_11816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g377557 (
-	.A1(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.A2(n_7028),
-	.B1(n_11137),
-	.Y(n_11815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g377558 (
-	.A1(n_17422),
-	.A2(n_7028),
-	.B1(n_11144),
-	.Y(n_11814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g377559 (
-	.A1(n_17421),
-	.A2(n_7028),
-	.B1(n_11147),
-	.Y(n_11813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g377560 (
-	.A1(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.A2(n_7028),
-	.B1(n_11129),
-	.Y(n_11812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377562 (
-	.A(n_11795),
-	.B(n_11345),
-	.Y(\soc_top_u_top_u_core_fp_operands[0] [21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377563 (
-	.A(n_11794),
-	.B(n_11342),
-	.Y(\soc_top_u_top_u_core_fp_operands[0] [20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377564 (
-	.A(n_11793),
-	.B(n_11341),
-	.Y(\soc_top_u_top_u_core_fp_operands[0] [19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377566 (
-	.A(n_11805),
-	.B(n_11355),
-	.Y(\soc_top_u_top_u_core_fp_operands[0] [18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g377570 (
-	.A(n_11801),
-	.B(n_11370),
-	.Y(\soc_top_u_top_u_core_fp_operands[0] [30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377571 (
-	.A(n_11800),
-	.B(n_11366),
-	.Y(\soc_top_u_top_u_core_fp_operands[0] [26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377572 (
-	.A(n_11802),
-	.B(n_11368),
-	.Y(\soc_top_u_top_u_core_fp_operands[0] [16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377573 (
-	.A(n_11803),
-	.B(n_11369),
-	.Y(\soc_top_u_top_u_core_fp_operands[0] [17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 g377574 (
-	.A1(n_14949),
-	.A2(n_11755),
-	.B1(n_9772),
-	.Y(soc_top_u_top_u_core_lsu_wdata[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g377575 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [0]),
-	.A2(n_6988),
-	.B1(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.B2(n_7028),
-	.C1(n_11120),
-	.X(\soc_top_u_top_u_core_fp_operands[2] [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g377576 (
-	.A1(\soc_top_u_top_u_core_fp_operands[0] [6]),
-	.A2(n_6988),
-	.B1(n_17430),
-	.B2(n_7028),
-	.C1(n_11131),
-	.X(\soc_top_u_top_u_core_fp_operands[2] [6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g377577 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [5]),
-	.A2(n_6988),
-	.B1(n_17431),
-	.B2(n_7028),
-	.C1(n_11130),
-	.X(\soc_top_u_top_u_core_fp_operands[2] [5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g377578 (
-	.A1(\soc_top_u_top_u_core_fp_operands[0] [4]),
-	.A2(n_6988),
-	.B1(n_11708),
-	.B2(n_7028),
-	.C1(n_11127),
-	.X(\soc_top_u_top_u_core_fp_operands[2] [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g377579 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [3]),
-	.A2(n_6988),
-	.B1(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.B2(n_7028),
-	.C1(n_11126),
-	.X(\soc_top_u_top_u_core_fp_operands[2] [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g377580 (
-	.A1(\soc_top_u_top_u_core_fp_operands[0] [2]),
-	.A2(n_6988),
-	.B1(n_11706),
-	.B2(n_7028),
-	.C1(n_11124),
-	.X(\soc_top_u_top_u_core_fp_operands[2] [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g377581 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [1]),
-	.A2(n_6988),
-	.B1(n_17433),
-	.B2(n_7028),
-	.C1(n_11123),
-	.X(\soc_top_u_top_u_core_fp_operands[2] [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g377583 (
-	.A(n_11811),
-	.B(n_9774),
-	.Y(soc_top_u_top_u_core_lsu_wdata[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g377587 (
-	.A(n_11807),
-	.B(n_9785),
-	.Y(soc_top_u_top_u_core_lsu_wdata[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 g377589 (
-	.A1(n_14949),
-	.A2(n_11757),
-	.B1(n_9756),
-	.Y(soc_top_u_top_u_core_lsu_wdata[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 g377590 (
-	.A1(n_14949),
-	.A2(n_11761),
-	.B1(n_9773),
-	.Y(soc_top_u_top_u_core_lsu_wdata[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 g377591 (
-	.A1(n_14949),
-	.A2(n_11768),
-	.B1(n_9782),
-	.Y(soc_top_u_top_u_core_lsu_wdata[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 g377592 (
-	.A1(n_14949),
-	.A2(n_11763),
-	.B1(n_9776),
-	.Y(soc_top_u_top_u_core_lsu_wdata[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 g377593 (
-	.A1(n_14949),
-	.A2(n_11759),
-	.B1(n_9769),
-	.Y(soc_top_u_top_u_core_lsu_wdata[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g377594 (
-	.A1(\soc_top_u_top_u_core_fp_operands[0] [7]),
-	.A2(n_6988),
-	.B1(n_11711),
-	.B2(n_7028),
-	.C1(n_11149),
-	.X(\soc_top_u_top_u_core_fp_operands[2] [7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377595 (
-	.A(n_17417),
-	.B(n_6970),
-	.Y(n_11811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377599 (
-	.A(n_17420),
-	.B(n_6970),
-	.Y(n_11807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377601 (
-	.A(n_18286),
-	.B(n_9137),
-	.Y(n_11805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377603 (
-	.A(n_17420),
-	.B(n_9137),
-	.Y(n_11803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377604 (
-	.A(n_11762),
-	.B(n_9137),
-	.Y(n_11802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377605 (
-	.A(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.B(n_9137),
-	.Y(n_11801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377606 (
-	.A(n_17421),
-	.B(n_9137),
-	.Y(n_11800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377611 (
-	.A(n_17417),
-	.B(n_9137),
-	.Y(n_11795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377612 (
-	.A(n_9474),
-	.B(n_9137),
-	.Y(n_11794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377613 (
-	.A(n_17419),
-	.B(n_9137),
-	.Y(n_11793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377615 (
-	.A(n_11779),
-	.B(n_11367),
-	.Y(\soc_top_u_top_u_core_fp_operands[0] [15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377621 (
-	.A(n_11785),
-	.B(n_9763),
-	.Y(soc_top_u_top_u_core_lsu_wdata[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g377623 (
-	.A(n_11787),
-	.B(n_9778),
-	.Y(soc_top_u_top_u_core_lsu_wdata[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g377625 (
-	.A1(n_11732),
-	.A2(n_7028),
-	.B1(n_11142),
-	.Y(n_11791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g377626 (
-	.A1(n_11726),
-	.A2(n_7028),
-	.B1(n_11134),
-	.Y(n_11790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g377628 (
-	.A(n_11777),
-	.B(n_11364),
-	.Y(\soc_top_u_top_u_core_fp_operands[0] [13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377630 (
-	.A(n_11772),
-	.B(n_11357),
-	.Y(\soc_top_u_top_u_core_fp_operands[0] [9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377631 (
-	.A(n_11773),
-	.B(n_11359),
-	.Y(\soc_top_u_top_u_core_fp_operands[0] [10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377635 (
-	.A(n_11778),
-	.B(n_11365),
-	.Y(\soc_top_u_top_u_core_fp_operands[0] [14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377639 (
-	.A(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.B(n_6970),
-	.Y(n_11787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377641 (
-	.A(n_17428),
-	.B(n_6970),
-	.Y(n_11785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377647 (
-	.A(n_9471),
-	.B(n_9137),
-	.Y(n_11779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377648 (
-	.A(n_18287),
-	.B(n_9137),
-	.Y(n_11778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377649 (
-	.A(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.B(n_9137),
-	.Y(n_11777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377653 (
-	.A(n_11729),
-	.B(n_9137),
-	.Y(n_11773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377654 (
-	.A(n_11728),
-	.B(n_9137),
-	.Y(n_11772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377657 (
-	.A(n_11722),
-	.B(n_11350),
-	.Y(\soc_top_u_top_u_core_fp_operands[0] [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377659 (
-	.A(n_11724),
-	.B(n_11353),
-	.Y(\soc_top_u_top_u_core_fp_operands[0] [6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377660 (
-	.A(n_11741),
-	.B(n_11354),
-	.Y(\soc_top_u_top_u_core_fp_operands[0] [7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g377668 (
-	.A(n_17418),
-	.Y(n_11768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g377680 (
-	.A(n_17421),
-	.Y(n_11763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g377683 (
-	.A(n_17422),
-	.Y(n_11761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g377686 (
-	.A(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.Y(n_11759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g377689 (
-	.A(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.Y(n_11757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g377692 (
-	.A(n_17425),
-	.Y(n_11755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g377698 (
-	.A(n_11742),
-	.B(n_9779),
-	.Y(soc_top_u_top_u_core_lsu_wdata[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g377703 (
-	.A(n_11735),
-	.B(n_9757),
-	.Y(soc_top_u_top_u_core_lsu_wdata[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377707 (
-	.A(n_11720),
-	.B(n_11346),
-	.Y(\soc_top_u_top_u_core_fp_operands[0] [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g377710 (
-	.A1(n_9517),
-	.A2(n_11713),
-	.B1(n_9584),
-	.Y(n_9474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g377716 (
-	.A1_N(soc_top_u_top_u_core_rf_wdata_fwd_wb[16]),
-	.A2_N(n_9517),
-	.B1(n_9517),
-	.B2(n_11717),
-	.Y(n_11762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g377721 (
-	.A1_N(soc_top_u_top_u_core_rf_wdata_fwd_wb[22]),
-	.A2_N(n_9517),
-	.B1(n_9517),
-	.B2(n_11712),
-	.Y(n_11753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377732 (
-	.A(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.B(n_6970),
-	.Y(n_11742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377733 (
-	.A(n_11711),
-	.B(n_9137),
-	.Y(n_11741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377739 (
-	.A(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.B(n_6970),
-	.Y(n_11735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377766 (
-	.A(n_17430),
-	.B(n_9137),
-	.Y(n_11724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377768 (
-	.A(n_11708),
-	.B(n_9137),
-	.Y(n_11722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377770 (
-	.A(n_11706),
-	.B(n_9137),
-	.Y(n_11720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g377773 (
-	.A1(n_9517),
-	.A2(n_11704),
-	.B1(n_9590),
-	.Y(n_9471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g377776 (
-	.A1_N(soc_top_u_top_u_core_rf_wdata_fwd_wb[25]),
-	.A2_N(n_9517),
-	.B1(n_9517),
-	.B2(n_11694),
-	.Y(n_11732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g377779 (
-	.A1(n_9517),
-	.A2(n_11697),
-	.B1(n_9583),
-	.Y(n_11729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g377780 (
-	.A1(n_9517),
-	.A2(n_11696),
-	.B1(n_9582),
-	.Y(n_11728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g377782 (
-	.A1_N(soc_top_u_top_u_core_rf_wdata_fwd_wb[28]),
-	.A2_N(n_9517),
-	.B1(n_9517),
-	.B2(n_11695),
-	.Y(n_11726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g377783 (
-	.A(n_11717),
-	.Y(n_15310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377787 (
-	.A(n_11702),
-	.B(n_11654),
-	.Y(n_15313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377788 (
-	.A(n_11702),
-	.B(n_11656),
-	.Y(n_15311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377789 (
-	.A(n_11702),
-	.B(n_18302),
-	.Y(n_15312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377792 (
-	.A(n_9454),
-	.B(n_11685),
-	.Y(n_15317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g377793 (
-	.A(n_11668),
-	.B(n_11703),
-	.Y(n_11717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377795 (
-	.A(n_11702),
-	.B(n_11664),
-	.Y(n_15318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g377796 (
-	.A(n_11713),
-	.Y(n_15314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g377797 (
-	.A(n_11712),
-	.Y(n_15316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g377815 (
-	.A(n_11659),
-	.B(n_11703),
-	.Y(n_11713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377816 (
-	.A(n_11702),
-	.B(n_11661),
-	.Y(n_15315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g377817 (
-	.A(n_11662),
-	.B(n_11703),
-	.Y(n_11712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377818 (
-	.A(n_11702),
-	.B(n_11663),
-	.Y(n_15324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g377819 (
-	.A1(n_9517),
-	.A2(n_11681),
-	.B1(n_9578),
-	.Y(n_11711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g377823 (
-	.A1(n_9517),
-	.A2(n_11680),
-	.B1(n_9577),
-	.Y(n_11708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g377825 (
-	.A1_N(soc_top_u_top_u_core_rf_wdata_fwd_wb[2]),
-	.A2_N(n_9517),
-	.B1(n_9517),
-	.B2(n_18296),
-	.Y(n_11706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g377827 (
-	.A(n_11704),
-	.Y(n_15309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g377829 (
-	.A(n_11703),
-	.Y(n_11702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377834 (
-	.A(n_11690),
-	.B(n_18291),
-	.Y(n_15307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g377835 (
-	.A(n_11684),
-	.B(n_11691),
-	.Y(n_11704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g377837 (
-	.A(n_11692),
-	.B(n_11650),
-	.Y(n_11703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g377838 (
-	.A(n_11697),
-	.Y(n_15304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g377839 (
-	.A(n_11696),
-	.Y(n_15303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g377840 (
-	.A(n_11695),
-	.Y(n_15322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g377841 (
-	.A(n_11694),
-	.Y(n_15319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377842 (
-	.A(n_11690),
-	.B(n_11679),
-	.Y(n_15306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g377843 (
-	.A(n_18293),
-	.B(n_11691),
-	.Y(n_11697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g377844 (
-	.A(n_18295),
-	.B(n_11691),
-	.Y(n_11696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377845 (
-	.A(n_11690),
-	.B(n_11678),
-	.Y(n_15308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377846 (
-	.A(n_11690),
-	.B(n_11686),
-	.Y(n_15305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377847 (
-	.A(n_11690),
-	.B(n_11676),
-	.Y(n_15302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g377848 (
-	.A(n_11693),
-	.B(n_18292),
-	.Y(n_11695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g377849 (
-	.A(n_11693),
-	.B(n_18294),
-	.Y(n_11694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g377851 (
-	.A(n_11692),
-	.Y(n_11693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g377852 (
-	.A(n_11691),
-	.Y(n_11690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g377856 (
-	.A(n_18309),
-	.B(n_11665),
-	.Y(n_11686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g377857 (
-	.A(n_11605),
-	.B(n_11653),
-	.Y(n_11685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g377858 (
-	.A(n_18304),
-	.B(n_11611),
-	.C(n_11542),
-	.Y(n_11684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377860 (
-	.A(n_11660),
-	.B(n_11652),
-	.Y(n_15326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211a_1 g377861 (
-	.A1(n_9668),
-	.A2(n_11489),
-	.B1(n_11647),
-	.C1(n_11651),
-	.X(n_11692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377862 (
-	.A(n_11669),
-	.B(n_9455),
-	.Y(n_11691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g377863 (
-	.A(n_18296),
-	.Y(n_15328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g377864 (
-	.A(n_11681),
-	.Y(n_15333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g377865 (
-	.A(n_11680),
-	.Y(n_15330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g377866 (
-	.A(n_18307),
-	.B(n_11670),
-	.Y(n_11679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g377867 (
-	.A(n_18306),
-	.B(n_11671),
-	.Y(n_11678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g377869 (
-	.A(n_18320),
-	.B(n_11675),
-	.Y(n_11676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g377870 (
-	.A(n_11638),
-	.B(n_11620),
-	.C(n_18333),
-	.Y(n_15329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g377872 (
-	.A(n_18516),
-	.B(n_11637),
-	.C(n_18328),
-	.Y(n_15327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g377873 (
-	.A(n_11646),
-	.B(n_18299),
-	.Y(n_11681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g377876 (
-	.A(n_11621),
-	.B(n_11673),
-	.Y(n_11680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g377877 (
-	.A(n_11593),
-	.B(n_11579),
-	.C(n_9662),
-	.Y(n_11675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377879 (
-	.A(n_11639),
-	.B(n_18332),
-	.Y(n_11673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g377880 (
-	.A(n_11546),
-	.B(n_11562),
-	.C(n_9711),
-	.D(n_11512),
-	.Y(n_11672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g377881 (
-	.A(n_11599),
-	.B(n_11585),
-	.C(n_9666),
-	.Y(n_11671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g377882 (
-	.A(n_11597),
-	.B(n_11583),
-	.C(n_9660),
-	.Y(n_11670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377883 (
-	.A(n_11645),
-	.B(n_9544),
-	.Y(n_11669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g377884 (
-	.A(n_11575),
-	.B(n_11533),
-	.C(n_9650),
-	.D(n_11550),
-	.Y(n_11668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g377886 (
-	.A(n_11586),
-	.B(n_11540),
-	.C(n_9665),
-	.Y(n_11667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g377888 (
-	.A(n_11588),
-	.B(n_11538),
-	.C(n_9664),
-	.Y(n_11665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g377889 (
-	.A(n_18311),
-	.B(n_18303),
-	.Y(n_11664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g377890 (
-	.A(n_18312),
-	.B(n_18310),
-	.Y(n_11663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g377891 (
-	.A(n_11563),
-	.B(n_11516),
-	.C(n_9636),
-	.D(n_11515),
-	.Y(n_11662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g377892 (
-	.A(n_18313),
-	.B(n_18515),
-	.Y(n_11661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g377893 (
-	.A(n_11649),
-	.B(n_9457),
-	.Y(n_11660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g377894 (
-	.A(n_11508),
-	.B(n_11559),
-	.C(n_9642),
-	.D(n_11507),
-	.Y(n_11659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g377897 (
-	.A(n_18305),
-	.B(n_18317),
-	.Y(n_11656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g377899 (
-	.A(n_18315),
-	.B(n_18319),
-	.Y(n_11654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g377900 (
-	.A(n_11524),
-	.B(n_14956),
-	.C(n_9647),
-	.Y(n_11653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g377901 (
-	.A1(n_11497),
-	.A2(n_9538),
-	.B1(n_11615),
-	.Y(n_11652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g377906 (
-	.A(n_11625),
-	.Y(n_11650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377907 (
-	.A(n_11544),
-	.B(n_9728),
-	.Y(n_11649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377909 (
-	.A(n_11602),
-	.B(n_9598),
-	.Y(n_11647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377910 (
-	.A(n_11600),
-	.B(n_9646),
-	.Y(n_11646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377911 (
-	.A(n_11600),
-	.B(n_11501),
-	.Y(n_11645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g377913 (
-	.A1(\soc_top_xbar_to_lsu[d_data] [18]),
-	.A2(n_9600),
-	.B1(n_9663),
-	.Y(n_11643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g377914 (
-	.A1(\soc_top_xbar_to_lsu[d_data] [17]),
-	.A2(n_9600),
-	.B1(n_9661),
-	.Y(n_11642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g377915 (
-	.A1(\soc_top_xbar_to_lsu[d_data] [30]),
-	.A2(n_9601),
-	.B1(n_9713),
-	.Y(n_11641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g377916 (
-	.A1(\soc_top_xbar_to_lsu[d_data] [29]),
-	.A2(n_9601),
-	.B1(n_9737),
-	.Y(n_11640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g377917 (
-	.A1(\soc_top_xbar_to_lsu[d_data] [28]),
-	.A2(n_9601),
-	.B1(n_9705),
-	.Y(n_11639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g377918 (
-	.A1(\soc_top_xbar_to_lsu[d_data] [27]),
-	.A2(n_9601),
-	.B1(n_9699),
-	.Y(n_11638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g377919 (
-	.A1(\soc_top_xbar_to_lsu[d_data] [25]),
-	.A2(n_9601),
-	.B1(n_9723),
-	.Y(n_11637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g377920 (
-	.A1(\soc_top_xbar_to_lsu[d_data] [31]),
-	.A2(n_9601),
-	.B1(n_9714),
-	.Y(n_11636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377934 (
-	.A(n_11602),
-	.B(n_9601),
-	.Y(n_11651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377935 (
-	.A(n_11601),
-	.B(n_14956),
-	.Y(n_11625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377952 (
-	.A(n_11590),
-	.B(n_9653),
-	.Y(n_11621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g377953 (
-	.A1(n_11491),
-	.A2(n_9538),
-	.B1_N(n_9643),
-	.Y(n_11620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377958 (
-	.A(n_11560),
-	.B(n_9648),
-	.Y(n_11615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g377962 (
-	.A(n_9449),
-	.B(n_11553),
-	.Y(n_11611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g377969 (
-	.A1(n_15254),
-	.A2(n_11489),
-	.B1(n_15253),
-	.B2(n_9161),
-	.Y(n_11605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377977 (
-	.A(n_11494),
-	.B(n_9626),
-	.Y(n_11599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377979 (
-	.A(n_11493),
-	.B(n_9626),
-	.Y(n_11597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377981 (
-	.A(n_11496),
-	.B(n_9626),
-	.Y(n_11595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377982 (
-	.A(n_11500),
-	.B(n_9626),
-	.Y(n_11594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377983 (
-	.A(n_11497),
-	.B(n_9626),
-	.Y(n_11593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377984 (
-	.A(n_11494),
-	.B(n_9538),
-	.Y(n_11592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377985 (
-	.A(n_11499),
-	.B(n_9538),
-	.Y(n_11591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377986 (
-	.A(n_11493),
-	.B(n_9538),
-	.Y(n_11590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377988 (
-	.A(\soc_top_xbar_to_lsu[d_data] [19]),
-	.B(n_9600),
-	.Y(n_11588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377990 (
-	.A(\soc_top_xbar_to_lsu[d_data] [21]),
-	.B(n_9600),
-	.Y(n_11586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377991 (
-	.A(\soc_top_xbar_to_lsu[d_data] [30]),
-	.B(n_9598),
-	.Y(n_11585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377993 (
-	.A(\soc_top_xbar_to_lsu[d_data] [28]),
-	.B(n_9598),
-	.Y(n_11583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377995 (
-	.A(\soc_top_xbar_to_lsu[d_data] [26]),
-	.B(n_9598),
-	.Y(n_11581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377996 (
-	.A(\soc_top_xbar_to_lsu[d_data] [25]),
-	.B(n_9598),
-	.Y(n_11580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g377997 (
-	.A(\soc_top_xbar_to_lsu[d_data] [24]),
-	.B(n_9598),
-	.Y(n_11579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g377998 (
-	.A(n_9605),
-	.B(n_9161),
-	.Y(n_11578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378000 (
-	.A(\soc_top_xbar_to_lsu[d_data] [26]),
-	.B(n_9596),
-	.Y(n_11576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378001 (
-	.A(n_11497),
-	.B(n_18180),
-	.Y(n_11575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378002 (
-	.A(n_11496),
-	.B(n_9128),
-	.Y(n_11574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378003 (
-	.A(\soc_top_xbar_to_lsu[d_data] [25]),
-	.B(n_9596),
-	.Y(n_11573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378004 (
-	.A(\soc_top_xbar_to_lsu[d_data] [29]),
-	.B(n_9596),
-	.Y(n_11572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378005 (
-	.A(n_11500),
-	.B(n_9128),
-	.Y(n_11571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378010 (
-	.A(\soc_top_xbar_to_lsu[d_data] [28]),
-	.B(n_9596),
-	.Y(n_11566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378013 (
-	.A(n_11494),
-	.B(n_18180),
-	.Y(n_11563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378014 (
-	.A(\soc_top_xbar_to_lsu[d_data] [26]),
-	.B(n_9601),
-	.Y(n_11562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378016 (
-	.A(\soc_top_xbar_to_lsu[d_data] [24]),
-	.B(n_9601),
-	.Y(n_11560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378017 (
-	.A(n_11493),
-	.B(n_18180),
-	.Y(n_11559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378023 (
-	.A(n_9597),
-	.B(n_9149),
-	.Y(n_11553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378025 (
-	.A(n_9494),
-	.B(n_9149),
-	.Y(n_11602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378026 (
-	.A(n_15244),
-	.B(n_9659),
-	.Y(n_11601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378027 (
-	.A(n_15244),
-	.B(n_9538),
-	.Y(n_11600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378028 (
-	.A(n_18517),
-	.B(n_9673),
-	.Y(n_14956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378031 (
-	.A(\soc_top_xbar_to_lsu[d_data] [16]),
-	.B(n_9596),
-	.Y(n_11550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378035 (
-	.A(\soc_top_xbar_to_lsu[d_data] [18]),
-	.B(n_9630),
-	.Y(n_11546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378037 (
-	.A(\soc_top_xbar_to_lsu[d_data] [16]),
-	.B(n_9630),
-	.Y(n_11544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378039 (
-	.A(n_18517),
-	.B(n_9600),
-	.Y(n_11542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378041 (
-	.A(\soc_top_xbar_to_lsu[d_data] [13]),
-	.B(n_9631),
-	.Y(n_11540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378043 (
-	.A(\soc_top_xbar_to_lsu[d_data] [11]),
-	.B(n_9631),
-	.Y(n_11538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378044 (
-	.A(\soc_top_xbar_to_lsu[d_data] [10]),
-	.B(n_9631),
-	.Y(n_11537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378045 (
-	.A(\soc_top_xbar_to_lsu[d_data] [9]),
-	.B(n_9631),
-	.Y(n_11536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378048 (
-	.A(\soc_top_xbar_to_lsu[d_data] [8]),
-	.B(n_9595),
-	.Y(n_11533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378050 (
-	.A(\soc_top_xbar_to_lsu[d_data] [21]),
-	.B(n_9595),
-	.Y(n_11531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378058 (
-	.A(n_15244),
-	.B(n_18180),
-	.Y(n_11524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378059 (
-	.A(\soc_top_xbar_to_lsu[d_data] [20]),
-	.B(n_9595),
-	.Y(n_11523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378066 (
-	.A(\soc_top_xbar_to_lsu[d_data] [22]),
-	.B(n_9596),
-	.Y(n_11516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378067 (
-	.A(\soc_top_xbar_to_lsu[d_data] [14]),
-	.B(n_9595),
-	.Y(n_11515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378070 (
-	.A(\soc_top_xbar_to_lsu[d_data] [10]),
-	.B(n_9628),
-	.Y(n_11512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378074 (
-	.A(\soc_top_xbar_to_lsu[d_data] [20]),
-	.B(n_9596),
-	.Y(n_11508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378075 (
-	.A(\soc_top_xbar_to_lsu[d_data] [12]),
-	.B(n_9595),
-	.Y(n_11507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378076 (
-	.A(\soc_top_xbar_to_lsu[d_data] [19]),
-	.B(n_9595),
-	.Y(n_11506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378077 (
-	.A(\soc_top_xbar_to_lsu[d_data] [11]),
-	.B(n_18180),
-	.Y(n_11505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378082 (
-	.A(\soc_top_xbar_to_lsu[d_data] [15]),
-	.B(n_9521),
-	.Y(n_11501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378085 (
-	.A(n_11483),
-	.B(n_10194),
-	.C(n_9971),
-	.D(n_9691),
-	.Y(\soc_top_xbar_to_lsu[d_data] [26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378086 (
-	.A(n_11479),
-	.B(n_10192),
-	.C(n_9972),
-	.D(n_9682),
-	.Y(\soc_top_xbar_to_lsu[d_data] [25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378087 (
-	.A(n_11478),
-	.B(n_10175),
-	.C(n_9800),
-	.D(n_9746),
-	.Y(n_11500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378088 (
-	.A(n_11475),
-	.B(n_10189),
-	.C(n_9969),
-	.D(n_9681),
-	.Y(\soc_top_xbar_to_lsu[d_data] [29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378089 (
-	.A(n_11485),
-	.B(n_10172),
-	.C(n_9795),
-	.D(n_9744),
-	.Y(n_11499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378090 (
-	.A(n_11464),
-	.B(n_10188),
-	.C(n_9683),
-	.D(n_9967),
-	.Y(\soc_top_xbar_to_lsu[d_data] [24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378091 (
-	.A(n_11467),
-	.B(n_10171),
-	.C(n_9791),
-	.D(n_9710),
-	.Y(n_11497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378092 (
-	.A(n_11468),
-	.B(n_10187),
-	.C(n_9986),
-	.D(n_9680),
-	.Y(\soc_top_xbar_to_lsu[d_data] [30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378093 (
-	.A(n_11482),
-	.B(n_10177),
-	.C(n_9802),
-	.D(n_9735),
-	.Y(n_11496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378094 (
-	.A(n_11477),
-	.B(n_10191),
-	.C(n_9970),
-	.D(n_9687),
-	.Y(\soc_top_xbar_to_lsu[d_data] [21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378095 (
-	.A(n_11480),
-	.B(n_10176),
-	.C(n_9742),
-	.D(n_9803),
-	.Y(\soc_top_xbar_to_lsu[d_data] [10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g378096 (
-	.A(n_11469),
-	.B(n_10165),
-	.C(n_9789),
-	.D(n_9718),
-	.Y(\soc_top_xbar_to_lsu[d_data] [8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378097 (
-	.A(n_11474),
-	.B(n_10174),
-	.C(n_9798),
-	.D(n_9717),
-	.Y(\soc_top_xbar_to_lsu[d_data] [9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g378098 (
-	.A(n_11465),
-	.B(n_10185),
-	.C(n_9964),
-	.D(n_9686),
-	.Y(\soc_top_xbar_to_lsu[d_data] [20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378099 (
-	.A(n_11466),
-	.B(n_10178),
-	.C(n_9734),
-	.D(n_9809),
-	.Y(\soc_top_xbar_to_lsu[d_data] [14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378100 (
-	.A(n_11473),
-	.B(n_10173),
-	.C(n_9796),
-	.D(n_9721),
-	.Y(\soc_top_xbar_to_lsu[d_data] [13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g378101 (
-	.A(n_9149),
-	.Y(\soc_top_xbar_to_lsu[d_data] [31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g378102 (
-	.A(n_18517),
-	.Y(n_9161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g378103 (
-	.A(n_11489),
-	.Y(\soc_top_xbar_to_lsu[d_data] [15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g378104 (
-	.A(n_11471),
-	.B(n_10196),
-	.C(n_9966),
-	.D(n_9685),
-	.Y(\soc_top_xbar_to_lsu[d_data] [28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378105 (
-	.A(n_11462),
-	.B(n_10168),
-	.C(n_9790),
-	.D(n_9715),
-	.Y(n_11494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378106 (
-	.A(n_11461),
-	.B(n_10170),
-	.C(n_9719),
-	.D(n_9797),
-	.Y(n_11493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111a_1 g378107 (
-	.A1(n_9747),
-	.A2(n_11456),
-	.B1(n_9679),
-	.C1(n_9898),
-	.D1(n_10182),
-	.X(n_9149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378108 (
-	.A(n_11457),
-	.B(n_10164),
-	.C(n_9786),
-	.D(n_9700),
-	.Y(n_11491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378109 (
-	.A(n_11458),
-	.B(n_10181),
-	.C(n_9973),
-	.D(n_9678),
-	.Y(\soc_top_xbar_to_lsu[d_data] [27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378110 (
-	.A(n_11476),
-	.B(n_10190),
-	.C(n_9851),
-	.D(n_9799),
-	.Y(\soc_top_xbar_to_lsu[d_data] [17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378111 (
-	.A(n_11460),
-	.B(n_10186),
-	.C(n_9968),
-	.D(n_9684),
-	.Y(\soc_top_xbar_to_lsu[d_data] [19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378112 (
-	.A(n_11487),
-	.B(n_10167),
-	.C(n_9788),
-	.Y(n_15244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378113 (
-	.A(n_11481),
-	.B(n_10193),
-	.C(n_9849),
-	.D(n_9801),
-	.Y(\soc_top_xbar_to_lsu[d_data] [18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378114 (
-	.A(n_11459),
-	.B(n_10166),
-	.C(n_9703),
-	.D(n_9787),
-	.Y(\soc_top_xbar_to_lsu[d_data] [11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378115 (
-	.A(n_11470),
-	.B(n_10195),
-	.C(n_9840),
-	.D(n_9793),
-	.Y(\soc_top_xbar_to_lsu[d_data] [16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378116 (
-	.A(n_11463),
-	.B(n_10169),
-	.C(n_9792),
-	.D(n_9709),
-	.Y(\soc_top_xbar_to_lsu[d_data] [12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378117 (
-	.A(n_11472),
-	.B(n_10149),
-	.C(n_9974),
-	.D(n_9690),
-	.Y(\soc_top_xbar_to_lsu[d_data] [22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4_4 g378119 (
-	.A(n_11488),
-	.B(n_9975),
-	.C(n_9794),
-	.D(n_9536),
-	.X(n_11489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378120 (
-	.A(n_9731),
-	.B(n_11484),
-	.Y(n_11488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g378121 (
-	.A1(n_9747),
-	.A2(n_14946),
-	.B1(n_9708),
-	.X(n_11487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378123 (
-	.A(n_17207),
-	.B(n_9748),
-	.Y(n_11485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378124 (
-	.A(n_9747),
-	.B(n_11455),
-	.Y(n_11484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378125 (
-	.A(n_17225),
-	.B(n_9748),
-	.Y(n_11483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378126 (
-	.A(n_17204),
-	.B(n_9748),
-	.Y(n_11482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378127 (
-	.A(n_17218),
-	.B(n_9748),
-	.Y(n_11481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378128 (
-	.A(n_17211),
-	.B(n_9748),
-	.Y(n_11480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378129 (
-	.A(n_18322),
-	.B(n_9748),
-	.Y(n_11479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378130 (
-	.A(n_17203),
-	.B(n_9748),
-	.Y(n_11478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378131 (
-	.A(n_17221),
-	.B(n_9748),
-	.Y(n_11477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378132 (
-	.A(n_17217),
-	.B(n_9748),
-	.Y(n_11476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378133 (
-	.A(n_18323),
-	.B(n_9748),
-	.Y(n_11475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378134 (
-	.A(n_17210),
-	.B(n_9748),
-	.Y(n_11474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378135 (
-	.A(n_17214),
-	.B(n_9748),
-	.Y(n_11473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378136 (
-	.A(n_17222),
-	.B(n_9748),
-	.Y(n_11472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378137 (
-	.A(n_18324),
-	.B(n_9748),
-	.Y(n_11471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378138 (
-	.A(n_17216),
-	.B(n_9748),
-	.Y(n_11470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378139 (
-	.A(n_17209),
-	.B(n_9748),
-	.Y(n_11469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378140 (
-	.A(n_18518),
-	.B(n_9748),
-	.Y(n_11468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378141 (
-	.A(n_17202),
-	.B(n_9748),
-	.Y(n_11467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378142 (
-	.A(n_17215),
-	.B(n_9748),
-	.Y(n_11466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378143 (
-	.A(n_17220),
-	.B(n_9748),
-	.Y(n_11465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378144 (
-	.A(n_17223),
-	.B(n_9748),
-	.Y(n_11464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378145 (
-	.A(n_17213),
-	.B(n_9748),
-	.Y(n_11463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378146 (
-	.A(n_17208),
-	.B(n_9748),
-	.Y(n_11462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378147 (
-	.A(n_17206),
-	.B(n_9748),
-	.Y(n_11461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378148 (
-	.A(n_17219),
-	.B(n_9748),
-	.Y(n_11460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378149 (
-	.A(n_17212),
-	.B(n_9748),
-	.Y(n_11459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378150 (
-	.A(n_18325),
-	.B(n_9748),
-	.Y(n_11458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378151 (
-	.A(n_17205),
-	.B(n_9748),
-	.Y(n_11457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378168 (
-	.A(n_11432),
-	.B(n_11433),
-	.Y(n_17214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378169 (
-	.A(n_11397),
-	.B(n_9919),
-	.Y(n_14958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378170 (
-	.A(n_11425),
-	.B(n_9915),
-	.Y(n_14946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g378171 (
-	.A1(n_9446),
-	.A2(n_9447),
-	.B1(n_9917),
-	.Y(n_11456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378172 (
-	.A(n_11429),
-	.B(n_11440),
-	.Y(n_17222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378173 (
-	.A(n_11453),
-	.B(n_11452),
-	.Y(n_17225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378174 (
-	.A(n_11450),
-	.B(n_11451),
-	.Y(n_17204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378175 (
-	.A(n_11448),
-	.B(n_11449),
-	.Y(n_17218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378176 (
-	.A(n_11447),
-	.B(n_11446),
-	.Y(n_17211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378178 (
-	.A(n_11441),
-	.B(n_11442),
-	.Y(n_17203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378179 (
-	.A(n_11454),
-	.B(n_11443),
-	.Y(n_17221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378180 (
-	.A(n_11439),
-	.B(n_11438),
-	.Y(n_17217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378182 (
-	.A(n_11434),
-	.B(n_11435),
-	.Y(n_17210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378183 (
-	.A(n_11398),
-	.B(n_9914),
-	.Y(n_11455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378200 (
-	.A(n_11399),
-	.B(n_11400),
-	.Y(n_17205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378201 (
-	.A(n_11427),
-	.B(n_11428),
-	.Y(n_17223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378202 (
-	.A(n_11426),
-	.B(n_11396),
-	.Y(n_17216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378203 (
-	.A(n_11423),
-	.B(n_11424),
-	.Y(n_17209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378205 (
-	.A(n_11420),
-	.B(n_11421),
-	.Y(n_17202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378206 (
-	.A(n_11416),
-	.B(n_11417),
-	.Y(n_17220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378207 (
-	.A(n_11415),
-	.B(n_11418),
-	.Y(n_17215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378209 (
-	.A(n_11411),
-	.B(n_11412),
-	.Y(n_17213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378210 (
-	.A(n_11408),
-	.B(n_11410),
-	.Y(n_17208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378211 (
-	.A(n_11407),
-	.B(n_11409),
-	.Y(n_17206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378212 (
-	.A(n_11405),
-	.B(n_11406),
-	.Y(n_17219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378213 (
-	.A(n_11403),
-	.B(n_11404),
-	.Y(n_17212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378215 (
-	.A(n_11430),
-	.B(n_11431),
-	.Y(n_17207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378216 (
-	.A(n_11389),
-	.B(soc_top_u_dccm_dout_2[21]),
-	.Y(n_11454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378217 (
-	.A(n_11384),
-	.B(soc_top_u_dccm_dout_2[26]),
-	.Y(n_11453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378218 (
-	.A(n_11388),
-	.B(soc_top_u_dccm_dout_1[26]),
-	.Y(n_11452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378219 (
-	.A(n_11386),
-	.B(soc_top_u_dccm_dout_1[2]),
-	.Y(n_11451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378220 (
-	.A(n_18179),
-	.B(soc_top_u_dccm_dout_2[2]),
-	.Y(n_11450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378221 (
-	.A(n_11385),
-	.B(soc_top_u_dccm_dout_1[18]),
-	.Y(n_11449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378222 (
-	.A(n_11389),
-	.B(soc_top_u_dccm_dout_2[18]),
-	.Y(n_11448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378223 (
-	.A(n_11383),
-	.B(soc_top_u_dccm_dout_2[10]),
-	.Y(n_11447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378224 (
-	.A(n_11387),
-	.B(soc_top_u_dccm_dout_1[10]),
-	.Y(n_11446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378227 (
-	.A(n_11385),
-	.B(soc_top_u_dccm_dout_1[21]),
-	.Y(n_11443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378228 (
-	.A(n_11386),
-	.B(soc_top_u_dccm_dout_1[1]),
-	.Y(n_11442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378229 (
-	.A(n_18179),
-	.B(soc_top_u_dccm_dout_2[1]),
-	.Y(n_11441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378230 (
-	.A(n_11385),
-	.B(soc_top_u_dccm_dout_1[22]),
-	.Y(n_11440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378231 (
-	.A(n_11389),
-	.B(soc_top_u_dccm_dout_2[17]),
-	.Y(n_11439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378232 (
-	.A(n_11385),
-	.B(soc_top_u_dccm_dout_1[17]),
-	.Y(n_11438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378235 (
-	.A(n_11387),
-	.B(soc_top_u_dccm_dout_1[9]),
-	.Y(n_11435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378236 (
-	.A(n_11383),
-	.B(soc_top_u_dccm_dout_2[9]),
-	.Y(n_11434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378237 (
-	.A(n_11387),
-	.B(soc_top_u_dccm_dout_1[13]),
-	.Y(n_11433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378238 (
-	.A(n_11383),
-	.B(soc_top_u_dccm_dout_2[13]),
-	.Y(n_11432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378239 (
-	.A(n_11386),
-	.B(soc_top_u_dccm_dout_1[5]),
-	.Y(n_11431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378240 (
-	.A(n_18179),
-	.B(soc_top_u_dccm_dout_2[5]),
-	.Y(n_11430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378241 (
-	.A(n_11389),
-	.B(soc_top_u_dccm_dout_2[22]),
-	.Y(n_11429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378242 (
-	.A(n_11388),
-	.B(soc_top_u_dccm_dout_1[24]),
-	.Y(n_11428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378243 (
-	.A(n_11384),
-	.B(soc_top_u_dccm_dout_2[24]),
-	.Y(n_11427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378244 (
-	.A(n_11389),
-	.B(soc_top_u_dccm_dout_2[16]),
-	.Y(n_11426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378245 (
-	.A(n_11390),
-	.B(n_11395),
-	.Y(n_11425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378246 (
-	.A(n_11387),
-	.B(soc_top_u_dccm_dout_1[8]),
-	.Y(n_11424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378247 (
-	.A(n_11383),
-	.B(soc_top_u_dccm_dout_2[8]),
-	.Y(n_11423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378249 (
-	.A(n_11386),
-	.B(soc_top_u_dccm_dout_1[0]),
-	.Y(n_11421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378250 (
-	.A(n_18179),
-	.B(soc_top_u_dccm_dout_2[0]),
-	.Y(n_11420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378252 (
-	.A(n_11387),
-	.B(soc_top_u_dccm_dout_1[14]),
-	.Y(n_11418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378253 (
-	.A(n_11385),
-	.B(soc_top_u_dccm_dout_1[20]),
-	.Y(n_11417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378254 (
-	.A(n_11389),
-	.B(soc_top_u_dccm_dout_2[20]),
-	.Y(n_11416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378255 (
-	.A(n_11383),
-	.B(soc_top_u_dccm_dout_2[14]),
-	.Y(n_11415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378258 (
-	.A(n_11387),
-	.B(soc_top_u_dccm_dout_1[12]),
-	.Y(n_11412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378259 (
-	.A(n_11383),
-	.B(soc_top_u_dccm_dout_2[12]),
-	.Y(n_11411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378260 (
-	.A(n_11386),
-	.B(soc_top_u_dccm_dout_1[6]),
-	.Y(n_11410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378261 (
-	.A(n_11386),
-	.B(soc_top_u_dccm_dout_1[4]),
-	.Y(n_11409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378262 (
-	.A(n_18179),
-	.B(soc_top_u_dccm_dout_2[6]),
-	.Y(n_11408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378263 (
-	.A(n_18179),
-	.B(soc_top_u_dccm_dout_2[4]),
-	.Y(n_11407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378264 (
-	.A(n_11385),
-	.B(soc_top_u_dccm_dout_1[19]),
-	.Y(n_11406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378265 (
-	.A(n_11389),
-	.B(soc_top_u_dccm_dout_2[19]),
-	.Y(n_11405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378266 (
-	.A(n_11387),
-	.B(soc_top_u_dccm_dout_1[11]),
-	.Y(n_11404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378267 (
-	.A(n_11383),
-	.B(soc_top_u_dccm_dout_2[11]),
-	.Y(n_11403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378270 (
-	.A(n_11386),
-	.B(soc_top_u_dccm_dout_1[3]),
-	.Y(n_11400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378271 (
-	.A(n_18179),
-	.B(soc_top_u_dccm_dout_2[3]),
-	.Y(n_11399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378272 (
-	.A(n_11391),
-	.B(n_11394),
-	.Y(n_11398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378273 (
-	.A(n_11392),
-	.B(n_11393),
-	.Y(n_11397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378274 (
-	.A(n_11385),
-	.B(soc_top_u_dccm_dout_1[16]),
-	.Y(n_11396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378275 (
-	.A(n_11376),
-	.B(soc_top_u_dccm_dout_1[7]),
-	.Y(n_11395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378276 (
-	.A(n_11376),
-	.B(soc_top_u_dccm_dout_1[15]),
-	.Y(n_11394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378277 (
-	.A(n_11376),
-	.B(soc_top_u_dccm_dout_1[23]),
-	.Y(n_11393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378278 (
-	.A(n_11375),
-	.B(soc_top_u_dccm_dout_2[23]),
-	.Y(n_11392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378279 (
-	.A(n_11375),
-	.B(soc_top_u_dccm_dout_2[15]),
-	.Y(n_11391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378280 (
-	.A(n_11375),
-	.B(soc_top_u_dccm_dout_2[7]),
-	.Y(n_11390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g378283 (
-	.A(n_11381),
-	.Y(n_11389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g378284 (
-	.A(n_11380),
-	.Y(n_11384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g378285 (
-	.A(n_11379),
-	.Y(n_11383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378287 (
-	.A(n_11375),
-	.B(n_9919),
-	.Y(n_11381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378288 (
-	.A(n_9916),
-	.B(n_11377),
-	.Y(n_11388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378289 (
-	.A(n_9913),
-	.B(n_11377),
-	.Y(n_11387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g378290 (
-	.A(n_11376),
-	.B(n_9915),
-	.X(n_11386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378291 (
-	.A(n_9918),
-	.B(n_11377),
-	.Y(n_11385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378292 (
-	.A(n_11375),
-	.B(n_9917),
-	.Y(n_11380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378293 (
-	.A(n_11375),
-	.B(n_9914),
-	.Y(n_11379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g378295 (
-	.A(n_11377),
-	.Y(n_11376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g378296 (
-	.A(soc_top_u_dccm_n_65),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(n_11377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g378297 (
-	.A(n_11374),
-	.Y(n_11375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378298 (
-	.A(FE_DBTN27_soc_top_u_dccm_n_65),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(n_11374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g378300 (
-	.A(n_11372),
-	.B(\soc_top_lsu_to_xbar[a_address] [12]),
-	.Y(soc_top_u_dccm_n_65), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g378302 (
-	.A(n_11356),
-	.Y(n_11372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378303 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [8]),
-	.B1(n_11282),
-	.C1(n_11307),
-	.D1(n_11260),
-	.Y(n_11371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378304 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [30]),
-	.B1(n_11298),
-	.C1(n_11333),
-	.D1(n_11299),
-	.Y(n_11370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378305 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [17]),
-	.B1(n_11295),
-	.C1(n_11334),
-	.D1(n_11296),
-	.Y(n_11369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378306 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [16]),
-	.B1(n_11292),
-	.C1(n_11332),
-	.D1(n_11294),
-	.Y(n_11368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378307 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [15]),
-	.B1(n_11289),
-	.C1(n_11331),
-	.D1(n_11290),
-	.Y(n_11367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378308 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [26]),
-	.B1(n_11286),
-	.C1(n_11330),
-	.D1(n_11287),
-	.Y(n_11366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378309 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [14]),
-	.B1(n_11283),
-	.C1(n_11329),
-	.D1(n_11284),
-	.Y(n_11365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378310 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [13]),
-	.B1(n_11280),
-	.C1(n_11328),
-	.D1(n_11281),
-	.Y(n_11364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378311 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [12]),
-	.B1(n_11277),
-	.C1(n_11327),
-	.D1(n_11278),
-	.Y(n_11363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378312 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [27]),
-	.B1(n_11301),
-	.C1(n_11335),
-	.D1(n_11304),
-	.Y(n_11362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378313 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [25]),
-	.B1(n_11272),
-	.C1(n_11325),
-	.D1(n_11275),
-	.Y(n_11361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378314 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [11]),
-	.B1(n_11273),
-	.C1(n_11326),
-	.D1(n_11274),
-	.Y(n_11360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378315 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [10]),
-	.B1(n_11267),
-	.C1(n_11324),
-	.D1(n_11268),
-	.Y(n_11359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378316 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [29]),
-	.B1(n_11265),
-	.C1(n_11337),
-	.D1(n_11266),
-	.Y(n_11358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378317 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [9]),
-	.B1(n_11263),
-	.C1(n_11323),
-	.D1(n_11264),
-	.Y(n_11357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378318 (
-	.A(n_11212),
-	.B(n_11339),
-	.Y(n_11356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378319 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [18]),
-	.B1(n_11303),
-	.C1(n_11336),
-	.D1(n_11213),
-	.Y(n_11355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378320 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [7]),
-	.B1(n_11254),
-	.C1(n_11320),
-	.D1(n_11255),
-	.Y(n_11354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378321 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [6]),
-	.B1(n_11251),
-	.C1(n_11319),
-	.D1(n_11252),
-	.Y(n_11353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378322 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [23]),
-	.B1(n_11248),
-	.C1(n_11318),
-	.D1(n_11249),
-	.Y(n_11352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378323 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [5]),
-	.B1(n_11245),
-	.C1(n_11317),
-	.D1(n_11246),
-	.Y(n_11351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378324 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [4]),
-	.B1(n_11242),
-	.C1(n_11316),
-	.D1(n_11243),
-	.Y(n_11350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378325 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [22]),
-	.B1(n_11239),
-	.C1(n_11315),
-	.D1(n_11240),
-	.Y(n_11349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378326 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [3]),
-	.B1(n_11259),
-	.C1(n_11314),
-	.D1(n_11237),
-	.Y(n_11348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378327 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [28]),
-	.B1(n_11233),
-	.C1(n_11313),
-	.D1(n_11234),
-	.Y(n_11347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378328 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [2]),
-	.B1(n_11231),
-	.C1(n_11312),
-	.D1(n_11232),
-	.Y(n_11346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378329 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [21]),
-	.B1(n_11227),
-	.C1(n_11311),
-	.D1(n_11228),
-	.Y(n_11345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378330 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [1]),
-	.B1(n_11223),
-	.C1(n_11310),
-	.D1(n_11224),
-	.Y(n_11344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378331 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [0]),
-	.B1(n_11221),
-	.C1(n_11309),
-	.D1(n_11222),
-	.Y(n_11343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378332 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [20]),
-	.B1(n_11218),
-	.C1(n_11308),
-	.D1(n_11219),
-	.Y(n_11342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378333 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [19]),
-	.B1(n_11215),
-	.C1(n_11322),
-	.D1(n_11216),
-	.Y(n_11341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g378334 (
-	.A1(n_10599),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [24]),
-	.B1(n_11256),
-	.C1(n_11321),
-	.D1(n_11257),
-	.Y(n_11340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378335 (
-	.A(n_17434),
-	.B(n_15248),
-	.Y(n_11339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g378336 (
-	.A(n_17434),
-	.Y(\soc_top_lsu_to_xbar[a_valid] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378337 (
-	.A(n_11261),
-	.B(n_11180),
-	.C(n_10921),
-	.D(n_10922),
-	.Y(n_11337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378338 (
-	.A(n_11302),
-	.B(n_11178),
-	.C(n_11103),
-	.D(n_11105),
-	.Y(n_11336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378339 (
-	.A(n_11300),
-	.B(n_11177),
-	.C(n_11089),
-	.D(n_11091),
-	.Y(n_11335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378340 (
-	.A(n_11297),
-	.B(n_11176),
-	.C(n_11082),
-	.D(n_11083),
-	.Y(n_11334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378341 (
-	.A(n_11293),
-	.B(n_11174),
-	.C(n_11050),
-	.D(n_11051),
-	.Y(n_11333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378342 (
-	.A(n_11291),
-	.B(n_11175),
-	.C(n_11059),
-	.D(n_11060),
-	.Y(n_11332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378343 (
-	.A(n_11288),
-	.B(n_11173),
-	.C(n_11037),
-	.D(n_11038),
-	.Y(n_11331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378344 (
-	.A(n_11285),
-	.B(n_11172),
-	.C(n_11020),
-	.D(n_11022),
-	.Y(n_11330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378345 (
-	.A(n_11305),
-	.B(n_11171),
-	.C(n_11011),
-	.D(n_11012),
-	.Y(n_11329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378346 (
-	.A(n_11279),
-	.B(n_11170),
-	.C(n_11000),
-	.D(n_11001),
-	.Y(n_11328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378347 (
-	.A(n_11276),
-	.B(n_11169),
-	.C(n_10984),
-	.D(n_10985),
-	.Y(n_11327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378348 (
-	.A(n_11271),
-	.B(n_11168),
-	.C(n_10963),
-	.D(n_10965),
-	.Y(n_11326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378349 (
-	.A(n_11270),
-	.B(n_11167),
-	.C(n_10957),
-	.D(n_10958),
-	.Y(n_11325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378350 (
-	.A(n_11269),
-	.B(n_11166),
-	.C(n_10954),
-	.D(n_10955),
-	.Y(n_11324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378351 (
-	.A(n_11262),
-	.B(n_11165),
-	.C(n_10924),
-	.D(n_10925),
-	.Y(n_11323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378353 (
-	.A(n_11214),
-	.B(n_11133),
-	.C(n_10717),
-	.D(n_10718),
-	.Y(n_11322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378354 (
-	.A(n_11258),
-	.B(n_11162),
-	.C(n_10900),
-	.D(n_10901),
-	.Y(n_11321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378355 (
-	.A(n_11253),
-	.B(n_10884),
-	.C(n_10883),
-	.D(n_11161),
-	.Y(n_11320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378356 (
-	.A(n_11250),
-	.B(n_10871),
-	.C(n_10870),
-	.D(n_11160),
-	.Y(n_11319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378357 (
-	.A(n_11247),
-	.B(n_11159),
-	.C(n_10854),
-	.D(n_10855),
-	.Y(n_11318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378358 (
-	.A(n_11244),
-	.B(n_10845),
-	.C(n_10844),
-	.D(n_11158),
-	.Y(n_11317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378359 (
-	.A(n_11241),
-	.B(n_10831),
-	.C(n_10830),
-	.D(n_11157),
-	.Y(n_11316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378360 (
-	.A(n_11238),
-	.B(n_11156),
-	.C(n_10817),
-	.D(n_10818),
-	.Y(n_11315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378361 (
-	.A(n_11235),
-	.B(n_10806),
-	.C(n_10805),
-	.D(n_11155),
-	.Y(n_11314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378362 (
-	.A(n_11230),
-	.B(n_11153),
-	.C(n_10771),
-	.D(n_10775),
-	.Y(n_11313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378363 (
-	.A(n_11229),
-	.B(n_11154),
-	.C(n_10785),
-	.D(n_10786),
-	.Y(n_11312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378364 (
-	.A(n_11226),
-	.B(n_11152),
-	.C(n_10765),
-	.D(n_10766),
-	.Y(n_11311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378365 (
-	.A(n_11225),
-	.B(n_11151),
-	.C(n_10762),
-	.D(n_10839),
-	.Y(n_11310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378366 (
-	.A(n_11217),
-	.B(n_11179),
-	.C(n_10739),
-	.D(n_10741),
-	.Y(n_11309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378367 (
-	.A(n_11220),
-	.B(n_11150),
-	.C(n_10738),
-	.D(n_10740),
-	.Y(n_11308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378368 (
-	.A(n_11236),
-	.B(n_11163),
-	.C(n_10906),
-	.D(n_10907),
-	.Y(n_11307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g378369 (
-	.A(n_11306),
-	.Y(n_15125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378370 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [14]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [14]),
-	.C1(n_11202),
-	.Y(n_11305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378371 (
-	.A(n_10647),
-	.B(n_11111),
-	.C(n_11106),
-	.D(n_11104),
-	.Y(n_11304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378372 (
-	.A(n_11110),
-	.B(n_11109),
-	.C(n_11108),
-	.D(n_11107),
-	.Y(n_11303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378373 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [18]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [18]),
-	.C1(n_11209),
-	.Y(n_11302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378374 (
-	.A(n_11102),
-	.B(n_11098),
-	.C(n_11095),
-	.D(n_11100),
-	.Y(n_11301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378375 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [27]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [27]),
-	.C1(n_11208),
-	.Y(n_11300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378376 (
-	.A(n_10646),
-	.B(n_11090),
-	.C(n_11087),
-	.D(n_11076),
-	.Y(n_11299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378377 (
-	.A(n_11072),
-	.B(n_11071),
-	.C(n_11061),
-	.D(n_11070),
-	.Y(n_11298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378378 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [17]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [17]),
-	.C1(n_11207),
-	.Y(n_11297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378379 (
-	.A(n_10645),
-	.B(n_11080),
-	.C(n_11079),
-	.D(n_11078),
-	.Y(n_11296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378380 (
-	.A(n_11077),
-	.B(n_11075),
-	.C(n_11074),
-	.D(n_11073),
-	.Y(n_11295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378381 (
-	.A(n_10644),
-	.B(n_11068),
-	.C(n_11067),
-	.D(n_11066),
-	.Y(n_11294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378382 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [30]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [30]),
-	.C1(n_11206),
-	.Y(n_11293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378383 (
-	.A(n_11065),
-	.B(n_11064),
-	.C(n_11062),
-	.D(n_11063),
-	.Y(n_11292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378384 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [16]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [16]),
-	.C1(n_11205),
-	.Y(n_11291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378385 (
-	.A(n_10643),
-	.B(n_11049),
-	.C(n_11048),
-	.D(n_11047),
-	.Y(n_11290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378386 (
-	.A(n_11046),
-	.B(n_11045),
-	.C(n_11044),
-	.D(n_11043),
-	.Y(n_11289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378387 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [15]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [15]),
-	.C1(n_11204),
-	.Y(n_11288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378388 (
-	.A(n_10642),
-	.B(n_11035),
-	.C(n_11034),
-	.D(n_11033),
-	.Y(n_11287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378389 (
-	.A(n_11032),
-	.B(n_11031),
-	.C(n_11030),
-	.D(n_11029),
-	.Y(n_11286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378390 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [26]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [26]),
-	.C1(n_11203),
-	.Y(n_11285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378391 (
-	.A(n_10641),
-	.B(n_11025),
-	.C(n_11024),
-	.D(n_11023),
-	.Y(n_11284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378392 (
-	.A(n_11021),
-	.B(n_11019),
-	.C(n_11016),
-	.D(n_11017),
-	.Y(n_11283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378393 (
-	.A(n_10914),
-	.B(n_10913),
-	.C(n_10911),
-	.D(n_10912),
-	.Y(n_11282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378394 (
-	.A(n_10640),
-	.B(n_11009),
-	.C(n_11008),
-	.D(n_11007),
-	.Y(n_11281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378395 (
-	.A(n_11006),
-	.B(n_11005),
-	.C(n_11003),
-	.D(n_11004),
-	.Y(n_11280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378396 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [13]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [13]),
-	.C1(n_11201),
-	.Y(n_11279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378397 (
-	.A(n_10664),
-	.B(n_10995),
-	.C(n_10994),
-	.D(n_10993),
-	.Y(n_11278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378398 (
-	.A(n_10992),
-	.B(n_10991),
-	.C(n_10990),
-	.D(n_10989),
-	.Y(n_11277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378399 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [12]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [12]),
-	.C1(n_11200),
-	.Y(n_11276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378400 (
-	.A(n_10637),
-	.B(n_10975),
-	.C(n_10978),
-	.D(n_10973),
-	.Y(n_11275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378401 (
-	.A(n_10638),
-	.B(n_10981),
-	.C(n_10980),
-	.D(n_10979),
-	.Y(n_11274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378402 (
-	.A(n_10977),
-	.B(n_10976),
-	.C(n_10974),
-	.D(n_10972),
-	.Y(n_11273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378403 (
-	.A(n_10971),
-	.B(n_10969),
-	.C(n_10967),
-	.D(n_10964),
-	.Y(n_11272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378404 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [11]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [11]),
-	.C1(n_11199),
-	.Y(n_11271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378405 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [25]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [25]),
-	.C1(n_11198),
-	.Y(n_11270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378406 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [10]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [10]),
-	.C1(n_11210),
-	.Y(n_11269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378407 (
-	.A(n_10635),
-	.B(n_10949),
-	.C(n_10947),
-	.D(n_10948),
-	.Y(n_11268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378408 (
-	.A(n_10946),
-	.B(n_10945),
-	.C(n_10944),
-	.D(n_10943),
-	.Y(n_11267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378409 (
-	.A(n_10634),
-	.B(n_10942),
-	.C(n_10940),
-	.D(n_10941),
-	.Y(n_11266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378410 (
-	.A(n_10938),
-	.B(n_10930),
-	.C(n_10929),
-	.D(n_10935),
-	.Y(n_11265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378411 (
-	.A(n_10633),
-	.B(n_10939),
-	.C(n_10937),
-	.D(n_10936),
-	.Y(n_11264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378412 (
-	.A(n_10934),
-	.B(n_10933),
-	.C(n_10931),
-	.D(n_10932),
-	.Y(n_11263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378413 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [9]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [9]),
-	.C1(n_11211),
-	.Y(n_11262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378414 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [29]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [29]),
-	.C1(n_11197),
-	.Y(n_11261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378415 (
-	.A(n_10632),
-	.B(n_10916),
-	.C(n_11117),
-	.D(n_10813),
-	.Y(n_11260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378416 (
-	.A(n_18236),
-	.B(n_14943),
-	.Y(n_11306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378417 (
-	.A(n_10810),
-	.B(n_10809),
-	.C(n_10808),
-	.D(n_10807),
-	.Y(n_11259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378418 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [24]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [24]),
-	.C1(n_11195),
-	.Y(n_11258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378419 (
-	.A(n_10630),
-	.B(n_10898),
-	.C(n_10896),
-	.D(n_10897),
-	.Y(n_11257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378420 (
-	.A(n_10895),
-	.B(n_10894),
-	.C(n_10887),
-	.D(n_10892),
-	.Y(n_11256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378421 (
-	.A(n_10636),
-	.B(n_10893),
-	.C(n_10890),
-	.D(n_10891),
-	.Y(n_11255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378422 (
-	.A(n_10889),
-	.B(n_10886),
-	.C(n_10885),
-	.D(n_10888),
-	.Y(n_11254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378423 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [7]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [7]),
-	.C1(n_11194),
-	.Y(n_11253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378424 (
-	.A(n_10629),
-	.B(n_10878),
-	.C(n_10877),
-	.D(n_10876),
-	.Y(n_11252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378425 (
-	.A(n_10875),
-	.B(n_10873),
-	.C(n_10874),
-	.D(n_10872),
-	.Y(n_11251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378426 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [6]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [6]),
-	.C1(n_11193),
-	.Y(n_11250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378427 (
-	.A(n_10628),
-	.B(n_10865),
-	.C(n_10864),
-	.D(n_10863),
-	.Y(n_11249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378428 (
-	.A(n_10862),
-	.B(n_10861),
-	.C(n_10859),
-	.D(n_10860),
-	.Y(n_11248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378429 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [23]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [23]),
-	.C1(n_11192),
-	.Y(n_11247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378430 (
-	.A(n_10627),
-	.B(n_10853),
-	.C(n_10851),
-	.D(n_10850),
-	.Y(n_11246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378431 (
-	.A(n_10849),
-	.B(n_10848),
-	.C(n_10846),
-	.D(n_10847),
-	.Y(n_11245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378432 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [5]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [5]),
-	.C1(n_11191),
-	.Y(n_11244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378433 (
-	.A(n_10626),
-	.B(n_10838),
-	.C(n_10837),
-	.D(n_10836),
-	.Y(n_11243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378434 (
-	.A(n_10835),
-	.B(n_10834),
-	.C(n_10833),
-	.D(n_10832),
-	.Y(n_11242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378435 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [4]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [4]),
-	.C1(n_11190),
-	.Y(n_11241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378436 (
-	.A(n_10625),
-	.B(n_10825),
-	.C(n_10824),
-	.D(n_10823),
-	.Y(n_11240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378437 (
-	.A(n_10822),
-	.B(n_10821),
-	.C(n_10819),
-	.D(n_10820),
-	.Y(n_11239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378438 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [22]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [22]),
-	.C1(n_11189),
-	.Y(n_11238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378439 (
-	.A(n_10631),
-	.B(n_10915),
-	.C(n_10811),
-	.D(n_11002),
-	.Y(n_11237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378440 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [8]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [8]),
-	.C1(n_11196),
-	.Y(n_11236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378441 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [3]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [3]),
-	.C1(n_11188),
-	.Y(n_11235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378442 (
-	.A(n_10624),
-	.B(n_10800),
-	.C(n_10799),
-	.D(n_10798),
-	.Y(n_11234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378443 (
-	.A(n_10797),
-	.B(n_10796),
-	.C(n_10793),
-	.D(n_10795),
-	.Y(n_11233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378444 (
-	.A(n_10623),
-	.B(n_10794),
-	.C(n_10792),
-	.D(n_10791),
-	.Y(n_11232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378445 (
-	.A(n_10790),
-	.B(n_10787),
-	.C(n_10789),
-	.D(n_10788),
-	.Y(n_11231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378446 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [28]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [28]),
-	.C1(n_11187),
-	.Y(n_11230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378447 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [2]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [2]),
-	.C1(n_11186),
-	.Y(n_11229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378448 (
-	.A(n_10622),
-	.B(n_10783),
-	.C(n_10779),
-	.D(n_10777),
-	.Y(n_11228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378449 (
-	.A(n_10776),
-	.B(n_10774),
-	.C(n_10773),
-	.D(n_10772),
-	.Y(n_11227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378450 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [21]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [21]),
-	.C1(n_11185),
-	.Y(n_11226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378451 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [1]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [1]),
-	.C1(n_11184),
-	.Y(n_11225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378452 (
-	.A(n_10621),
-	.B(n_10756),
-	.C(n_10757),
-	.D(n_10758),
-	.Y(n_11224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378453 (
-	.A(n_10755),
-	.B(n_10754),
-	.C(n_10752),
-	.D(n_10753),
-	.Y(n_11223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378454 (
-	.A(n_10620),
-	.B(n_10751),
-	.C(n_10750),
-	.D(n_10749),
-	.Y(n_11222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378455 (
-	.A(n_10748),
-	.B(n_10747),
-	.C(n_10745),
-	.D(n_10746),
-	.Y(n_11221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378456 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [20]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [20]),
-	.C1(n_11182),
-	.Y(n_11220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378457 (
-	.A(n_10619),
-	.B(n_10732),
-	.C(n_10730),
-	.D(n_10731),
-	.Y(n_11219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378458 (
-	.A(n_10728),
-	.B(n_10727),
-	.C(n_10726),
-	.D(n_10729),
-	.Y(n_11218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378459 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [0]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [0]),
-	.C1(n_11183),
-	.Y(n_11217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378460 (
-	.A(n_10618),
-	.B(n_10725),
-	.C(n_10724),
-	.D(n_10723),
-	.Y(n_11216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378461 (
-	.A(n_10722),
-	.B(n_10720),
-	.C(n_10719),
-	.D(n_10721),
-	.Y(n_11215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378462 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [19]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [19]),
-	.C1(n_11181),
-	.Y(n_11214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378463 (
-	.A(n_10617),
-	.B(n_10712),
-	.C(n_11113),
-	.D(n_11112),
-	.Y(n_11213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378465 (
-	.A(n_10928),
-	.B(n_10927),
-	.C(n_10926),
-	.Y(n_11211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378466 (
-	.A(n_10952),
-	.B(n_10950),
-	.C(n_10951),
-	.Y(n_11210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378467 (
-	.A(n_11099),
-	.B(n_11096),
-	.C(n_11097),
-	.Y(n_11209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378468 (
-	.A(n_11094),
-	.B(n_11093),
-	.C(n_11092),
-	.Y(n_11208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378469 (
-	.A(n_11086),
-	.B(n_11084),
-	.C(n_11085),
-	.Y(n_11207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378470 (
-	.A(n_11057),
-	.B(n_11052),
-	.C(n_11053),
-	.Y(n_11206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378471 (
-	.A(n_11056),
-	.B(n_11054),
-	.C(n_11055),
-	.Y(n_11205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378472 (
-	.A(n_11041),
-	.B(n_11039),
-	.C(n_11040),
-	.Y(n_11204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378473 (
-	.A(n_11028),
-	.B(n_11026),
-	.C(n_11027),
-	.Y(n_11203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378474 (
-	.A(n_11015),
-	.B(n_11014),
-	.C(n_11013),
-	.Y(n_11202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378475 (
-	.A(n_10998),
-	.B(n_10996),
-	.C(n_10997),
-	.Y(n_11201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378476 (
-	.A(n_10988),
-	.B(n_10986),
-	.C(n_10987),
-	.Y(n_11200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378477 (
-	.A(n_10970),
-	.B(n_10968),
-	.C(n_10966),
-	.Y(n_11199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378478 (
-	.A(n_10961),
-	.B(n_10959),
-	.C(n_10960),
-	.Y(n_11198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 g378479 (
-	.A(n_14944),
-	.B(n_14975),
-	.X(n_14943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378480 (
-	.A(n_11164),
-	.B(n_9819),
-	.Y(n_11212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378481 (
-	.A(n_10918),
-	.B(n_10919),
-	.C(n_10917),
-	.Y(n_11197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378482 (
-	.A(n_10910),
-	.B(n_10908),
-	.C(n_10909),
-	.Y(n_11196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378483 (
-	.A(n_10904),
-	.B(n_10902),
-	.C(n_10903),
-	.Y(n_11195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378484 (
-	.A(n_10880),
-	.B(n_10879),
-	.C(n_10881),
-	.Y(n_11194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378485 (
-	.A(n_10868),
-	.B(n_10867),
-	.C(n_10866),
-	.Y(n_11193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378486 (
-	.A(n_10858),
-	.B(n_10856),
-	.C(n_10857),
-	.Y(n_11192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378487 (
-	.A(n_10842),
-	.B(n_10841),
-	.C(n_10840),
-	.Y(n_11191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378488 (
-	.A(n_10828),
-	.B(n_10827),
-	.C(n_10826),
-	.Y(n_11190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378489 (
-	.A(n_10815),
-	.B(n_10812),
-	.C(n_10814),
-	.Y(n_11189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378490 (
-	.A(n_10803),
-	.B(n_10802),
-	.C(n_10801),
-	.Y(n_11188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378491 (
-	.A(n_10782),
-	.B(n_10778),
-	.C(n_10780),
-	.Y(n_11187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378492 (
-	.A(n_10982),
-	.B(n_11069),
-	.C(n_10781),
-	.Y(n_11186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378493 (
-	.A(n_10770),
-	.B(n_10768),
-	.C(n_10767),
-	.Y(n_11185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378494 (
-	.A(n_10761),
-	.B(n_10759),
-	.C(n_10760),
-	.Y(n_11184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378495 (
-	.A(n_10744),
-	.B(n_10743),
-	.C(n_10742),
-	.Y(n_11183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378496 (
-	.A(n_10735),
-	.B(n_10733),
-	.C(n_10734),
-	.Y(n_11182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g378497 (
-	.A(n_10715),
-	.B(n_10713),
-	.C(n_10714),
-	.Y(n_11181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378498 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [29]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [29]),
-	.C1(n_10920),
-	.Y(n_11180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378499 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [0]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [0]),
-	.C1(n_10737),
-	.Y(n_11179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378500 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [18]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [18]),
-	.C1(n_11101),
-	.Y(n_11178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378501 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [27]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [27]),
-	.C1(n_11088),
-	.Y(n_11177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378502 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [17]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [17]),
-	.C1(n_11081),
-	.Y(n_11176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378503 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [16]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [16]),
-	.C1(n_11058),
-	.Y(n_11175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378504 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [30]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [30]),
-	.C1(n_11042),
-	.Y(n_11174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378505 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [15]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [15]),
-	.C1(n_11036),
-	.Y(n_11173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378506 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [26]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [26]),
-	.C1(n_11018),
-	.Y(n_11172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378507 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [14]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [14]),
-	.C1(n_11010),
-	.Y(n_11171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378508 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [13]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [13]),
-	.C1(n_10999),
-	.Y(n_11170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378509 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [12]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [12]),
-	.C1(n_10983),
-	.Y(n_11169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378510 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [11]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [11]),
-	.C1(n_10962),
-	.Y(n_11168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378511 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [25]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [25]),
-	.C1(n_10956),
-	.Y(n_11167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378512 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [10]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [10]),
-	.C1(n_10953),
-	.Y(n_11166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378513 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [9]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [9]),
-	.C1(n_10923),
-	.Y(n_11165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g378514 (
-	.A(n_11115),
-	.B(n_11114),
-	.Y(n_11164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378515 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [8]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [8]),
-	.C1(n_10905),
-	.Y(n_11163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378516 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [24]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [24]),
-	.C1(n_10899),
-	.Y(n_11162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378517 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [7]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [7]),
-	.C1(n_10882),
-	.Y(n_11161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378518 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [6]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [6]),
-	.C1(n_10869),
-	.Y(n_11160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378519 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [23]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [23]),
-	.C1(n_10852),
-	.Y(n_11159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378520 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [5]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [5]),
-	.C1(n_10843),
-	.Y(n_11158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378521 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [4]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [4]),
-	.C1(n_10829),
-	.Y(n_11157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378522 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [22]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [22]),
-	.C1(n_10816),
-	.Y(n_11156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378523 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [3]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [3]),
-	.C1(n_10804),
-	.Y(n_11155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378524 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [2]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [2]),
-	.C1(n_10784),
-	.Y(n_11154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378525 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [28]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [28]),
-	.C1(n_10769),
-	.Y(n_11153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378526 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [21]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [21]),
-	.C1(n_10764),
-	.Y(n_11152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378527 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [1]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [1]),
-	.C1(n_10763),
-	.Y(n_11151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378528 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [20]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [20]),
-	.C1(n_10736),
-	.Y(n_11150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g378529 (
-	.A(n_11116),
-	.B(soc_top_u_top_u_core_id_stage_i_wb_exception),
-	.X(n_14975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378530 (
-	.A(n_10675),
-	.B(n_10673),
-	.C(n_10484),
-	.D(n_10483),
-	.Y(n_11149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378531 (
-	.A(n_10708),
-	.B(n_10707),
-	.C(n_10515),
-	.D(n_10516),
-	.Y(n_11148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378532 (
-	.A(n_10704),
-	.B(n_10706),
-	.C(n_10513),
-	.D(n_10514),
-	.Y(n_11147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378533 (
-	.A(n_10703),
-	.B(n_10702),
-	.C(n_10512),
-	.D(n_10701),
-	.Y(n_11146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378534 (
-	.A(n_10700),
-	.B(n_10699),
-	.C(n_10510),
-	.D(n_10511),
-	.Y(n_11145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378535 (
-	.A(n_10698),
-	.B(n_10695),
-	.C(n_10505),
-	.D(n_10506),
-	.Y(n_11144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378536 (
-	.A(n_10697),
-	.B(n_10696),
-	.C(n_10507),
-	.D(n_10508),
-	.Y(n_11143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378537 (
-	.A(n_10692),
-	.B(n_10694),
-	.C(n_10501),
-	.D(n_10503),
-	.Y(n_11142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378538 (
-	.A(n_10693),
-	.B(n_10691),
-	.C(n_10502),
-	.D(n_10504),
-	.Y(n_11141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378539 (
-	.A(n_10690),
-	.B(n_10689),
-	.C(n_10498),
-	.D(n_10500),
-	.Y(n_11140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378540 (
-	.A(n_10711),
-	.B(n_10685),
-	.C(n_10495),
-	.D(n_10496),
-	.Y(n_11139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378541 (
-	.A(n_10683),
-	.B(n_10684),
-	.C(n_10491),
-	.D(n_10492),
-	.Y(n_11138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378542 (
-	.A(n_10681),
-	.B(n_10682),
-	.C(n_10489),
-	.D(n_10490),
-	.Y(n_11137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378543 (
-	.A(n_10680),
-	.B(n_10679),
-	.C(n_10487),
-	.D(n_10488),
-	.Y(n_11136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378544 (
-	.A(n_10678),
-	.B(n_10677),
-	.C(n_10485),
-	.D(n_10486),
-	.Y(n_11135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378545 (
-	.A(n_10674),
-	.B(n_10676),
-	.C(n_10482),
-	.D(n_10493),
-	.Y(n_11134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g378546 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [19]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [19]),
-	.C1(n_10716),
-	.Y(n_11133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378547 (
-	.A(n_10671),
-	.B(n_10672),
-	.C(n_10478),
-	.D(n_10480),
-	.Y(n_11132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378548 (
-	.A(n_10670),
-	.B(n_10669),
-	.C(n_10481),
-	.D(n_10479),
-	.Y(n_11131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378549 (
-	.A(n_10668),
-	.B(n_10667),
-	.C(n_10477),
-	.D(n_10476),
-	.Y(n_11130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378550 (
-	.A(n_10688),
-	.B(n_10687),
-	.C(n_10499),
-	.D(n_10466),
-	.Y(n_11129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378551 (
-	.A(n_10658),
-	.B(n_10660),
-	.C(n_10473),
-	.D(n_10475),
-	.Y(n_11128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378552 (
-	.A(n_10666),
-	.B(n_10665),
-	.C(n_10474),
-	.D(n_10686),
-	.Y(n_11127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378553 (
-	.A(n_10705),
-	.B(n_10709),
-	.C(n_10472),
-	.D(n_10494),
-	.Y(n_11126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378554 (
-	.A(n_10639),
-	.B(n_10663),
-	.C(n_10468),
-	.D(n_10471),
-	.Y(n_11125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378555 (
-	.A(n_10662),
-	.B(n_10661),
-	.C(n_10469),
-	.D(n_10470),
-	.Y(n_11124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378556 (
-	.A(n_10659),
-	.B(n_10710),
-	.C(n_10509),
-	.D(n_10497),
-	.Y(n_11123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378557 (
-	.A(n_10653),
-	.B(n_10657),
-	.C(n_10467),
-	.D(n_10461),
-	.Y(n_11122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378558 (
-	.A(n_10656),
-	.B(n_10655),
-	.C(n_10462),
-	.D(n_10465),
-	.Y(n_11121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378559 (
-	.A(n_10654),
-	.B(n_10652),
-	.C(n_10463),
-	.D(n_10464),
-	.Y(n_11120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378560 (
-	.A(n_10651),
-	.B(n_10650),
-	.C(n_10459),
-	.D(n_10460),
-	.Y(n_11119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378561 (
-	.A(n_10649),
-	.B(n_10648),
-	.C(n_10457),
-	.D(n_10458),
-	.Y(n_11118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378562 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [8]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [8]),
-	.Y(n_11117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g378563 (
-	.A(n_14915),
-	.B(n_14971),
-	.C(soc_top_u_top_u_core_instr_valid_id),
-	.D(soc_top_u_top_u_core_id_stage_i_controller_run),
-	.Y(n_11116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g378564 (
-	.A1(n_14914),
-	.A2(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
-	.B1(n_10615),
-	.Y(n_11115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g378565 (
-	.A(n_10552),
-	.B(n_10616),
-	.Y(n_11114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378566 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [18]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [18]),
-	.Y(n_11113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378567 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [18]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [18]),
-	.Y(n_11112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378568 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [27]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [27]),
-	.Y(n_11111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378569 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [18]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [18]),
-	.Y(n_11110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378570 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [18]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [18]),
-	.Y(n_11109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378571 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [18]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [18]),
-	.Y(n_11108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378572 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [18]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [18]),
-	.Y(n_11107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378573 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [27]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [27]),
-	.Y(n_11106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378574 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [18]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [18]),
-	.Y(n_11105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378575 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [27]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [27]),
-	.Y(n_11104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378576 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [18]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [18]),
-	.Y(n_11103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378577 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [27]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [27]),
-	.Y(n_11102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g378578 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [18]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [18]),
-	.X(n_11101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378579 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [27]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [27]),
-	.Y(n_11100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378580 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [18]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [18]),
-	.Y(n_11099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378581 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [27]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [27]),
-	.Y(n_11098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378582 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [18]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [18]),
-	.Y(n_11097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378583 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [18]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [18]),
-	.Y(n_11096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378584 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [27]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [27]),
-	.Y(n_11095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378585 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [27]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [27]),
-	.Y(n_11094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378586 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [27]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [27]),
-	.Y(n_11093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378587 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [27]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [27]),
-	.Y(n_11092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378588 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [27]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [27]),
-	.Y(n_11091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378589 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [30]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [30]),
-	.Y(n_11090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378590 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [27]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [27]),
-	.Y(n_11089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g378591 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [27]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [27]),
-	.X(n_11088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378592 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [30]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [30]),
-	.Y(n_11087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378593 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [17]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [17]),
-	.Y(n_11086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378594 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [17]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [17]),
-	.Y(n_11085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378595 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [17]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [17]),
-	.Y(n_11084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378596 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [17]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [17]),
-	.Y(n_11083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378597 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [17]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [17]),
-	.Y(n_11082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g378598 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [17]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [17]),
-	.X(n_11081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378599 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [17]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [17]),
-	.Y(n_11080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378600 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [17]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [17]),
-	.Y(n_11079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378601 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [17]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [17]),
-	.Y(n_11078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378602 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [17]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [17]),
-	.Y(n_11077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378603 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [30]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [30]),
-	.Y(n_11076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378604 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [17]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [17]),
-	.Y(n_11075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378605 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [17]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [17]),
-	.Y(n_11074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378606 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [17]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [17]),
-	.Y(n_11073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378607 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [30]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [30]),
-	.Y(n_11072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378608 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [30]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [30]),
-	.Y(n_11071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378609 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [30]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [30]),
-	.Y(n_11070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378610 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [2]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [2]),
-	.Y(n_11069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378611 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [16]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [16]),
-	.Y(n_11068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378612 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [16]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [16]),
-	.Y(n_11067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378613 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [16]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [16]),
-	.Y(n_11066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378614 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [16]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [16]),
-	.Y(n_11065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378615 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [16]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [16]),
-	.Y(n_11064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378616 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [16]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [16]),
-	.Y(n_11063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378617 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [16]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [16]),
-	.Y(n_11062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378618 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [30]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [30]),
-	.Y(n_11061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378619 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [16]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [16]),
-	.Y(n_11060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378620 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [16]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [16]),
-	.Y(n_11059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g378621 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [16]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [16]),
-	.X(n_11058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378622 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [30]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [30]),
-	.Y(n_11057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378623 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [16]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [16]),
-	.Y(n_11056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378624 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [16]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [16]),
-	.Y(n_11055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378625 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [16]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [16]),
-	.Y(n_11054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378626 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [30]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [30]),
-	.Y(n_11053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378627 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [30]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [30]),
-	.Y(n_11052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378628 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [30]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [30]),
-	.Y(n_11051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378629 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [30]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [30]),
-	.Y(n_11050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378630 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [15]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [15]),
-	.Y(n_11049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378631 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [15]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [15]),
-	.Y(n_11048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378632 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [15]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [15]),
-	.Y(n_11047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378633 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [15]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [15]),
-	.Y(n_11046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378634 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [15]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [15]),
-	.Y(n_11045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378635 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [15]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [15]),
-	.Y(n_11044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378636 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [15]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [15]),
-	.Y(n_11043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g378637 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [30]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [30]),
-	.X(n_11042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378638 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [15]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [15]),
-	.Y(n_11041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378639 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [15]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [15]),
-	.Y(n_11040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378640 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [15]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [15]),
-	.Y(n_11039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378641 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [15]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [15]),
-	.Y(n_11038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378642 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [15]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [15]),
-	.Y(n_11037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g378643 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [15]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [15]),
-	.X(n_11036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378644 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [26]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [26]),
-	.Y(n_11035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378645 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [26]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [26]),
-	.Y(n_11034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378646 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [26]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [26]),
-	.Y(n_11033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378647 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [26]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [26]),
-	.Y(n_11032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378648 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [26]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [26]),
-	.Y(n_11031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378649 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [26]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [26]),
-	.Y(n_11030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378650 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [26]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [26]),
-	.Y(n_11029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378651 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [26]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [26]),
-	.Y(n_11028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378652 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [26]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [26]),
-	.Y(n_11027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378653 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [26]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [26]),
-	.Y(n_11026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378654 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [14]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [14]),
-	.Y(n_11025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378655 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [14]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [14]),
-	.Y(n_11024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378656 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [14]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [14]),
-	.Y(n_11023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378657 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [26]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [26]),
-	.Y(n_11022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378658 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [14]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [14]),
-	.Y(n_11021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378659 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [26]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [26]),
-	.Y(n_11020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378660 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [14]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [14]),
-	.Y(n_11019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g378661 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [26]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [26]),
-	.X(n_11018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378662 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [14]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [14]),
-	.Y(n_11017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378663 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [14]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [14]),
-	.Y(n_11016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378664 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [14]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [14]),
-	.Y(n_11015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378665 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [14]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [14]),
-	.Y(n_11014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378666 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [14]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [14]),
-	.Y(n_11013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378667 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [14]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [14]),
-	.Y(n_11012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378668 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [14]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [14]),
-	.Y(n_11011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g378669 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [14]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [14]),
-	.X(n_11010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378670 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [13]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [13]),
-	.Y(n_11009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378671 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [13]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [13]),
-	.Y(n_11008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378672 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [13]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [13]),
-	.Y(n_11007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378673 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [13]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [13]),
-	.Y(n_11006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378674 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [13]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [13]),
-	.Y(n_11005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378675 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [13]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [13]),
-	.Y(n_11004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378676 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [13]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [13]),
-	.Y(n_11003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378677 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [3]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [3]),
-	.Y(n_11002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378678 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [13]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [13]),
-	.Y(n_11001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378679 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [13]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [13]),
-	.Y(n_11000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g378680 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [13]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [13]),
-	.X(n_10999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378681 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [13]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [13]),
-	.Y(n_10998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378682 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [13]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [13]),
-	.Y(n_10997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378683 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [13]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [13]),
-	.Y(n_10996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378684 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [12]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [12]),
-	.Y(n_10995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378685 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [12]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [12]),
-	.Y(n_10994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378686 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [12]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [12]),
-	.Y(n_10993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378687 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [12]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [12]),
-	.Y(n_10992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378688 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [12]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [12]),
-	.Y(n_10991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378689 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [12]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [12]),
-	.Y(n_10990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378690 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [12]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [12]),
-	.Y(n_10989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378691 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [12]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [12]),
-	.Y(n_10988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378692 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [12]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [12]),
-	.Y(n_10987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378693 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [12]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [12]),
-	.Y(n_10986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378694 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [12]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [12]),
-	.Y(n_10985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378695 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [12]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [12]),
-	.Y(n_10984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g378696 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [12]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [12]),
-	.X(n_10983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378697 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [2]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [2]),
-	.Y(n_10982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378698 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [11]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [11]),
-	.Y(n_10981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378699 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [11]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [11]),
-	.Y(n_10980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378700 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [11]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [11]),
-	.Y(n_10979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378701 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [25]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [25]),
-	.Y(n_10978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378702 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [11]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [11]),
-	.Y(n_10977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378703 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [11]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [11]),
-	.Y(n_10976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378704 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [25]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [25]),
-	.Y(n_10975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378705 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [11]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [11]),
-	.Y(n_10974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378706 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [25]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [25]),
-	.Y(n_10973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378707 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [11]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [11]),
-	.Y(n_10972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378708 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [25]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [25]),
-	.Y(n_10971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378709 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [11]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [11]),
-	.Y(n_10970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378710 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [25]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [25]),
-	.Y(n_10969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378711 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [11]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [11]),
-	.Y(n_10968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378712 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [25]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [25]),
-	.Y(n_10967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378713 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [11]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [11]),
-	.Y(n_10966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378714 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [11]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [11]),
-	.Y(n_10965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378715 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [25]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [25]),
-	.Y(n_10964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378716 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [11]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [11]),
-	.Y(n_10963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g378717 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [11]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [11]),
-	.X(n_10962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378718 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [25]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [25]),
-	.Y(n_10961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378719 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [25]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [25]),
-	.Y(n_10960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378720 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [25]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [25]),
-	.Y(n_10959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378721 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [25]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [25]),
-	.Y(n_10958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378722 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [25]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [25]),
-	.Y(n_10957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g378723 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [25]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [25]),
-	.X(n_10956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378724 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [10]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [10]),
-	.Y(n_10955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378725 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [10]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [10]),
-	.Y(n_10954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g378726 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [10]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [10]),
-	.X(n_10953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378727 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [10]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [10]),
-	.Y(n_10952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378728 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [10]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [10]),
-	.Y(n_10951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378729 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [10]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [10]),
-	.Y(n_10950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378730 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [10]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [10]),
-	.Y(n_10949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378731 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [10]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [10]),
-	.Y(n_10948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378732 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [10]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [10]),
-	.Y(n_10947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378733 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [10]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [10]),
-	.Y(n_10946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378734 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [10]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [10]),
-	.Y(n_10945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378735 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [10]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [10]),
-	.Y(n_10944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378736 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [10]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [10]),
-	.Y(n_10943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378737 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [29]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [29]),
-	.Y(n_10942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378738 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [29]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [29]),
-	.Y(n_10941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378739 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [29]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [29]),
-	.Y(n_10940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378740 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [9]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [9]),
-	.Y(n_10939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378741 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [29]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [29]),
-	.Y(n_10938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378742 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [9]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [9]),
-	.Y(n_10937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378743 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [9]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [9]),
-	.Y(n_10936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378744 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [29]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [29]),
-	.Y(n_10935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378745 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [9]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [9]),
-	.Y(n_10934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378746 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [9]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [9]),
-	.Y(n_10933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378747 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [9]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [9]),
-	.Y(n_10932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378748 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [9]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [9]),
-	.Y(n_10931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378749 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [29]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [29]),
-	.Y(n_10930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g378750 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [29]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [29]),
-	.Y(n_10929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381873 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [9]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [9]),
-	.Y(n_10928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381874 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [9]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [9]),
-	.Y(n_10927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381875 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [9]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [9]),
-	.Y(n_10926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381876 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [9]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [9]),
-	.Y(n_10925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381877 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [9]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [9]),
-	.Y(n_10924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g381878 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [9]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [9]),
-	.X(n_10923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381879 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [29]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [29]),
-	.Y(n_10922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381880 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [29]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [29]),
-	.Y(n_10921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g381881 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [29]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [29]),
-	.X(n_10920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381882 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [29]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [29]),
-	.Y(n_10919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381883 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [29]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [29]),
-	.Y(n_10918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381884 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [29]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [29]),
-	.Y(n_10917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381885 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [8]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [8]),
-	.Y(n_10916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g381886 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[3]),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2797),
-	.C(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec),
-	.X(n_14944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381887 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [3]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [3]),
-	.Y(n_10915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381888 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [8]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [8]),
-	.Y(n_10914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381889 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [8]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [8]),
-	.Y(n_10913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381890 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [8]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [8]),
-	.Y(n_10912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381891 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [8]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [8]),
-	.Y(n_10911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381892 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [8]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [8]),
-	.Y(n_10910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381893 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [8]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [8]),
-	.Y(n_10909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381894 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [8]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [8]),
-	.Y(n_10908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381895 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [8]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [8]),
-	.Y(n_10907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381896 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [8]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [8]),
-	.Y(n_10906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g381897 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [8]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [8]),
-	.X(n_10905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381898 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [24]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [24]),
-	.Y(n_10904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381899 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [24]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [24]),
-	.Y(n_10903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381900 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [24]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [24]),
-	.Y(n_10902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381901 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [24]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [24]),
-	.Y(n_10901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381902 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [24]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [24]),
-	.Y(n_10900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g381903 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [24]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [24]),
-	.X(n_10899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381904 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [24]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [24]),
-	.Y(n_10898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381905 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [24]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [24]),
-	.Y(n_10897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381906 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [24]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [24]),
-	.Y(n_10896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381907 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [24]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [24]),
-	.Y(n_10895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381908 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [24]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [24]),
-	.Y(n_10894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381909 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [7]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [7]),
-	.Y(n_10893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381910 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [24]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [24]),
-	.Y(n_10892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381911 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [7]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [7]),
-	.Y(n_10891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381912 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [7]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [7]),
-	.Y(n_10890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381913 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [7]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [7]),
-	.Y(n_10889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381914 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [7]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [7]),
-	.Y(n_10888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381915 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [24]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [24]),
-	.Y(n_10887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381916 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [7]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [7]),
-	.Y(n_10886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381917 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [7]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [7]),
-	.Y(n_10885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381918 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [7]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [7]),
-	.Y(n_10884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381919 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [7]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [7]),
-	.Y(n_10883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g381920 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [7]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [7]),
-	.X(n_10882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381921 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [7]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [7]),
-	.Y(n_10881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381922 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [7]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [7]),
-	.Y(n_10880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381923 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [7]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [7]),
-	.Y(n_10879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381924 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [6]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [6]),
-	.Y(n_10878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381925 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [6]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [6]),
-	.Y(n_10877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381926 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [6]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [6]),
-	.Y(n_10876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381927 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [6]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [6]),
-	.Y(n_10875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381928 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [6]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [6]),
-	.Y(n_10874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381929 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [6]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [6]),
-	.Y(n_10873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381930 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [6]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [6]),
-	.Y(n_10872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381931 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [6]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [6]),
-	.Y(n_10871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381932 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [6]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [6]),
-	.Y(n_10870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g381933 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [6]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [6]),
-	.X(n_10869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381934 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [6]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [6]),
-	.Y(n_10868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381935 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [6]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [6]),
-	.Y(n_10867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381936 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [6]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [6]),
-	.Y(n_10866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381937 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [23]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [23]),
-	.Y(n_10865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381938 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [23]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [23]),
-	.Y(n_10864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381939 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [23]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [23]),
-	.Y(n_10863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381940 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [23]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [23]),
-	.Y(n_10862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381941 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [23]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [23]),
-	.Y(n_10861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381942 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [23]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [23]),
-	.Y(n_10860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381943 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [23]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [23]),
-	.Y(n_10859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381944 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [23]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [23]),
-	.Y(n_10858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381945 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [23]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [23]),
-	.Y(n_10857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381946 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [23]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [23]),
-	.Y(n_10856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381947 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [23]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [23]),
-	.Y(n_10855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381948 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [23]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [23]),
-	.Y(n_10854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381949 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [5]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [5]),
-	.Y(n_10853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g381950 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [23]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [23]),
-	.X(n_10852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381951 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [5]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [5]),
-	.Y(n_10851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381952 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [5]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [5]),
-	.Y(n_10850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381953 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [5]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [5]),
-	.Y(n_10849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381954 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [5]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [5]),
-	.Y(n_10848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381955 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [5]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [5]),
-	.Y(n_10847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381956 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [5]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [5]),
-	.Y(n_10846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381957 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [5]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [5]),
-	.Y(n_10845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381958 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [5]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [5]),
-	.Y(n_10844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g381959 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [5]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [5]),
-	.X(n_10843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381960 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [5]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [5]),
-	.Y(n_10842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381961 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [5]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [5]),
-	.Y(n_10841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381962 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [5]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [5]),
-	.Y(n_10840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381963 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [1]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [1]),
-	.Y(n_10839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381964 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [4]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [4]),
-	.Y(n_10838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381965 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [4]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [4]),
-	.Y(n_10837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381966 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [4]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [4]),
-	.Y(n_10836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381967 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [4]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [4]),
-	.Y(n_10835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381968 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [4]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [4]),
-	.Y(n_10834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381969 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [4]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [4]),
-	.Y(n_10833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381970 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [4]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [4]),
-	.Y(n_10832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381971 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [4]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [4]),
-	.Y(n_10831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381972 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [4]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [4]),
-	.Y(n_10830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g381973 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [4]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [4]),
-	.X(n_10829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381974 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [4]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [4]),
-	.Y(n_10828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381975 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [4]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [4]),
-	.Y(n_10827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381976 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [4]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [4]),
-	.Y(n_10826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381977 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [22]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [22]),
-	.Y(n_10825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381978 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [22]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [22]),
-	.Y(n_10824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381979 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [22]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [22]),
-	.Y(n_10823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381980 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [22]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [22]),
-	.Y(n_10822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381981 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [22]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [22]),
-	.Y(n_10821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381982 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [22]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [22]),
-	.Y(n_10820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381983 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [22]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [22]),
-	.Y(n_10819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381984 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [22]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [22]),
-	.Y(n_10818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381985 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [22]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [22]),
-	.Y(n_10817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g381986 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [22]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [22]),
-	.X(n_10816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381987 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [22]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [22]),
-	.Y(n_10815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381988 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [22]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [22]),
-	.Y(n_10814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381989 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [8]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [8]),
-	.Y(n_10813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381990 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [22]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [22]),
-	.Y(n_10812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381991 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [3]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [3]),
-	.Y(n_10811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381992 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [3]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [3]),
-	.Y(n_10810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381993 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [3]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [3]),
-	.Y(n_10809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381994 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [3]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [3]),
-	.Y(n_10808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381995 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [3]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [3]),
-	.Y(n_10807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381996 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [3]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [3]),
-	.Y(n_10806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381997 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [3]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [3]),
-	.Y(n_10805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g381998 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [3]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [3]),
-	.X(n_10804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g381999 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [3]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [3]),
-	.Y(n_10803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382000 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [3]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [3]),
-	.Y(n_10802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382001 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [3]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [3]),
-	.Y(n_10801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382002 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [28]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [28]),
-	.Y(n_10800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382003 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [28]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [28]),
-	.Y(n_10799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382004 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [28]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [28]),
-	.Y(n_10798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382005 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [28]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [28]),
-	.Y(n_10797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382006 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [28]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [28]),
-	.Y(n_10796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382007 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [28]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [28]),
-	.Y(n_10795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382008 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [2]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [2]),
-	.Y(n_10794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382009 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [28]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [28]),
-	.Y(n_10793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382010 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [2]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [2]),
-	.Y(n_10792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382011 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [2]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [2]),
-	.Y(n_10791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382012 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [2]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [2]),
-	.Y(n_10790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382013 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [2]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [2]),
-	.Y(n_10789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382014 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [2]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [2]),
-	.Y(n_10788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382015 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [2]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [2]),
-	.Y(n_10787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382016 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [2]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [2]),
-	.Y(n_10786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382017 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [2]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [2]),
-	.Y(n_10785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g382018 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [2]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [2]),
-	.X(n_10784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382019 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [21]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [21]),
-	.Y(n_10783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382020 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [28]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [28]),
-	.Y(n_10782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382021 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [2]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [2]),
-	.Y(n_10781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382022 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [28]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [28]),
-	.Y(n_10780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382023 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [21]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [21]),
-	.Y(n_10779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382024 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [28]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [28]),
-	.Y(n_10778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382025 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [21]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [21]),
-	.Y(n_10777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382026 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [21]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [21]),
-	.Y(n_10776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382027 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [28]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [28]),
-	.Y(n_10775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382028 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [21]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [21]),
-	.Y(n_10774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382029 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [21]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [21]),
-	.Y(n_10773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382030 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [21]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [21]),
-	.Y(n_10772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382031 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [28]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [28]),
-	.Y(n_10771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382032 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [21]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [21]),
-	.Y(n_10770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g382033 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [28]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [28]),
-	.X(n_10769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382034 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [21]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [21]),
-	.Y(n_10768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382035 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [21]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [21]),
-	.Y(n_10767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382036 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [21]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [21]),
-	.Y(n_10766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382037 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [21]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [21]),
-	.Y(n_10765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g382038 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [21]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [21]),
-	.X(n_10764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g382039 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [1]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [1]),
-	.X(n_10763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382040 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [1]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [1]),
-	.Y(n_10762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382041 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [1]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [1]),
-	.Y(n_10761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382042 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [1]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [1]),
-	.Y(n_10760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382043 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [1]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [1]),
-	.Y(n_10759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382044 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [1]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [1]),
-	.Y(n_10758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382045 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [1]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [1]),
-	.Y(n_10757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382046 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [1]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [1]),
-	.Y(n_10756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382047 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [1]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [1]),
-	.Y(n_10755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382048 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [1]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [1]),
-	.Y(n_10754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382049 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [1]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [1]),
-	.Y(n_10753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382050 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [1]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [1]),
-	.Y(n_10752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382051 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [0]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [0]),
-	.Y(n_10751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382052 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [0]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [0]),
-	.Y(n_10750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382053 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [0]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [0]),
-	.Y(n_10749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382054 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [0]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [0]),
-	.Y(n_10748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382055 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [0]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [0]),
-	.Y(n_10747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382056 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [0]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [0]),
-	.Y(n_10746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382057 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [0]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [0]),
-	.Y(n_10745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382058 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [0]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [0]),
-	.Y(n_10744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382059 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [0]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [0]),
-	.Y(n_10743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382060 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [0]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [0]),
-	.Y(n_10742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382061 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [0]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [0]),
-	.Y(n_10741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382062 (
-	.A1(n_10603),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [20]),
-	.B1(n_10602),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [20]),
-	.Y(n_10740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382063 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [0]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [0]),
-	.Y(n_10739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382064 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [20]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [20]),
-	.Y(n_10738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g382065 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [0]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [0]),
-	.X(n_10737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g382066 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [20]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [20]),
-	.X(n_10736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382067 (
-	.A1(n_10614),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [20]),
-	.B1(n_10585),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [20]),
-	.Y(n_10735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382068 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [20]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [20]),
-	.Y(n_10734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382069 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [20]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [20]),
-	.Y(n_10733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382070 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [20]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [20]),
-	.Y(n_10732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382071 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [20]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [20]),
-	.Y(n_10731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382072 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [20]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [20]),
-	.Y(n_10730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382073 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [20]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [20]),
-	.Y(n_10729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382074 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [20]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [20]),
-	.Y(n_10728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382075 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [20]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [20]),
-	.Y(n_10727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382076 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [20]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [20]),
-	.Y(n_10726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382077 (
-	.A1(n_9138),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [19]),
-	.B1(n_9133),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [19]),
-	.Y(n_10725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382078 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [19]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [19]),
-	.Y(n_10724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382079 (
-	.A1(n_10590),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [19]),
-	.B1(n_10589),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [19]),
-	.Y(n_10723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382080 (
-	.A1(n_10596),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [19]),
-	.B1(n_10595),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [19]),
-	.Y(n_10722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382081 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [19]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [19]),
-	.Y(n_10721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382082 (
-	.A1(n_10592),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [19]),
-	.B1(n_10591),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [19]),
-	.Y(n_10720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382083 (
-	.A1(n_10594),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [19]),
-	.B1(n_10593),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [19]),
-	.Y(n_10719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382084 (
-	.A1(n_10605),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [19]),
-	.B1(n_10604),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [19]),
-	.Y(n_10718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382085 (
-	.A1(n_10607),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [19]),
-	.B1(n_10606),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [19]),
-	.Y(n_10717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g382086 (
-	.A1(n_10601),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [19]),
-	.B1(n_10600),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [19]),
-	.X(n_10716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382087 (
-	.A1(n_10613),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [19]),
-	.B1(n_10612),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [19]),
-	.Y(n_10715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382088 (
-	.A1(n_10611),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [19]),
-	.B1(n_10610),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [19]),
-	.Y(n_10714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382089 (
-	.A1(n_10609),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [19]),
-	.B1(n_10608),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [19]),
-	.Y(n_10713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382090 (
-	.A1(n_10586),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [18]),
-	.B1(n_10588),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [18]),
-	.Y(n_10712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382091 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [11]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [11]),
-	.C1(n_10561),
-	.Y(n_10711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382092 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [1]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [1]),
-	.C1(n_10526),
-	.Y(n_10710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382093 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [3]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [3]),
-	.C1(n_10531),
-	.Y(n_10709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382094 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [17]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [17]),
-	.C1(n_10550),
-	.Y(n_10708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382095 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [17]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [17]),
-	.C1(n_10549),
-	.Y(n_10707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382096 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [26]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [26]),
-	.C1(n_10548),
-	.Y(n_10706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382097 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [3]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [3]),
-	.C1(n_10532),
-	.Y(n_10705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382098 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [26]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [26]),
-	.C1(n_10547),
-	.Y(n_10704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382099 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [16]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [16]),
-	.C1(n_10546),
-	.Y(n_10703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382100 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [16]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [16]),
-	.C1(n_10545),
-	.Y(n_10702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382101 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [16]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [16]),
-	.C1(n_10544),
-	.Y(n_10701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382102 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [15]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [15]),
-	.C1(n_10542),
-	.Y(n_10700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382103 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [15]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [15]),
-	.C1(n_10541),
-	.Y(n_10699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382104 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [29]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [29]),
-	.C1(n_10540),
-	.Y(n_10698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382105 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [14]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [14]),
-	.C1(n_10539),
-	.Y(n_10697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382106 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [14]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [14]),
-	.C1(n_10538),
-	.Y(n_10696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382107 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [29]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [29]),
-	.C1(n_10537),
-	.Y(n_10695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382108 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [25]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [25]),
-	.C1(n_10555),
-	.Y(n_10694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382109 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [13]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [13]),
-	.C1(n_10556),
-	.Y(n_10693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382110 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [25]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [25]),
-	.C1(n_10558),
-	.Y(n_10692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382111 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [13]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [13]),
-	.C1(n_10557),
-	.Y(n_10691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382112 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [12]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [12]),
-	.C1(n_10559),
-	.Y(n_10690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382113 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [12]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [12]),
-	.C1(n_10560),
-	.Y(n_10689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382114 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [30]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [30]),
-	.C1(n_10570),
-	.Y(n_10688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382115 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [30]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [30]),
-	.C1(n_10581),
-	.Y(n_10687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382116 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [4]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [4]),
-	.C1(n_10533),
-	.Y(n_10686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382117 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [11]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [11]),
-	.C1(n_10562),
-	.Y(n_10685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382118 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [10]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [10]),
-	.C1(n_10564),
-	.Y(n_10684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382119 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [10]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [10]),
-	.C1(n_10563),
-	.Y(n_10683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382120 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [24]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [24]),
-	.C1(n_10565),
-	.Y(n_10682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382121 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [24]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [24]),
-	.C1(n_10567),
-	.Y(n_10681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382122 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [9]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [9]),
-	.C1(n_10568),
-	.Y(n_10680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382123 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [9]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [9]),
-	.C1(n_10569),
-	.Y(n_10679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382124 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [8]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [8]),
-	.C1(n_10571),
-	.Y(n_10678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382125 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [8]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [8]),
-	.C1(n_10572),
-	.Y(n_10677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382126 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [28]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [28]),
-	.C1(n_10573),
-	.Y(n_10676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382127 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [7]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [7]),
-	.C1(n_10574),
-	.Y(n_10675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382128 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [28]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [28]),
-	.C1(n_10576),
-	.Y(n_10674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382129 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [7]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [7]),
-	.C1(n_10575),
-	.Y(n_10673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382130 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [23]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [23]),
-	.C1(n_10577),
-	.Y(n_10672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382131 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [23]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [23]),
-	.C1(n_10578),
-	.Y(n_10671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382132 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [6]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [6]),
-	.C1(n_10579),
-	.Y(n_10670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382133 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [6]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [6]),
-	.C1(n_10580),
-	.Y(n_10669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382134 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [5]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [5]),
-	.C1(n_10582),
-	.Y(n_10668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382135 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [5]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [5]),
-	.C1(n_10583),
-	.Y(n_10667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382136 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [4]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [4]),
-	.C1(n_10535),
-	.Y(n_10666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382137 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [4]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [4]),
-	.C1(n_10534),
-	.Y(n_10665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g382138 (
-	.A1(n_9824),
-	.A2(n_10420),
-	.B1_N(n_14871),
-	.Y(n_14971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g382139 (
-	.A1(n_14878),
-	.A2(n_10381),
-	.B1(n_9896),
-	.C1(n_10380),
-	.Y(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g382140 (
-	.A(n_10551),
-	.B(n_14914),
-	.Y(n_15248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382141 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [12]),
-	.B1(n_17340),
-	.B2(n_10198),
-	.Y(n_10664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382142 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [21]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [21]),
-	.C1(n_10529),
-	.Y(n_10663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382143 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [2]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [2]),
-	.C1(n_10528),
-	.Y(n_10662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382144 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [2]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [2]),
-	.C1(n_10527),
-	.Y(n_10661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382145 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [22]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [22]),
-	.C1(n_10536),
-	.Y(n_10660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382146 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [1]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [1]),
-	.C1(n_10543),
-	.Y(n_10659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382147 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [22]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [22]),
-	.C1(n_10584),
-	.Y(n_10658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382148 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [27]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [27]),
-	.C1(n_10566),
-	.Y(n_10657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382149 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [20]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [20]),
-	.C1(n_10525),
-	.Y(n_10656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382150 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [20]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [20]),
-	.C1(n_10554),
-	.Y(n_10655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382151 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [0]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [0]),
-	.C1(n_10524),
-	.Y(n_10654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382152 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [27]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [27]),
-	.C1(n_10522),
-	.Y(n_10653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382153 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [0]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [0]),
-	.C1(n_10523),
-	.Y(n_10652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382154 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [19]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [19]),
-	.C1(n_10521),
-	.Y(n_10651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382155 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [19]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [19]),
-	.C1(n_10520),
-	.Y(n_10650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382156 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [18]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [18]),
-	.C1(n_10519),
-	.Y(n_10649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382157 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [18]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [18]),
-	.C1(n_10518),
-	.Y(n_10648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382158 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [27]),
-	.B1(n_17355),
-	.B2(n_10198),
-	.Y(n_10647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382159 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [30]),
-	.B1(n_17358),
-	.B2(n_10198),
-	.Y(n_10646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382160 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [17]),
-	.B1(n_17345),
-	.B2(n_10198),
-	.Y(n_10645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382161 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [16]),
-	.B1(n_17344),
-	.B2(n_10198),
-	.Y(n_10644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382162 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [15]),
-	.B1(n_17343),
-	.B2(n_10198),
-	.Y(n_10643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382163 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [26]),
-	.B1(n_17354),
-	.B2(n_10198),
-	.Y(n_10642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382164 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [14]),
-	.B1(n_17342),
-	.B2(n_10198),
-	.Y(n_10641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382165 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [13]),
-	.B1(n_17341),
-	.B2(n_10198),
-	.Y(n_10640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382166 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [21]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [21]),
-	.C1(n_10530),
-	.Y(n_10639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382167 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [11]),
-	.B1(n_17339),
-	.B2(n_10198),
-	.Y(n_10638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382168 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [25]),
-	.B1(n_17353),
-	.B2(n_10198),
-	.Y(n_10637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382169 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [7]),
-	.B1(n_17335),
-	.B2(n_10198),
-	.Y(n_10636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382170 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [10]),
-	.B1(n_17338),
-	.B2(n_10198),
-	.Y(n_10635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382171 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [29]),
-	.B1(n_17357),
-	.B2(n_10198),
-	.Y(n_10634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382172 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [9]),
-	.B1(n_17337),
-	.B2(n_10198),
-	.Y(n_10633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382173 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [8]),
-	.B1(n_17336),
-	.B2(n_10198),
-	.Y(n_10632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382174 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [3]),
-	.B1(n_17331),
-	.B2(n_10198),
-	.Y(n_10631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382175 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [24]),
-	.B1(n_17352),
-	.B2(n_10198),
-	.Y(n_10630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382176 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [6]),
-	.B1(n_17334),
-	.B2(n_10198),
-	.Y(n_10629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382177 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [23]),
-	.B1(n_17351),
-	.B2(n_10198),
-	.Y(n_10628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382178 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [5]),
-	.B1(n_17333),
-	.B2(n_10198),
-	.Y(n_10627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382179 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [4]),
-	.B1(n_17332),
-	.B2(n_10198),
-	.Y(n_10626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382180 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [22]),
-	.B1(n_17350),
-	.B2(n_10198),
-	.Y(n_10625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382181 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [28]),
-	.B1(n_17356),
-	.B2(n_10198),
-	.Y(n_10624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382182 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [2]),
-	.B1(n_17330),
-	.B2(n_10198),
-	.Y(n_10623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382183 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [21]),
-	.B1(n_17349),
-	.B2(n_10198),
-	.Y(n_10622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382184 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [1]),
-	.B1(n_17329),
-	.B2(n_10198),
-	.Y(n_10621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382185 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [0]),
-	.B1(n_17328),
-	.B2(n_10198),
-	.Y(n_10620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382186 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [20]),
-	.B1(n_17348),
-	.B2(n_10198),
-	.Y(n_10619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382187 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [19]),
-	.B1(n_17347),
-	.B2(n_10198),
-	.Y(n_10618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382188 (
-	.A1(n_10449),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [18]),
-	.B1(n_17346),
-	.B2(n_10198),
-	.Y(n_10617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g382189 (
-	.A(n_10440),
-	.B(n_10517),
-	.Y(n_10616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g382190 (
-	.A1(n_9477),
-	.A2(soc_top_main_swith_host_lsu_dev_select_t[2]),
-	.B1(n_10553),
-	.Y(n_10615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382191 (
-	.A(n_10614),
-	.Y(n_15277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382192 (
-	.A(n_10613),
-	.Y(n_15274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382193 (
-	.A(n_10612),
-	.Y(n_15273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382194 (
-	.A(n_10611),
-	.Y(n_15272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382195 (
-	.A(n_10610),
-	.Y(n_15271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382196 (
-	.A(n_10609),
-	.Y(n_15276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382197 (
-	.A(n_10608),
-	.Y(n_15275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382198 (
-	.A(n_10607),
-	.Y(n_15270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g379078 (
-	.A(n_10606),
-	.Y(n_15269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382199 (
-	.A(n_10605),
-	.Y(n_15264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382200 (
-	.A(n_10604),
-	.Y(n_15263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382201 (
-	.A(n_10603),
-	.Y(n_15266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382202 (
-	.A(n_10602),
-	.Y(n_15265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382203 (
-	.A(n_10601),
-	.Y(n_15268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382204 (
-	.A(n_10600),
-	.Y(n_15267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382205 (
-	.A(n_10599),
-	.Y(n_15262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382208 (
-	.A(n_10596),
-	.Y(n_15284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382209 (
-	.A(n_10595),
-	.Y(n_15283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382210 (
-	.A(n_10594),
-	.Y(n_15282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382211 (
-	.A(n_10593),
-	.Y(n_15281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382212 (
-	.A(n_10592),
-	.Y(n_15280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382213 (
-	.A(n_10591),
-	.Y(n_15279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382214 (
-	.A(n_10590),
-	.Y(n_15290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382215 (
-	.A(n_10589),
-	.Y(n_15289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382216 (
-	.A(n_10588),
-	.Y(n_15287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382218 (
-	.A(n_10586),
-	.Y(n_15288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382219 (
-	.A(n_10585),
-	.Y(n_15278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382220 (
-	.A(n_10234),
-	.B(n_10258),
-	.C(n_10257),
-	.Y(n_10584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382221 (
-	.A(n_10262),
-	.B(n_10263),
-	.C(n_9932),
-	.Y(n_10583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382222 (
-	.A(n_10266),
-	.B(n_10265),
-	.C(n_10264),
-	.Y(n_10582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382223 (
-	.A(n_10235),
-	.B(n_10377),
-	.C(n_10350),
-	.Y(n_10581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382224 (
-	.A(n_10271),
-	.B(n_10272),
-	.C(n_9935),
-	.Y(n_10580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382225 (
-	.A(n_10276),
-	.B(n_10275),
-	.C(n_10274),
-	.Y(n_10579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382226 (
-	.A(n_10273),
-	.B(n_10270),
-	.C(n_9946),
-	.Y(n_10578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382227 (
-	.A(n_10279),
-	.B(n_10278),
-	.C(n_10277),
-	.Y(n_10577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382228 (
-	.A(n_10282),
-	.B(n_10283),
-	.C(n_9938),
-	.Y(n_10576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382229 (
-	.A(n_10285),
-	.B(n_10286),
-	.C(n_9937),
-	.Y(n_10575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382230 (
-	.A(n_10290),
-	.B(n_10289),
-	.C(n_10288),
-	.Y(n_10574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382231 (
-	.A(n_10246),
-	.B(n_10287),
-	.C(n_10291),
-	.Y(n_10573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382232 (
-	.A(n_10378),
-	.B(n_10382),
-	.C(n_9925),
-	.Y(n_10572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382233 (
-	.A(n_10296),
-	.B(n_10295),
-	.C(n_10294),
-	.Y(n_10571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382234 (
-	.A(n_10207),
-	.B(n_10306),
-	.C(n_9950),
-	.Y(n_10570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382235 (
-	.A(n_10298),
-	.B(n_10299),
-	.C(n_9939),
-	.Y(n_10569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382236 (
-	.A(n_10302),
-	.B(n_10301),
-	.C(n_10300),
-	.Y(n_10568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382237 (
-	.A(n_10304),
-	.B(n_10305),
-	.C(n_9960),
-	.Y(n_10567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382238 (
-	.A(n_10231),
-	.B(n_10228),
-	.C(n_10227),
-	.Y(n_10566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382239 (
-	.A(n_10309),
-	.B(n_10307),
-	.C(n_10308),
-	.Y(n_10565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382240 (
-	.A(n_10310),
-	.B(n_10311),
-	.C(n_9941),
-	.Y(n_10564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382241 (
-	.A(n_10314),
-	.B(n_10313),
-	.C(n_10312),
-	.Y(n_10563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382242 (
-	.A(n_10316),
-	.B(n_10317),
-	.C(n_9936),
-	.Y(n_10562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382243 (
-	.A(n_10320),
-	.B(n_10319),
-	.C(n_10318),
-	.Y(n_10561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382244 (
-	.A(n_10322),
-	.B(n_10323),
-	.C(n_9943),
-	.Y(n_10560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382245 (
-	.A(n_10326),
-	.B(n_10325),
-	.C(n_10324),
-	.Y(n_10559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382246 (
-	.A(n_10330),
-	.B(n_10328),
-	.C(n_9924),
-	.Y(n_10558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382247 (
-	.A(n_10331),
-	.B(n_10332),
-	.C(n_9940),
-	.Y(n_10557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382248 (
-	.A(n_10336),
-	.B(n_10334),
-	.C(n_10333),
-	.Y(n_10556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382249 (
-	.A(n_10339),
-	.B(n_10337),
-	.C(n_10335),
-	.Y(n_10555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382250 (
-	.A(n_10223),
-	.B(n_10225),
-	.C(n_9928),
-	.Y(n_10554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g382251 (
-	.A(n_10455),
-	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
-	.Y(n_10553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382252 (
-	.A(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
-	.B(n_10455),
-	.Y(n_10552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382253 (
-	.A(soc_top_main_swith_host_lsu_dev_select_t[1]),
-	.B(soc_top_main_swith_host_lsu_dev_select_t[2]),
-	.Y(n_10551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382254 (
-	.A(n_10376),
-	.B(n_10375),
-	.C(n_10374),
-	.Y(n_10550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382255 (
-	.A(n_10373),
-	.B(n_10372),
-	.C(n_9951),
-	.Y(n_10549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382256 (
-	.A(n_10370),
-	.B(n_10369),
-	.C(n_10368),
-	.Y(n_10548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382257 (
-	.A(n_10365),
-	.B(n_10366),
-	.C(n_9949),
-	.Y(n_10547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382258 (
-	.A(n_10364),
-	.B(n_10362),
-	.C(n_10361),
-	.Y(n_10546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382259 (
-	.A(n_10359),
-	.B(n_10360),
-	.C(n_9948),
-	.Y(n_10545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382260 (
-	.A(n_10154),
-	.B(n_10358),
-	.C(n_10152),
-	.Y(n_10544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382261 (
-	.A(n_10280),
-	.B(n_10208),
-	.C(n_10267),
-	.Y(n_10543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382262 (
-	.A(n_10356),
-	.B(n_10355),
-	.C(n_10354),
-	.Y(n_10542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382263 (
-	.A(n_10352),
-	.B(n_10353),
-	.C(n_9947),
-	.Y(n_10541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382264 (
-	.A(n_10342),
-	.B(n_10345),
-	.C(n_10348),
-	.Y(n_10540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382265 (
-	.A(n_10349),
-	.B(n_10347),
-	.C(n_10346),
-	.Y(n_10539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382266 (
-	.A(n_10344),
-	.B(n_10343),
-	.C(n_9945),
-	.Y(n_10538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382267 (
-	.A(n_10341),
-	.B(n_10340),
-	.C(n_9944),
-	.Y(n_10537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g382268 (
-	.A(n_10456),
-	.B(n_14978),
-	.Y(n_14914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382269 (
-	.A(n_15152),
-	.B(n_10454),
-	.Y(n_10614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382270 (
-	.A(n_15156),
-	.B(n_10454),
-	.Y(n_10613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382271 (
-	.A(n_15152),
-	.B(n_10450),
-	.Y(n_10612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382272 (
-	.A(n_15155),
-	.B(n_10450),
-	.Y(n_10611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382273 (
-	.A(n_15153),
-	.B(n_10450),
-	.Y(n_10610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382274 (
-	.A(n_15155),
-	.B(n_10454),
-	.Y(n_10609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382275 (
-	.A(n_15153),
-	.B(n_10454),
-	.Y(n_10608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382276 (
-	.A(n_15156),
-	.B(n_10450),
-	.Y(n_10607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382277 (
-	.A(n_15152),
-	.B(n_10453),
-	.Y(n_10606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382278 (
-	.A(n_15155),
-	.B(n_10452),
-	.Y(n_10605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382279 (
-	.A(n_15153),
-	.B(n_10452),
-	.Y(n_10604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382280 (
-	.A(n_15156),
-	.B(n_10453),
-	.Y(n_10603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382281 (
-	.A(n_15152),
-	.B(n_10452),
-	.Y(n_10602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382282 (
-	.A(n_15155),
-	.B(n_10453),
-	.Y(n_10601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382283 (
-	.A(n_15153),
-	.B(n_10453),
-	.Y(n_10600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g382284 (
-	.A(n_15156),
-	.B_N(n_10451),
-	.Y(n_10599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382285 (
-	.A(n_15157),
-	.B(n_10450),
-	.Y(n_9143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382286 (
-	.A(n_15149),
-	.B(n_10453),
-	.Y(n_9135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382287 (
-	.A(n_15150),
-	.B(n_10453),
-	.Y(n_10596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382288 (
-	.A(n_15154),
-	.B(n_10453),
-	.Y(n_10595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382289 (
-	.A(n_15157),
-	.B(n_10453),
-	.Y(n_10594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382290 (
-	.A(n_15149),
-	.B(n_10452),
-	.Y(n_10593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382291 (
-	.A(n_15150),
-	.B(n_10452),
-	.Y(n_10592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382292 (
-	.A(n_15154),
-	.B(n_10452),
-	.Y(n_10591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382293 (
-	.A(n_15157),
-	.B(n_10454),
-	.Y(n_10590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382294 (
-	.A(n_15149),
-	.B(n_10450),
-	.Y(n_10589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382295 (
-	.A(n_15154),
-	.B(n_10450),
-	.Y(n_10588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382296 (
-	.A(n_15154),
-	.B(n_10454),
-	.Y(n_9138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382297 (
-	.A(n_15150),
-	.B(n_10450),
-	.Y(n_10586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382298 (
-	.A(n_15157),
-	.B(n_10452),
-	.Y(n_10585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382299 (
-	.A(n_10253),
-	.B(n_10252),
-	.C(n_9934),
-	.Y(n_10536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382300 (
-	.A(n_10256),
-	.B(n_10255),
-	.C(n_10254),
-	.Y(n_10535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382301 (
-	.A(n_10251),
-	.B(n_10250),
-	.C(n_9942),
-	.Y(n_10534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382302 (
-	.A(n_10155),
-	.B(n_10248),
-	.C(n_10048),
-	.Y(n_10533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382303 (
-	.A(n_10201),
-	.B(n_10215),
-	.C(n_10245),
-	.Y(n_10532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382304 (
-	.A(n_10292),
-	.B(n_10321),
-	.C(n_9933),
-	.Y(n_10531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382305 (
-	.A(n_10357),
-	.B(n_10297),
-	.C(n_10260),
-	.Y(n_10530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382306 (
-	.A(n_10239),
-	.B(n_10240),
-	.C(n_9931),
-	.Y(n_10529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382307 (
-	.A(n_10243),
-	.B(n_10242),
-	.C(n_10241),
-	.Y(n_10528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382308 (
-	.A(n_10237),
-	.B(n_10238),
-	.C(n_9926),
-	.Y(n_10527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382309 (
-	.A(n_10247),
-	.B(n_10233),
-	.C(n_9957),
-	.Y(n_10526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382310 (
-	.A(n_10230),
-	.B(n_10229),
-	.C(n_10259),
-	.Y(n_10525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382311 (
-	.A(n_10222),
-	.B(n_10224),
-	.C(n_10226),
-	.Y(n_10524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382312 (
-	.A(n_10221),
-	.B(n_10220),
-	.C(n_9930),
-	.Y(n_10523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382313 (
-	.A(n_10217),
-	.B(n_10216),
-	.C(n_9929),
-	.Y(n_10522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382314 (
-	.A(n_10213),
-	.B(n_10212),
-	.C(n_10214),
-	.Y(n_10521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382315 (
-	.A(n_10210),
-	.B(n_10211),
-	.C(n_9927),
-	.Y(n_10520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382316 (
-	.A(n_10206),
-	.B(n_10205),
-	.C(n_10204),
-	.Y(n_10519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382317 (
-	.A(n_10203),
-	.B(n_10202),
-	.C(n_9955),
-	.Y(n_10518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g382318 (
-	.A(n_10456),
-	.B(n_9453),
-	.Y(n_10517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382319 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [17]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [17]),
-	.C1(n_10438),
-	.Y(n_10516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382320 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [17]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [17]),
-	.C1(n_10437),
-	.Y(n_10515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382321 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [26]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [26]),
-	.C1(n_10435),
-	.Y(n_10514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382322 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [26]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [26]),
-	.C1(n_10434),
-	.Y(n_10513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382323 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [16]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [16]),
-	.C1(n_10433),
-	.Y(n_10512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382324 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [15]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [15]),
-	.C1(n_10432),
-	.Y(n_10511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382325 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [15]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [15]),
-	.C1(n_10431),
-	.Y(n_10510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382326 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [1]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [1]),
-	.C1(n_10398),
-	.Y(n_10509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382327 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [14]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [14]),
-	.C1(n_10430),
-	.Y(n_10508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382328 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [14]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [14]),
-	.C1(n_10429),
-	.Y(n_10507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382329 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [29]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [29]),
-	.C1(n_10428),
-	.Y(n_10506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382330 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [29]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [29]),
-	.C1(n_10423),
-	.Y(n_10505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382331 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [13]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [13]),
-	.C1(n_10427),
-	.Y(n_10504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382332 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [25]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [25]),
-	.C1(n_10425),
-	.Y(n_10503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382333 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [13]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [13]),
-	.C1(n_10426),
-	.Y(n_10502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382334 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [25]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [25]),
-	.C1(n_10424),
-	.Y(n_10501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382335 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [12]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [12]),
-	.C1(n_10422),
-	.Y(n_10500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382336 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [30]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [30]),
-	.C1(n_10442),
-	.Y(n_10499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382337 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [12]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [12]),
-	.C1(n_10421),
-	.Y(n_10498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382338 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [1]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [1]),
-	.C1(n_10390),
-	.Y(n_10497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382339 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [11]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [11]),
-	.C1(n_10439),
-	.Y(n_10496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382340 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [11]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [11]),
-	.C1(n_10441),
-	.Y(n_10495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382341 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [3]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [3]),
-	.C1(n_10404),
-	.Y(n_10494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382342 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [28]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [28]),
-	.C1(n_10415),
-	.Y(n_10493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382343 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [10]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [10]),
-	.C1(n_10443),
-	.Y(n_10492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382344 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [10]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [10]),
-	.C1(n_10444),
-	.Y(n_10491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382345 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [24]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [24]),
-	.C1(n_10445),
-	.Y(n_10490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382346 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [24]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [24]),
-	.C1(n_10446),
-	.Y(n_10489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382347 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [9]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [9]),
-	.C1(n_10447),
-	.Y(n_10488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382348 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [9]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [9]),
-	.C1(n_10386),
-	.Y(n_10487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382349 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [8]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [8]),
-	.C1(n_10419),
-	.Y(n_10486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382350 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [8]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [8]),
-	.C1(n_10418),
-	.Y(n_10485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382351 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [7]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [7]),
-	.C1(n_10416),
-	.Y(n_10484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382352 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [7]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [7]),
-	.C1(n_10417),
-	.Y(n_10483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382353 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [28]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [28]),
-	.C1(n_10414),
-	.Y(n_10482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382354 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [6]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [6]),
-	.C1(n_10413),
-	.Y(n_10481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382355 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [23]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [23]),
-	.C1(n_10412),
-	.Y(n_10480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382356 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [6]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [6]),
-	.C1(n_10411),
-	.Y(n_10479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382357 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [23]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [23]),
-	.C1(n_10410),
-	.Y(n_10478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382358 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [5]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [5]),
-	.C1(n_10409),
-	.Y(n_10477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382359 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [5]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [5]),
-	.C1(n_10408),
-	.Y(n_10476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382360 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [22]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [22]),
-	.C1(n_10407),
-	.Y(n_10475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382361 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [4]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [4]),
-	.C1(n_10406),
-	.Y(n_10474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382362 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [22]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [22]),
-	.C1(n_10405),
-	.Y(n_10473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382363 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [3]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [3]),
-	.C1(n_10403),
-	.Y(n_10472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382364 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [21]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [21]),
-	.C1(n_10402),
-	.Y(n_10471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382365 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [2]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [2]),
-	.C1(n_10401),
-	.Y(n_10470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382366 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [2]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [2]),
-	.C1(n_10400),
-	.Y(n_10469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382367 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [21]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [21]),
-	.C1(n_10399),
-	.Y(n_10468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382368 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [27]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [27]),
-	.C1(n_10392),
-	.Y(n_10467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382369 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [30]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [30]),
-	.C1(n_10436),
-	.Y(n_10466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382370 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [20]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [20]),
-	.C1(n_10397),
-	.Y(n_10465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382371 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [0]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [0]),
-	.C1(n_10396),
-	.Y(n_10464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382372 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [0]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [0]),
-	.C1(n_10395),
-	.Y(n_10463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382373 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [20]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [20]),
-	.C1(n_10394),
-	.Y(n_10462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382374 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [27]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [27]),
-	.C1(n_10393),
-	.Y(n_10461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382375 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [19]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [19]),
-	.C1(n_10391),
-	.Y(n_10460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382376 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [19]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [19]),
-	.C1(n_10389),
-	.Y(n_10459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382377 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [18]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [18]),
-	.C1(n_10388),
-	.Y(n_10458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382378 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [18]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [18]),
-	.C1(n_10387),
-	.Y(n_10457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g382379 (
-	.A(n_10455),
-	.Y(soc_top_main_swith_host_lsu_dev_select_t[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g382380 (
-	.A(n_10451),
-	.Y(n_10452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382381 (
-	.A(n_10449),
-	.Y(n_15293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382383 (
-	.A(n_10089),
-	.B(n_10087),
-	.C(n_10086),
-	.Y(n_10447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382384 (
-	.A(n_10090),
-	.B(n_10136),
-	.C(n_10088),
-	.Y(n_10446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382385 (
-	.A(n_10303),
-	.B(n_10092),
-	.C(n_10091),
-	.Y(n_10445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382386 (
-	.A(n_10095),
-	.B(n_10094),
-	.C(n_10093),
-	.Y(n_10444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382387 (
-	.A(n_10315),
-	.B(n_10098),
-	.C(n_10097),
-	.Y(n_10443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382388 (
-	.A(n_10081),
-	.B(n_10073),
-	.C(n_10126),
-	.Y(n_10442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382389 (
-	.A(n_10003),
-	.B(n_10101),
-	.C(n_10100),
-	.Y(n_10441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g382390 (
-	.A(soc_top_main_swith_host_lsu_dev_select_t[2]),
-	.B(n_9477),
-	.Y(n_10440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382391 (
-	.A(n_10163),
-	.B(n_10183),
-	.C(n_10184),
-	.Y(n_10439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382392 (
-	.A(n_10371),
-	.B(n_10161),
-	.C(n_10160),
-	.Y(n_10438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382393 (
-	.A(n_10159),
-	.B(n_10158),
-	.C(n_10157),
-	.Y(n_10437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382394 (
-	.A(n_10367),
-	.B(n_10117),
-	.C(n_10135),
-	.Y(n_10436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382395 (
-	.A(n_10363),
-	.B(n_10156),
-	.C(n_10067),
-	.Y(n_10435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382396 (
-	.A(n_10153),
-	.B(n_10151),
-	.C(n_10197),
-	.Y(n_10434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382397 (
-	.A(n_10150),
-	.B(n_10147),
-	.C(n_10148),
-	.Y(n_10433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382398 (
-	.A(n_10351),
-	.B(n_10145),
-	.C(n_10144),
-	.Y(n_10432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382399 (
-	.A(n_10143),
-	.B(n_10141),
-	.C(n_10142),
-	.Y(n_10431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382400 (
-	.A(n_10133),
-	.B(n_10134),
-	.C(n_10132),
-	.Y(n_10430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382401 (
-	.A(n_10131),
-	.B(n_10130),
-	.C(n_10129),
-	.Y(n_10429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382402 (
-	.A(n_10338),
-	.B(n_10123),
-	.C(n_10124),
-	.Y(n_10428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382403 (
-	.A(n_10329),
-	.B(n_10013),
-	.C(n_10122),
-	.Y(n_10427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382404 (
-	.A(n_10121),
-	.B(n_10120),
-	.C(n_10119),
-	.Y(n_10426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382405 (
-	.A(n_10327),
-	.B(n_10118),
-	.C(n_10116),
-	.Y(n_10425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382406 (
-	.A(n_10114),
-	.B(n_10112),
-	.C(n_10110),
-	.Y(n_10424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382407 (
-	.A(n_10115),
-	.B(n_10111),
-	.C(n_10113),
-	.Y(n_10423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382408 (
-	.A(n_10108),
-	.B(n_10109),
-	.C(n_10107),
-	.Y(n_10422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382409 (
-	.A(n_10106),
-	.B(n_10104),
-	.C(n_10105),
-	.Y(n_10421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g382410 (
-	.A1(n_10179),
-	.A2(n_9513),
-	.B1_N(n_9961),
-	.Y(n_10456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g382411 (
-	.A(n_10379),
-	.B(n_10200),
-	.Y(n_10455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g382412 (
-	.A(n_15158),
-	.B(n_10385),
-	.X(n_10454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g382413 (
-	.A(n_15159),
-	.B(n_10385),
-	.X(n_10453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382414 (
-	.A(n_15160),
-	.B(n_10385),
-	.Y(n_10451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g382415 (
-	.A(n_15161),
-	.B(n_10385),
-	.X(n_10450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382416 (
-	.A(n_12107),
-	.B(n_10385),
-	.Y(n_10449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382417 (
-	.A(n_15128),
-	.B(n_10385),
-	.Y(n_9133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g382418 (
-	.A1(n_14889),
-	.A2(n_10198),
-	.B1(n_14899),
-	.B2(n_9616),
-	.Y(n_10420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382419 (
-	.A(n_10383),
-	.B(n_10079),
-	.C(n_10078),
-	.Y(n_10419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382420 (
-	.A(n_10077),
-	.B(n_10075),
-	.C(n_10076),
-	.Y(n_10418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382421 (
-	.A(n_10284),
-	.B(n_10066),
-	.C(n_10072),
-	.Y(n_10417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382422 (
-	.A(n_10070),
-	.B(n_10068),
-	.C(n_10069),
-	.Y(n_10416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382423 (
-	.A(n_10281),
-	.B(n_10127),
-	.C(n_10137),
-	.Y(n_10415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382424 (
-	.A(n_10063),
-	.B(n_10125),
-	.C(n_10057),
-	.Y(n_10414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382425 (
-	.A(n_10269),
-	.B(n_10146),
-	.C(n_10064),
-	.Y(n_10413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382426 (
-	.A(n_10268),
-	.B(n_10059),
-	.C(n_10061),
-	.Y(n_10412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382427 (
-	.A(n_10062),
-	.B(n_10060),
-	.C(n_10058),
-	.Y(n_10411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382428 (
-	.A(n_10056),
-	.B(n_10055),
-	.C(n_10054),
-	.Y(n_10410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382429 (
-	.A(n_10261),
-	.B(n_10053),
-	.C(n_10052),
-	.Y(n_10409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382430 (
-	.A(n_10051),
-	.B(n_10050),
-	.C(n_10080),
-	.Y(n_10408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382431 (
-	.A(n_10249),
-	.B(n_10047),
-	.C(n_10045),
-	.Y(n_10407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382432 (
-	.A(n_10046),
-	.B(n_10044),
-	.C(n_10043),
-	.Y(n_10406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382433 (
-	.A(n_10041),
-	.B(n_10096),
-	.C(n_10042),
-	.Y(n_10405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382434 (
-	.A(n_10244),
-	.B(n_10039),
-	.C(n_10038),
-	.Y(n_10404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382435 (
-	.A(n_10034),
-	.B(n_10071),
-	.C(n_10015),
-	.Y(n_10403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382436 (
-	.A(n_10236),
-	.B(n_10037),
-	.C(n_10035),
-	.Y(n_10402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382437 (
-	.A(n_10138),
-	.B(n_10025),
-	.C(n_10036),
-	.Y(n_10401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382438 (
-	.A(n_10033),
-	.B(n_10140),
-	.C(n_10032),
-	.Y(n_10400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382439 (
-	.A(n_10031),
-	.B(n_10030),
-	.C(n_10139),
-	.Y(n_10399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382440 (
-	.A(n_10049),
-	.B(n_10040),
-	.C(n_10027),
-	.Y(n_10398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382441 (
-	.A(n_10219),
-	.B(n_10024),
-	.C(n_10021),
-	.Y(n_10397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382442 (
-	.A(n_10218),
-	.B(n_10023),
-	.C(n_10022),
-	.Y(n_10396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382443 (
-	.A(n_10020),
-	.B(n_10019),
-	.C(n_10074),
-	.Y(n_10395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382444 (
-	.A(n_10018),
-	.B(n_10085),
-	.C(n_10017),
-	.Y(n_10394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382445 (
-	.A(n_10232),
-	.B(n_10128),
-	.C(n_10065),
-	.Y(n_10393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382446 (
-	.A(n_10016),
-	.B(n_10103),
-	.C(n_10014),
-	.Y(n_10392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382447 (
-	.A(n_10209),
-	.B(n_10012),
-	.C(n_10011),
-	.Y(n_10391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382448 (
-	.A(n_10026),
-	.B(n_10029),
-	.C(n_10028),
-	.Y(n_10390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382449 (
-	.A(n_10010),
-	.B(n_10008),
-	.C(n_10009),
-	.Y(n_10389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382450 (
-	.A(n_10293),
-	.B(n_10007),
-	.C(n_10006),
-	.Y(n_10388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382451 (
-	.A(n_10005),
-	.B(n_10004),
-	.C(n_10102),
-	.Y(n_10387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g382452 (
-	.A(n_10084),
-	.B(n_10082),
-	.C(n_10083),
-	.Y(n_10386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382453 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [8]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [8]),
-	.Y(n_10383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382454 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [8]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [8]),
-	.Y(n_10382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g382455 (
-	.A1(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2812),
-	.A2(n_9695),
-	.B1(n_9807),
-	.C1(n_10099),
-	.Y(n_10381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g382456 (
-	.A(n_9670),
-	.B(n_9848),
-	.C(n_9816),
-	.D(n_10162),
-	.Y(n_10380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g382457 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [18]),
-	.A2(n_9962),
-	.B1(n_14978),
-	.Y(n_10379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382458 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [8]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [8]),
-	.Y(n_10378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382459 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [30]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [30]),
-	.Y(n_10377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382460 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [17]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [17]),
-	.Y(n_10376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382461 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [17]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [17]),
-	.Y(n_10375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382462 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [17]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [17]),
-	.Y(n_10374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382463 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [17]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [17]),
-	.Y(n_10373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382464 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [17]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [17]),
-	.Y(n_10372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382465 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [17]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [17]),
-	.Y(n_10371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382466 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [26]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [26]),
-	.Y(n_10370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382467 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [26]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [26]),
-	.Y(n_10369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382468 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [26]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [26]),
-	.Y(n_10368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382469 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [30]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [30]),
-	.Y(n_10367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382470 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [26]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [26]),
-	.Y(n_10366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382471 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [26]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [26]),
-	.Y(n_10365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382472 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [16]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [16]),
-	.Y(n_10364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382473 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [26]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [26]),
-	.Y(n_10363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382474 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [16]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [16]),
-	.Y(n_10362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382475 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [16]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [16]),
-	.Y(n_10361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382476 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [16]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [16]),
-	.Y(n_10360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382477 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [16]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [16]),
-	.Y(n_10359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382478 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [16]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [16]),
-	.Y(n_10358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382479 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [21]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [21]),
-	.Y(n_10357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382480 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [15]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [15]),
-	.Y(n_10356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382481 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [15]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [15]),
-	.Y(n_10355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382482 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [15]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [15]),
-	.Y(n_10354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382483 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [15]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [15]),
-	.Y(n_10353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382484 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [15]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [15]),
-	.Y(n_10352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382485 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [15]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [15]),
-	.Y(n_10351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382486 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [30]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [30]),
-	.Y(n_10350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382487 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [14]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [14]),
-	.Y(n_10349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382488 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [29]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [29]),
-	.Y(n_10348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382489 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [14]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [14]),
-	.Y(n_10347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382490 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [14]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [14]),
-	.Y(n_10346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382491 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [29]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [29]),
-	.Y(n_10345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382492 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [14]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [14]),
-	.Y(n_10344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382493 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [14]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [14]),
-	.Y(n_10343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382494 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [29]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [29]),
-	.Y(n_10342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382495 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [29]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [29]),
-	.Y(n_10341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382496 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [29]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [29]),
-	.Y(n_10340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382497 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [25]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [25]),
-	.Y(n_10339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382498 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [29]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [29]),
-	.Y(n_10338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382499 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [25]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [25]),
-	.Y(n_10337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382500 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [13]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [13]),
-	.Y(n_10336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382501 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [25]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [25]),
-	.Y(n_10335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382502 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [13]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [13]),
-	.Y(n_10334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382503 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [13]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [13]),
-	.Y(n_10333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382504 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [13]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [13]),
-	.Y(n_10332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382505 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [13]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [13]),
-	.Y(n_10331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382506 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [25]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [25]),
-	.Y(n_10330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382507 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [13]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [13]),
-	.Y(n_10329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382508 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [25]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [25]),
-	.Y(n_10328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382509 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [25]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [25]),
-	.Y(n_10327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382510 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [12]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [12]),
-	.Y(n_10326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382511 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [12]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [12]),
-	.Y(n_10325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382512 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [12]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [12]),
-	.Y(n_10324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382513 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [12]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [12]),
-	.Y(n_10323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382514 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [12]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [12]),
-	.Y(n_10322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382515 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [3]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [3]),
-	.Y(n_10321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382516 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [11]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [11]),
-	.Y(n_10320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382517 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [11]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [11]),
-	.Y(n_10319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382518 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [11]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [11]),
-	.Y(n_10318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382519 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [11]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [11]),
-	.Y(n_10317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382520 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [11]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [11]),
-	.Y(n_10316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382521 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [10]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [10]),
-	.Y(n_10315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382522 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [10]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [10]),
-	.Y(n_10314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382523 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [10]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [10]),
-	.Y(n_10313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382524 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [10]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [10]),
-	.Y(n_10312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382525 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [10]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [10]),
-	.Y(n_10311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382526 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [10]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [10]),
-	.Y(n_10310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382527 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [24]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [24]),
-	.Y(n_10309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382528 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [24]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [24]),
-	.Y(n_10308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382529 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [24]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [24]),
-	.Y(n_10307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382530 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [30]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [30]),
-	.Y(n_10306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382531 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [24]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [24]),
-	.Y(n_10305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382532 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [24]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [24]),
-	.Y(n_10304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382533 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [24]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [24]),
-	.Y(n_10303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382534 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [9]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [9]),
-	.Y(n_10302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382535 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [9]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [9]),
-	.Y(n_10301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382536 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [9]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [9]),
-	.Y(n_10300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382537 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [9]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [9]),
-	.Y(n_10299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382538 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [9]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [9]),
-	.Y(n_10298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382539 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [21]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [21]),
-	.Y(n_10297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382540 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [8]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [8]),
-	.Y(n_10296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382541 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [8]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [8]),
-	.Y(n_10295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382542 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [8]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [8]),
-	.Y(n_10294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 g382543 (
-	.A(n_10199),
-	.B(n_14978),
-	.C(n_9961),
-	.Y(soc_top_main_swith_host_lsu_dev_select_t[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g382544 (
-	.A(n_15249),
-	.B(n_18326),
-	.Y(n_10385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382545 (
-	.A(n_18326),
-	.B(n_10198),
-	.Y(n_9137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382546 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [18]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [18]),
-	.Y(n_10293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382547 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [3]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [3]),
-	.Y(n_10292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382548 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [28]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [28]),
-	.Y(n_10291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382549 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [7]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [7]),
-	.Y(n_10290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382550 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [7]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [7]),
-	.Y(n_10289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382551 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [7]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [7]),
-	.Y(n_10288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382552 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [28]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [28]),
-	.Y(n_10287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382553 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [7]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [7]),
-	.Y(n_10286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382554 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [7]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [7]),
-	.Y(n_10285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382555 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [7]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [7]),
-	.Y(n_10284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382556 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [28]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [28]),
-	.Y(n_10283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382557 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [28]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [28]),
-	.Y(n_10282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382558 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [28]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [28]),
-	.Y(n_10281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382559 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [1]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [1]),
-	.Y(n_10280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382560 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [23]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [23]),
-	.Y(n_10279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382561 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [23]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [23]),
-	.Y(n_10278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382562 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [23]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [23]),
-	.Y(n_10277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382563 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [6]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [6]),
-	.Y(n_10276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382564 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [6]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [6]),
-	.Y(n_10275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382565 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [6]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [6]),
-	.Y(n_10274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382566 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [23]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [23]),
-	.Y(n_10273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382567 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [6]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [6]),
-	.Y(n_10272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382568 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [6]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [6]),
-	.Y(n_10271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382569 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [23]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [23]),
-	.Y(n_10270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382570 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [6]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [6]),
-	.Y(n_10269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382571 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [23]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [23]),
-	.Y(n_10268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382572 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [1]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [1]),
-	.Y(n_10267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382573 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [5]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [5]),
-	.Y(n_10266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382574 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [5]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [5]),
-	.Y(n_10265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382575 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [5]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [5]),
-	.Y(n_10264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382576 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [5]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [5]),
-	.Y(n_10263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382577 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [5]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [5]),
-	.Y(n_10262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382578 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [5]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [5]),
-	.Y(n_10261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382579 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [21]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [21]),
-	.Y(n_10260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382580 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [20]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [20]),
-	.Y(n_10259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382581 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [22]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [22]),
-	.Y(n_10258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382582 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [22]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [22]),
-	.Y(n_10257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382583 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [4]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [4]),
-	.Y(n_10256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382584 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [4]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [4]),
-	.Y(n_10255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382585 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [4]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [4]),
-	.Y(n_10254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382586 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [22]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [22]),
-	.Y(n_10253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382587 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [22]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [22]),
-	.Y(n_10252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382588 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [4]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [4]),
-	.Y(n_10251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382589 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [4]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [4]),
-	.Y(n_10250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382590 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [22]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [22]),
-	.Y(n_10249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382591 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [4]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [4]),
-	.Y(n_10248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382592 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [1]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [1]),
-	.Y(n_10247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382593 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [28]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [28]),
-	.Y(n_10246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382594 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [3]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [3]),
-	.Y(n_10245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382595 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [3]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [3]),
-	.Y(n_10244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382596 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [2]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [2]),
-	.Y(n_10243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382597 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [2]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [2]),
-	.Y(n_10242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382598 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [2]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [2]),
-	.Y(n_10241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382599 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [21]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [21]),
-	.Y(n_10240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382600 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [21]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [21]),
-	.Y(n_10239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382601 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [2]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [2]),
-	.Y(n_10238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382602 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [2]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [2]),
-	.Y(n_10237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382603 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [21]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [21]),
-	.Y(n_10236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382604 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [30]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [30]),
-	.Y(n_10235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382605 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [22]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [22]),
-	.Y(n_10234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382606 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [1]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [1]),
-	.Y(n_10233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382607 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [27]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [27]),
-	.Y(n_10232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382608 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [27]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [27]),
-	.Y(n_10231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382609 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [20]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [20]),
-	.Y(n_10230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382610 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [20]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [20]),
-	.Y(n_10229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382611 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [27]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [27]),
-	.Y(n_10228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382612 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [27]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [27]),
-	.Y(n_10227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382613 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [0]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [0]),
-	.Y(n_10226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382614 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [20]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [20]),
-	.Y(n_10225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382615 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [0]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [0]),
-	.Y(n_10224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382616 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [20]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [20]),
-	.Y(n_10223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382617 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [0]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [0]),
-	.Y(n_10222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382618 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [0]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [0]),
-	.Y(n_10221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382619 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [0]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [0]),
-	.Y(n_10220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382620 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [20]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [20]),
-	.Y(n_10219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382621 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [0]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [0]),
-	.Y(n_10218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382622 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [27]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [27]),
-	.Y(n_10217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382623 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [27]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [27]),
-	.Y(n_10216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382624 (
-	.A1(n_9994),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [3]),
-	.B1(n_9991),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [3]),
-	.Y(n_10215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382625 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [19]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [19]),
-	.Y(n_10214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382626 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [19]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [19]),
-	.Y(n_10213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382627 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [19]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [19]),
-	.Y(n_10212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382628 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [19]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [19]),
-	.Y(n_10211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382629 (
-	.A1(n_9995),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [19]),
-	.B1(n_10001),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [19]),
-	.Y(n_10210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382630 (
-	.A1(n_9452),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [19]),
-	.B1(n_9999),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [19]),
-	.Y(n_10209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382631 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [1]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [1]),
-	.Y(n_10208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382632 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [30]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [30]),
-	.Y(n_10207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382633 (
-	.A1(n_9993),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [18]),
-	.B1(n_9997),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [18]),
-	.Y(n_10206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382634 (
-	.A1(n_9992),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [18]),
-	.B1(n_9988),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [18]),
-	.Y(n_10205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382635 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [18]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [18]),
-	.Y(n_10204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382636 (
-	.A1(n_10000),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [18]),
-	.B1(n_9990),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [18]),
-	.Y(n_10203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382637 (
-	.A1(n_9987),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [18]),
-	.B1(n_9998),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [18]),
-	.Y(n_10202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382638 (
-	.A1(n_9996),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [3]),
-	.B1(n_9989),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [3]),
-	.Y(n_10201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g382639 (
-	.A(n_10200),
-	.Y(n_14977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382641 (
-	.A(n_10198),
-	.Y(n_15249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382642 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [26]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [26]),
-	.Y(n_10197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g382643 (
-	.A(n_9959),
-	.B(n_9536),
-	.X(n_10196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g382644 (
-	.A(n_9985),
-	.B(n_9536),
-	.X(n_10195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g382645 (
-	.A(n_9976),
-	.B(n_9536),
-	.X(n_10194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g382646 (
-	.A(n_9977),
-	.B(n_9536),
-	.X(n_10193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g382647 (
-	.A(n_9978),
-	.B(n_9536),
-	.X(n_10192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g382648 (
-	.A(n_9979),
-	.B(n_9536),
-	.X(n_10191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g382649 (
-	.A(n_9980),
-	.B(n_9536),
-	.X(n_10190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g382650 (
-	.A(n_9981),
-	.B(n_9536),
-	.X(n_10189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g382651 (
-	.A(n_9982),
-	.B(n_9536),
-	.X(n_10188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g382652 (
-	.A(n_9984),
-	.B(n_9536),
-	.X(n_10187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g382653 (
-	.A(n_9983),
-	.B(n_9536),
-	.X(n_10186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g379535 (
-	.A(n_9958),
-	.B(n_9536),
-	.X(n_10185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382654 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [11]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [11]),
-	.Y(n_10184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382655 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [11]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [11]),
-	.Y(n_10183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g382656 (
-	.A(n_9953),
-	.B(n_9536),
-	.X(n_10182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g382657 (
-	.A(n_9952),
-	.B(n_9536),
-	.X(n_10181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g382658 (
-	.A(n_9954),
-	.B(n_9536),
-	.X(n_10180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g382659 (
-	.A(n_10002),
-	.B(n_9825),
-	.Y(n_10179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g382660 (
-	.A(n_9877),
-	.B(n_9886),
-	.C(n_9536),
-	.X(n_10178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g382661 (
-	.A(n_9890),
-	.B(n_9862),
-	.C(n_9536),
-	.X(n_10177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g382662 (
-	.A(n_9888),
-	.B(n_9889),
-	.C(n_9536),
-	.X(n_10176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g382663 (
-	.A(n_9887),
-	.B(n_9872),
-	.C(n_9536),
-	.X(n_10175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g382664 (
-	.A(n_9884),
-	.B(n_9885),
-	.C(n_9536),
-	.X(n_10174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g382665 (
-	.A(n_9881),
-	.B(n_9882),
-	.C(n_9536),
-	.X(n_10173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g382666 (
-	.A(n_9879),
-	.B(n_9880),
-	.C(n_9536),
-	.X(n_10172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g382667 (
-	.A(n_9870),
-	.B(n_9869),
-	.C(n_9536),
-	.X(n_10171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g382668 (
-	.A(n_9897),
-	.B(n_9895),
-	.C(n_9536),
-	.X(n_10170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g382669 (
-	.A(n_9875),
-	.B(n_9868),
-	.C(n_9536),
-	.X(n_10169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g382670 (
-	.A(n_9873),
-	.B(n_9874),
-	.C(n_9536),
-	.X(n_10168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g382671 (
-	.A(n_9871),
-	.B(n_9865),
-	.C(n_9536),
-	.X(n_10167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g382672 (
-	.A(n_9866),
-	.B(n_9883),
-	.C(n_9536),
-	.X(n_10166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g382673 (
-	.A(n_9876),
-	.B(n_9867),
-	.C(n_9536),
-	.X(n_10165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g382674 (
-	.A(n_9863),
-	.B(n_9864),
-	.C(n_9536),
-	.X(n_10164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382675 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [11]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [11]),
-	.Y(n_10163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g382676 (
-	.A1(n_15144),
-	.A2(n_9893),
-	.B1(n_9588),
-	.Y(n_10162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382677 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [17]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [17]),
-	.Y(n_10161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382678 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [17]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [17]),
-	.Y(n_10160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382679 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [17]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [17]),
-	.Y(n_10159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382680 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [17]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [17]),
-	.Y(n_10158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382681 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [17]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [17]),
-	.Y(n_10157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382682 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [26]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [26]),
-	.Y(n_10156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382683 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [4]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [4]),
-	.Y(n_10155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382684 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [16]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [16]),
-	.Y(n_10154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382685 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [26]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [26]),
-	.Y(n_10153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382686 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [16]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [16]),
-	.Y(n_10152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382687 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [26]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [26]),
-	.Y(n_10151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382688 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [16]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [16]),
-	.Y(n_10150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g382689 (
-	.A(n_9956),
-	.B(n_9536),
-	.X(n_10149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382690 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [16]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [16]),
-	.Y(n_10148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382691 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [16]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [16]),
-	.Y(n_10147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382692 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [6]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [6]),
-	.Y(n_10146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382693 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [15]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [15]),
-	.Y(n_10145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382694 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [15]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [15]),
-	.Y(n_10144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382695 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [15]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [15]),
-	.Y(n_10143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382696 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [15]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [15]),
-	.Y(n_10142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382697 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [15]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [15]),
-	.Y(n_10141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382698 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [2]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [2]),
-	.Y(n_10140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382699 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [21]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [21]),
-	.Y(n_10139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382700 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [2]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [2]),
-	.Y(n_10138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382701 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [28]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [28]),
-	.Y(n_10137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382702 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [24]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [24]),
-	.Y(n_10136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382703 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [30]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [30]),
-	.Y(n_10135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382704 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [14]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [14]),
-	.Y(n_10134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382705 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [14]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [14]),
-	.Y(n_10133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382706 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [14]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [14]),
-	.Y(n_10132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382707 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [14]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [14]),
-	.Y(n_10131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382708 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [14]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [14]),
-	.Y(n_10130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382709 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [14]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [14]),
-	.Y(n_10129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382710 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [27]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [27]),
-	.Y(n_10128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382711 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [28]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [28]),
-	.Y(n_10127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382712 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [30]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [30]),
-	.Y(n_10126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382713 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [28]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [28]),
-	.Y(n_10125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382714 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [29]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [29]),
-	.Y(n_10124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382715 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [29]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [29]),
-	.Y(n_10123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382716 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [13]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [13]),
-	.Y(n_10122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382717 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [13]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [13]),
-	.Y(n_10121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382718 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [13]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [13]),
-	.Y(n_10120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382719 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [13]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [13]),
-	.Y(n_10119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382720 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [25]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [25]),
-	.Y(n_10118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382721 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [30]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [30]),
-	.Y(n_10117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382722 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [25]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [25]),
-	.Y(n_10116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382723 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [29]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [29]),
-	.Y(n_10115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382724 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [25]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [25]),
-	.Y(n_10114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382725 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [29]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [29]),
-	.Y(n_10113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382726 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [25]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [25]),
-	.Y(n_10112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382727 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [29]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [29]),
-	.Y(n_10111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382728 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [25]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [25]),
-	.Y(n_10110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379612 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [12]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [12]),
-	.Y(n_10109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g379613 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [12]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [12]),
-	.Y(n_10108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382729 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [12]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [12]),
-	.Y(n_10107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382730 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [12]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [12]),
-	.Y(n_10106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382731 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [12]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [12]),
-	.Y(n_10105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382732 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [12]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [12]),
-	.Y(n_10104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382733 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [27]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [27]),
-	.Y(n_10103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382734 (
-	.A(\soc_top_lsu_to_xbar[a_address] [16]),
-	.B(n_10002),
-	.Y(n_10200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g382735 (
-	.A(n_9963),
-	.B(\soc_top_lsu_to_xbar[a_address] [18]),
-	.Y(n_10199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g382736 (
-	.A1(n_14878),
-	.A2(n_9892),
-	.B1(n_14893),
-	.X(n_10198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382737 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [18]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [18]),
-	.Y(n_10102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382738 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [11]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [11]),
-	.Y(n_10101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382739 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [11]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [11]),
-	.Y(n_10100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 g382740 (
-	.A1(n_9695),
-	.A2(n_9818),
-	.A3(n_9861),
-	.B1(n_9551),
-	.B2(n_9833),
-	.Y(n_10099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382741 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [10]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [10]),
-	.Y(n_10098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382742 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [10]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [10]),
-	.Y(n_10097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382743 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [22]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [22]),
-	.Y(n_10096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382744 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [10]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [10]),
-	.Y(n_10095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382745 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [10]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [10]),
-	.Y(n_10094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382746 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [10]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [10]),
-	.Y(n_10093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382747 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [24]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [24]),
-	.Y(n_10092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382748 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [24]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [24]),
-	.Y(n_10091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382749 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [24]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [24]),
-	.Y(n_10090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382750 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [9]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [9]),
-	.Y(n_10089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382751 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [24]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [24]),
-	.Y(n_10088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382752 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [9]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [9]),
-	.Y(n_10087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382753 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [9]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [9]),
-	.Y(n_10086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382754 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [20]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [20]),
-	.Y(n_10085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382755 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [9]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [9]),
-	.Y(n_10084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382756 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [9]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [9]),
-	.Y(n_10083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382757 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [9]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [9]),
-	.Y(n_10082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382758 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [30]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [30]),
-	.Y(n_10081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382759 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [5]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [5]),
-	.Y(n_10080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382760 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [8]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [8]),
-	.Y(n_10079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382761 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [8]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [8]),
-	.Y(n_10078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382762 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [8]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [8]),
-	.Y(n_10077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382763 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [8]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [8]),
-	.Y(n_10076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382764 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [8]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [8]),
-	.Y(n_10075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382765 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [0]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [0]),
-	.Y(n_10074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382766 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [30]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [30]),
-	.Y(n_10073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382767 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [7]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [7]),
-	.Y(n_10072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382768 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [3]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [3]),
-	.Y(n_10071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382769 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [7]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [7]),
-	.Y(n_10070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382770 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [7]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [7]),
-	.Y(n_10069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382771 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [7]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [7]),
-	.Y(n_10068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382772 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [26]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [26]),
-	.Y(n_10067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382773 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [7]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [7]),
-	.Y(n_10066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382774 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [27]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [27]),
-	.Y(n_10065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382775 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [6]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [6]),
-	.Y(n_10064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382776 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [28]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [28]),
-	.Y(n_10063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382777 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [6]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [6]),
-	.Y(n_10062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382778 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [23]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [23]),
-	.Y(n_10061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382779 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [6]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [6]),
-	.Y(n_10060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382780 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [23]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [23]),
-	.Y(n_10059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382781 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [6]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [6]),
-	.Y(n_10058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382782 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [28]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [28]),
-	.Y(n_10057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382783 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [23]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [23]),
-	.Y(n_10056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382784 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [23]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [23]),
-	.Y(n_10055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382785 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [23]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [23]),
-	.Y(n_10054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382786 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [5]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [5]),
-	.Y(n_10053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382787 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [5]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [5]),
-	.Y(n_10052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382788 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [5]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [5]),
-	.Y(n_10051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382789 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [5]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [5]),
-	.Y(n_10050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382790 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [1]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [1]),
-	.Y(n_10049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382791 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [4]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [4]),
-	.Y(n_10048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382792 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [22]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [22]),
-	.Y(n_10047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382793 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [4]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [4]),
-	.Y(n_10046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382794 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [22]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [22]),
-	.Y(n_10045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382795 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [4]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [4]),
-	.Y(n_10044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382796 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [4]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [4]),
-	.Y(n_10043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382797 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [22]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [22]),
-	.Y(n_10042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382798 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [22]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [22]),
-	.Y(n_10041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382799 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [1]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [1]),
-	.Y(n_10040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382800 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [3]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [3]),
-	.Y(n_10039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382801 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [3]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [3]),
-	.Y(n_10038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382802 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [21]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [21]),
-	.Y(n_10037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382803 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [2]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [2]),
-	.Y(n_10036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382804 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [21]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [21]),
-	.Y(n_10035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382805 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [3]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [3]),
-	.Y(n_10034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382806 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [2]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [2]),
-	.Y(n_10033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382807 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [2]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [2]),
-	.Y(n_10032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382808 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [21]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [21]),
-	.Y(n_10031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382809 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [21]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [21]),
-	.Y(n_10030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382810 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [1]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [1]),
-	.Y(n_10029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382811 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [1]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [1]),
-	.Y(n_10028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382812 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [1]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [1]),
-	.Y(n_10027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382813 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [1]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [1]),
-	.Y(n_10026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382814 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [2]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [2]),
-	.Y(n_10025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382815 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [20]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [20]),
-	.Y(n_10024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382816 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [0]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [0]),
-	.Y(n_10023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382817 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [0]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [0]),
-	.Y(n_10022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382818 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [20]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [20]),
-	.Y(n_10021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382819 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [0]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [0]),
-	.Y(n_10020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382820 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [0]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [0]),
-	.Y(n_10019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382821 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [20]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [20]),
-	.Y(n_10018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382822 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [20]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [20]),
-	.Y(n_10017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382823 (
-	.A1(n_9904),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [27]),
-	.B1(n_9906),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [27]),
-	.Y(n_10016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382824 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [3]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [3]),
-	.Y(n_10015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382825 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [27]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [27]),
-	.Y(n_10014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382826 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [13]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [13]),
-	.Y(n_10013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382827 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [19]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [19]),
-	.Y(n_10012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382828 (
-	.A1(n_9908),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [19]),
-	.B1(n_9828),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [19]),
-	.Y(n_10011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382829 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [19]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [19]),
-	.Y(n_10010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382830 (
-	.A1(n_9899),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [19]),
-	.B1(n_9900),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [19]),
-	.Y(n_10009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382831 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [19]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [19]),
-	.Y(n_10008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382832 (
-	.A1(n_9903),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [18]),
-	.B1(n_9901),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [18]),
-	.Y(n_10007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382833 (
-	.A1(n_9910),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [18]),
-	.B1(n_9905),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [18]),
-	.Y(n_10006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382834 (
-	.A1(n_9902),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [18]),
-	.B1(n_9822),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [18]),
-	.Y(n_10005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382835 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [18]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [18]),
-	.Y(n_10004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382836 (
-	.A1(n_9909),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [11]),
-	.B1(n_9907),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [11]),
-	.Y(n_10003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382837 (
-	.A(n_10001),
-	.Y(n_14937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382838 (
-	.A(n_10000),
-	.Y(n_14936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382839 (
-	.A(n_9999),
-	.Y(n_14932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382840 (
-	.A(n_9998),
-	.Y(n_14862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382841 (
-	.A(n_9997),
-	.Y(n_14933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382842 (
-	.A(n_9452),
-	.Y(n_14970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382843 (
-	.A(n_9996),
-	.Y(n_14942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382844 (
-	.A(n_9995),
-	.Y(n_14861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382845 (
-	.A(n_9994),
-	.Y(n_14931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382846 (
-	.A(n_9993),
-	.Y(n_14934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382847 (
-	.A(n_9992),
-	.Y(n_14930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382848 (
-	.A(n_9991),
-	.Y(n_14935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382849 (
-	.A(n_9990),
-	.Y(n_14938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382850 (
-	.A(n_9989),
-	.Y(n_14941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382851 (
-	.A(n_9988),
-	.Y(n_14940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382852 (
-	.A(n_9987),
-	.Y(n_14939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382853 (
-	.A1(n_9827),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [31]),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [30]),
-	.C1(n_9837),
-	.Y(n_9986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g382854 (
-	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [17]),
-	.A2(n_9827),
-	.B1(n_9821),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
-	.C1(n_9826),
-	.C2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
-	.Y(n_9985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g382855 (
-	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
-	.A2(n_9821),
-	.B1(n_9826),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
-	.C1(n_9621),
-	.C2(\soc_top_timer_to_xbar[d_data] [30]),
-	.Y(n_9984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g382856 (
-	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
-	.A2(n_9821),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [19]),
-	.C1(n_9826),
-	.C2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
-	.Y(n_9983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g382857 (
-	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
-	.A2(n_9821),
-	.B1(n_9621),
-	.B2(\soc_top_timer_to_xbar[d_data] [24]),
-	.C1(n_9826),
-	.C2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
-	.Y(n_9982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g382858 (
-	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
-	.A2(n_9821),
-	.B1(n_9826),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
-	.C1(n_9621),
-	.C2(\soc_top_timer_to_xbar[d_data] [29]),
-	.Y(n_9981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g382859 (
-	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
-	.A2(n_9826),
-	.B1(n_9827),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [18]),
-	.C1(n_9821),
-	.C2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
-	.Y(n_9980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g382860 (
-	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
-	.A2(n_9821),
-	.B1(n_9826),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
-	.C1(n_9621),
-	.C2(\soc_top_timer_to_xbar[d_data] [21]),
-	.Y(n_9979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g382861 (
-	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
-	.A2(n_9821),
-	.B1(n_9621),
-	.B2(\soc_top_timer_to_xbar[d_data] [25]),
-	.C1(n_9826),
-	.C2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
-	.Y(n_9978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g382862 (
-	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
-	.A2(n_9821),
-	.B1(n_9826),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
-	.C1(n_9827),
-	.C2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [19]),
-	.Y(n_9977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g382863 (
-	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
-	.A2(n_9821),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [26]),
-	.C1(n_9826),
-	.C2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
-	.Y(n_9976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382864 (
-	.A1(n_9826),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
-	.B1(n_9810),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [16]),
-	.C1(n_9891),
-	.Y(n_9975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382865 (
-	.A1(n_9827),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [23]),
-	.B1(n_9621),
-	.B2(\soc_top_timer_to_xbar[d_data] [22]),
-	.C1(n_9834),
-	.Y(n_9974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382866 (
-	.A1(n_9827),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [28]),
-	.B1(n_9621),
-	.B2(\soc_top_timer_to_xbar[d_data] [27]),
-	.C1(n_9835),
-	.Y(n_9973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382867 (
-	.A1(n_9827),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [26]),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [25]),
-	.C1(n_9850),
-	.Y(n_9972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382868 (
-	.A1(n_9810),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [27]),
-	.B1(n_9623),
-	.B2(\soc_top_plic_resp[d_data] [26]),
-	.C1(n_9894),
-	.Y(n_9971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382869 (
-	.A1(n_9827),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [22]),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [21]),
-	.C1(n_9841),
-	.Y(n_9970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382870 (
-	.A1(n_9827),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [30]),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [29]),
-	.C1(n_9845),
-	.Y(n_9969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382871 (
-	.A1(n_9827),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [20]),
-	.B1(n_9621),
-	.B2(\soc_top_timer_to_xbar[d_data] [19]),
-	.C1(n_9836),
-	.Y(n_9968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382872 (
-	.A1(n_9810),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [25]),
-	.B1(n_9623),
-	.B2(\soc_top_plic_resp[d_data] [24]),
-	.C1(n_9878),
-	.Y(n_9967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382873 (
-	.A1(n_9827),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [29]),
-	.B1(n_9621),
-	.B2(\soc_top_timer_to_xbar[d_data] [28]),
-	.C1(n_9842),
-	.Y(n_9966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382874 (
-	.A1(n_9827),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [24]),
-	.B1(n_9621),
-	.B2(\soc_top_timer_to_xbar[d_data] [23]),
-	.C1(n_9838),
-	.Y(n_9965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382875 (
-	.A1(n_9827),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [21]),
-	.B1(n_9621),
-	.B2(\soc_top_timer_to_xbar[d_data] [20]),
-	.C1(n_9847),
-	.Y(n_9964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g382876 (
-	.A(n_9923),
-	.B(\soc_top_lsu_to_xbar[a_address] [17]),
-	.Y(n_10002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382877 (
-	.A(n_14974),
-	.B(n_9921),
-	.Y(n_10001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382878 (
-	.A(n_14974),
-	.B(n_9911),
-	.Y(n_10000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g382879 (
-	.A(n_9859),
-	.B(n_14973),
-	.C(n_9488),
-	.X(n_9999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382880 (
-	.A(n_9520),
-	.B(n_9912),
-	.Y(n_9998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382881 (
-	.A(n_14864),
-	.B(n_9921),
-	.Y(n_9997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382882 (
-	.A(n_14864),
-	.B(n_9911),
-	.Y(n_9996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382883 (
-	.A(n_14974),
-	.B(n_9920),
-	.Y(n_9995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382884 (
-	.A(n_14863),
-	.B(n_9920),
-	.Y(n_9994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382885 (
-	.A(n_14864),
-	.B(n_9920),
-	.Y(n_9993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382886 (
-	.A(n_14863),
-	.B(n_9911),
-	.Y(n_9992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382887 (
-	.A(n_14863),
-	.B(n_9921),
-	.Y(n_9991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382888 (
-	.A(n_14974),
-	.B(n_9912),
-	.Y(n_9990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382889 (
-	.A(n_14864),
-	.B(n_9912),
-	.Y(n_9989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382890 (
-	.A(n_14863),
-	.B(n_9912),
-	.Y(n_9988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382891 (
-	.A(n_9520),
-	.B(n_9911),
-	.Y(n_9987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382892 (
-	.A(n_9962),
-	.Y(n_9963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382894 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [24]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [24]),
-	.Y(n_9960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g382895 (
-	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
-	.A2(n_9821),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [28]),
-	.C1(n_9826),
-	.C2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
-	.Y(n_9959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g382896 (
-	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
-	.A2(n_9821),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [20]),
-	.C1(n_9826),
-	.C2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
-	.Y(n_9958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382897 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [1]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [1]),
-	.Y(n_9957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g382898 (
-	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
-	.A2(n_9821),
-	.B1(n_9826),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
-	.C1(n_9620),
-	.C2(\soc_top_spi_to_xbar[d_data] [22]),
-	.Y(n_9956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382899 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [18]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [18]),
-	.Y(n_9955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g382900 (
-	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
-	.A2(n_9821),
-	.B1(n_9826),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
-	.C1(n_9620),
-	.C2(\soc_top_spi_to_xbar[d_data] [23]),
-	.Y(n_9954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g382901 (
-	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
-	.A2(n_9821),
-	.B1(n_9826),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
-	.C1(n_9620),
-	.C2(\soc_top_spi_to_xbar[d_data] [31]),
-	.Y(n_9953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g382902 (
-	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
-	.A2(n_9821),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [27]),
-	.C1(n_9826),
-	.C2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
-	.Y(n_9952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382903 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [17]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [17]),
-	.Y(n_9951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382904 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [30]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [30]),
-	.Y(n_9950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382905 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [26]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [26]),
-	.Y(n_9949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382906 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [16]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [16]),
-	.Y(n_9948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382907 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [15]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [15]),
-	.Y(n_9947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382908 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [23]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [23]),
-	.Y(n_9946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382909 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [14]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [14]),
-	.Y(n_9945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382910 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [29]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [29]),
-	.Y(n_9944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382911 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [12]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [12]),
-	.Y(n_9943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382912 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [4]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [4]),
-	.Y(n_9942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382913 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [10]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [10]),
-	.Y(n_9941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382914 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [13]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [13]),
-	.Y(n_9940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382915 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [9]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [9]),
-	.Y(n_9939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382916 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [28]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [28]),
-	.Y(n_9938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382917 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [7]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [7]),
-	.Y(n_9937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382918 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [11]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [11]),
-	.Y(n_9936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382919 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [6]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [6]),
-	.Y(n_9935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382920 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [22]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [22]),
-	.Y(n_9934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382921 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [3]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [3]),
-	.Y(n_9933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382922 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [5]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [5]),
-	.Y(n_9932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382923 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [21]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [21]),
-	.Y(n_9931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382924 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [0]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [0]),
-	.Y(n_9930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382925 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [27]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [27]),
-	.Y(n_9929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382926 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [20]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [20]),
-	.Y(n_9928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382927 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [19]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [19]),
-	.Y(n_9927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382928 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [2]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [2]),
-	.Y(n_9926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382929 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [8]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [8]),
-	.Y(n_9925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382930 (
-	.A1(n_9853),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [25]),
-	.B1(n_9852),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [25]),
-	.Y(n_9924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g382931 (
-	.A(n_9922),
-	.B(n_9512),
-	.Y(n_9962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g382932 (
-	.A(n_9922),
-	.B(n_9570),
-	.Y(n_9961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g382933 (
-	.A(n_9923),
-	.B(n_9445),
-	.Y(n_14978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382934 (
-	.A(n_9918),
-	.Y(n_9919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382935 (
-	.A(n_9916),
-	.Y(n_9917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g382936 (
-	.A(n_9913),
-	.Y(n_9914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382937 (
-	.A(n_9910),
-	.Y(n_14856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382938 (
-	.A(n_9909),
-	.Y(n_14924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382939 (
-	.A(n_9908),
-	.Y(n_14858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382940 (
-	.A(n_9907),
-	.Y(n_14928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382941 (
-	.A(n_9906),
-	.Y(n_14926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382942 (
-	.A(n_9905),
-	.Y(n_14857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382943 (
-	.A(n_9904),
-	.Y(n_14923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382944 (
-	.A(n_9903),
-	.Y(n_14929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382945 (
-	.A(n_9902),
-	.Y(n_14921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382946 (
-	.A(n_9901),
-	.Y(n_14859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382947 (
-	.A(n_9900),
-	.Y(n_14860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g382948 (
-	.A(n_9899),
-	.Y(n_14922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382949 (
-	.A1(n_9810),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [32]),
-	.B1(n_9827),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [32]),
-	.C1(n_9702),
-	.Y(n_9898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382950 (
-	.A1(n_9826),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
-	.B1(n_9827),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [5]),
-	.Y(n_9897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o41ai_1 g382951 (
-	.A1(n_9561),
-	.A2(n_12107),
-	.A3(n_9671),
-	.A4(n_9740),
-	.B1(n_9548),
-	.Y(n_9896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382952 (
-	.A1(n_9821),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
-	.B1(n_9810),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [5]),
-	.Y(n_9895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g382953 (
-	.A1(n_9827),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [27]),
-	.B1(n_9621),
-	.B2(\soc_top_timer_to_xbar[d_data] [26]),
-	.X(n_9894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g382954 (
-	.A1(n_9738),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
-	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
-	.C1(n_9669),
-	.D1(n_9619),
-	.Y(n_9893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g382955 (
-	.A1(n_9546),
-	.A2(n_9695),
-	.B1(n_9754),
-	.C1(n_9861),
-	.Y(n_9892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g382956 (
-	.A(n_9560),
-	.B(n_9858),
-	.Y(n_9923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382957 (
-	.A(n_9509),
-	.B(n_9858),
-	.Y(n_9922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g382958 (
-	.A(n_9860),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
-	.Y(n_9921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g382959 (
-	.A(n_9860),
-	.B(n_9486),
-	.Y(n_9920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382960 (
-	.A1(n_9813),
-	.A2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [3]),
-	.B1(n_9749),
-	.B2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [3]),
-	.C1(n_9843),
-	.Y(n_9918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382961 (
-	.A1(n_9813),
-	.A2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [4]),
-	.B1(n_9749),
-	.B2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [4]),
-	.C1(n_9846),
-	.Y(n_9916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g382962 (
-	.A1(n_9813),
-	.A2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [1]),
-	.B1(n_9749),
-	.B2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [1]),
-	.C1(n_9844),
-	.X(n_9915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g382963 (
-	.A1(n_9813),
-	.A2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [2]),
-	.B1(n_9749),
-	.B2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [2]),
-	.C1(n_9839),
-	.Y(n_9913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g382964 (
-	.A(n_9857),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
-	.Y(n_9912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g382965 (
-	.A(n_9857),
-	.B(n_9486),
-	.Y(n_9911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382966 (
-	.A(n_14864),
-	.B(n_9856),
-	.Y(n_9910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382967 (
-	.A(n_14974),
-	.B(n_9856),
-	.Y(n_9909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382968 (
-	.A(n_14863),
-	.B(n_9854),
-	.Y(n_9908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382969 (
-	.A(n_14974),
-	.B(n_9855),
-	.Y(n_9907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382970 (
-	.A(n_9520),
-	.B(n_9855),
-	.Y(n_9906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382971 (
-	.A(n_14864),
-	.B(n_9855),
-	.Y(n_9905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382972 (
-	.A(n_9520),
-	.B(n_9856),
-	.Y(n_9904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382973 (
-	.A(n_14863),
-	.B(n_9856),
-	.Y(n_9903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382974 (
-	.A(n_9520),
-	.B(n_9854),
-	.Y(n_9902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382975 (
-	.A(n_14863),
-	.B(n_9855),
-	.Y(n_9901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g382976 (
-	.A(n_14974),
-	.B_N(n_9859),
-	.Y(n_9900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g382977 (
-	.A(n_14974),
-	.B(n_9854),
-	.Y(n_9899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g382978 (
-	.A1(n_9827),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [16]),
-	.B1(n_9821),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
-	.X(n_9891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382979 (
-	.A1(n_9826),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
-	.B1(n_9827),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [3]),
-	.Y(n_9890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382980 (
-	.A1(n_9821),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
-	.B1(n_9810),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [11]),
-	.Y(n_9889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382981 (
-	.A1(n_9826),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
-	.B1(n_9827),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [11]),
-	.Y(n_9888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382982 (
-	.A1(n_9826),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
-	.B1(n_9827),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [2]),
-	.Y(n_9887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382983 (
-	.A1(n_9827),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [15]),
-	.B1(n_9821),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
-	.Y(n_9886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382984 (
-	.A1(n_9821),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
-	.B1(n_9810),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [10]),
-	.Y(n_9885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382985 (
-	.A1(n_9826),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
-	.B1(n_9827),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [10]),
-	.Y(n_9884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382986 (
-	.A1(n_9821),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
-	.B1(n_9810),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [12]),
-	.Y(n_9883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382987 (
-	.A1(n_9821),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
-	.B1(n_9810),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [14]),
-	.Y(n_9882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382988 (
-	.A1(n_9826),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
-	.B1(n_9827),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [14]),
-	.Y(n_9881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382989 (
-	.A1(n_9827),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [6]),
-	.B1(n_9821),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
-	.Y(n_9880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382990 (
-	.A1(n_9826),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
-	.B1(n_9810),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [6]),
-	.Y(n_9879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g382991 (
-	.A1(n_9827),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [25]),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [24]),
-	.X(n_9878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382992 (
-	.A1(n_9826),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
-	.B1(n_9810),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [15]),
-	.Y(n_9877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382993 (
-	.A1(n_9826),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
-	.B1(n_9810),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [9]),
-	.Y(n_9876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382994 (
-	.A1(n_9826),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
-	.B1(n_9810),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [13]),
-	.Y(n_9875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382995 (
-	.A1(n_9827),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [7]),
-	.B1(n_9821),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
-	.Y(n_9874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382996 (
-	.A1(n_9826),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
-	.B1(n_9810),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [7]),
-	.Y(n_9873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382997 (
-	.A1(n_9821),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
-	.B1(n_9810),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [2]),
-	.Y(n_9872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382998 (
-	.A1(n_9826),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
-	.B1(n_9810),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [8]),
-	.Y(n_9871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g382999 (
-	.A1(n_9826),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
-	.B1(n_9810),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [1]),
-	.Y(n_9870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383000 (
-	.A1(n_9827),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [1]),
-	.B1(n_9821),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
-	.Y(n_9869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383001 (
-	.A1(n_9827),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [13]),
-	.B1(n_9821),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
-	.Y(n_9868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383002 (
-	.A1(n_9827),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [9]),
-	.B1(n_9821),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
-	.Y(n_9867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383003 (
-	.A1(n_9826),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
-	.B1(n_9827),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [12]),
-	.Y(n_9866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383004 (
-	.A1(n_9827),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [8]),
-	.B1(n_9821),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
-	.Y(n_9865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383005 (
-	.A1(n_9821),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
-	.B1(n_9810),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [4]),
-	.Y(n_9864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383006 (
-	.A1(n_9826),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
-	.B1(n_9827),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [4]),
-	.Y(n_9863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383007 (
-	.A1(n_9821),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
-	.B1(n_9810),
-	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [3]),
-	.Y(n_9862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g383008 (
-	.A(n_9853),
-	.Y(n_14927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g383009 (
-	.A(n_9852),
-	.Y(n_14925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383010 (
-	.A1(n_9810),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [18]),
-	.B1(n_9622),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [17]),
-	.Y(n_9851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383011 (
-	.A1(n_9810),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [26]),
-	.B1(n_9623),
-	.B2(\soc_top_plic_resp[d_data] [25]),
-	.X(n_9850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383012 (
-	.A1(n_9810),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [19]),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [18]),
-	.Y(n_9849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g383013 (
-	.A(n_9581),
-	.B(n_9688),
-	.C(n_9806),
-	.D(n_15131),
-	.Y(n_9848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g383014 (
-	.A(n_9805),
-	.B(n_9657),
-	.C(n_14965),
-	.D(n_9675),
-	.Y(n_9861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383015 (
-	.A(n_9476),
-	.B(n_9832),
-	.Y(n_9860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383016 (
-	.A(n_9524),
-	.B(n_9832),
-	.Y(n_9859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383017 (
-	.A(FE_DBTN26_soc_top_lsu_to_xbar_a_address_31),
-	.B(n_9817),
-	.Y(n_9858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383018 (
-	.A(n_9476),
-	.B(n_9829),
-	.Y(n_9857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383019 (
-	.A(n_9830),
-	.B(n_9525),
-	.Y(n_9856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383020 (
-	.A(n_9830),
-	.B(n_9523),
-	.Y(n_9855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383021 (
-	.A(n_9831),
-	.B(n_9525),
-	.Y(n_9854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383022 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
-	.B(n_9823),
-	.Y(n_9853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383023 (
-	.A(n_9486),
-	.B(n_9823),
-	.Y(n_9852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383024 (
-	.A1(n_9810),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [21]),
-	.B1(n_9623),
-	.B2(\soc_top_plic_resp[d_data] [20]),
-	.X(n_9847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383025 (
-	.A1(n_9450),
-	.A2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [4]),
-	.B1(n_9814),
-	.B2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [4]),
-	.X(n_9846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383026 (
-	.A1(n_9810),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [30]),
-	.B1(n_9622),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [29]),
-	.X(n_9845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383027 (
-	.A1(n_9450),
-	.A2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
-	.B1(n_9814),
-	.B2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
-	.X(n_9844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383028 (
-	.A1(n_9450),
-	.A2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [3]),
-	.B1(n_9814),
-	.B2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [3]),
-	.X(n_9843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383029 (
-	.A1(n_9810),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [29]),
-	.B1(n_9623),
-	.B2(\soc_top_plic_resp[d_data] [28]),
-	.X(n_9842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383030 (
-	.A1(n_9810),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [22]),
-	.B1(n_9622),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [21]),
-	.X(n_9841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383031 (
-	.A1(n_9810),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [17]),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [16]),
-	.Y(n_9840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383032 (
-	.A1(n_9450),
-	.A2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [2]),
-	.B1(n_9814),
-	.B2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [2]),
-	.X(n_9839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383033 (
-	.A1(n_9810),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [24]),
-	.B1(n_9622),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [23]),
-	.X(n_9838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383034 (
-	.A1(n_9810),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [31]),
-	.B1(n_9622),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [30]),
-	.X(n_9837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383035 (
-	.A1(n_9810),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [20]),
-	.B1(n_9623),
-	.B2(\soc_top_plic_resp[d_data] [19]),
-	.X(n_9836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383036 (
-	.A1(n_9810),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [28]),
-	.B1(n_9623),
-	.B2(\soc_top_plic_resp[d_data] [27]),
-	.X(n_9835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383037 (
-	.A1(n_9810),
-	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [23]),
-	.B1(n_9623),
-	.B2(\soc_top_plic_resp[d_data] [22]),
-	.X(n_9834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4_1 g383038 (
-	.A(n_14963),
-	.B(n_15138),
-	.C(n_15142),
-	.D(n_15139),
-	.X(n_9833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g383039 (
-	.A(n_9831),
-	.Y(n_9832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g383040 (
-	.A(n_9829),
-	.Y(n_9830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g383041 (
-	.A(n_9828),
-	.Y(n_14919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383042 (
-	.A(n_9576),
-	.B(n_18334),
-	.Y(n_9825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g383043 (
-	.A1(n_9609),
-	.A2(n_9739),
-	.B1(n_14889),
-	.Y(n_9824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383044 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
-	.B(n_9811),
-	.Y(n_9831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383045 (
-	.A(n_9812),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
-	.Y(n_9829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383046 (
-	.A(n_9443),
-	.B(n_9811),
-	.Y(n_9828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g383047 (
-	.A(n_9815),
-	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.X(n_9827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g383048 (
-	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B_N(n_9815),
-	.Y(n_9826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g383049 (
-	.A(n_9822),
-	.Y(n_14920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g383051 (
-	.A(n_9698),
-	.B(n_9555),
-	.C(n_9550),
-	.Y(n_9819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g383052 (
-	.A1(n_15245),
-	.A2(n_14967),
-	.B1(n_14972),
-	.C1(n_14918),
-	.D1(n_9804),
-	.Y(n_9818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383053 (
-	.A(n_9808),
-	.B(FE_DBTN25_soc_top_lsu_to_xbar_a_address_30),
-	.Y(n_9817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g383054 (
-	.A1(n_14896),
-	.A2(n_17437),
-	.B1(n_14893),
-	.B2(n_9672),
-	.C1(n_9614),
-	.Y(n_9816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383055 (
-	.A(n_9812),
-	.B(n_9603),
-	.Y(n_9823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383056 (
-	.A(n_9658),
-	.B(n_9811),
-	.Y(n_9822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3b_1 g383057 (
-	.A_N(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B(n_9750),
-	.C(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.X(n_9821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383058 (
-	.A(n_9812),
-	.B(n_6988),
-	.Y(n_7028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g383059 (
-	.A(n_9812),
-	.Y(n_9811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g383060 (
-	.A1(n_9624),
-	.A2(\soc_top_uart_to_xbar[d_data] [14]),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [14]),
-	.C1(n_9726),
-	.Y(n_9809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383061 (
-	.A(n_9480),
-	.B(n_9752),
-	.Y(n_9808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g383062 (
-	.A(n_15140),
-	.B(n_15141),
-	.C(n_14968),
-	.Y(n_9807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g383063 (
-	.A1(n_9611),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[3]),
-	.B1(n_9497),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[2]),
-	.C1(n_18210),
-	.Y(n_9806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g383064 (
-	.A(n_14966),
-	.B(n_9697),
-	.C(n_9634),
-	.X(n_9805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383065 (
-	.A(n_9753),
-	.B(n_9677),
-	.Y(n_9804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g383066 (
-	.A1(n_9624),
-	.A2(\soc_top_uart_to_xbar[d_data] [10]),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [10]),
-	.C1(n_9741),
-	.Y(n_9803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g383067 (
-	.A1(n_9621),
-	.A2(\soc_top_timer_to_xbar[d_data] [2]),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [2]),
-	.C1(n_9727),
-	.Y(n_9802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g383068 (
-	.A1(n_9621),
-	.A2(\soc_top_timer_to_xbar[d_data] [18]),
-	.B1(n_9623),
-	.B2(\soc_top_plic_resp[d_data] [18]),
-	.C1(n_9736),
-	.Y(n_9801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g383069 (
-	.A1(n_9624),
-	.A2(\soc_top_uart_to_xbar[d_data] [1]),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [1]),
-	.C1(n_9745),
-	.Y(n_9800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g383070 (
-	.A1(n_9621),
-	.A2(\soc_top_timer_to_xbar[d_data] [17]),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [17]),
-	.C1(n_9725),
-	.Y(n_9799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g383071 (
-	.A1(n_9621),
-	.A2(\soc_top_timer_to_xbar[d_data] [9]),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [9]),
-	.C1(n_9724),
-	.Y(n_9798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g383072 (
-	.A1(n_9624),
-	.A2(\soc_top_uart_to_xbar[d_data] [4]),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [4]),
-	.C1(n_9732),
-	.Y(n_9797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g383073 (
-	.A1(n_9624),
-	.A2(\soc_top_uart_to_xbar[d_data] [13]),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [13]),
-	.C1(n_9722),
-	.Y(n_9796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g383074 (
-	.A1(n_9624),
-	.A2(\soc_top_uart_to_xbar[d_data] [5]),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [5]),
-	.C1(n_9707),
-	.Y(n_9795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g383075 (
-	.A1(n_9624),
-	.A2(\soc_top_uart_to_xbar[d_data] [15]),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [15]),
-	.C1(n_9733),
-	.Y(n_9794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g383076 (
-	.A1(n_9621),
-	.A2(\soc_top_timer_to_xbar[d_data] [16]),
-	.B1(n_9622),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [16]),
-	.C1(n_9730),
-	.Y(n_9793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g383077 (
-	.A1(n_9624),
-	.A2(\soc_top_uart_to_xbar[d_data] [12]),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [12]),
-	.C1(n_9720),
-	.Y(n_9792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g383078 (
-	.A1(n_9624),
-	.A2(\soc_top_uart_to_xbar[d_data] [0]),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [0]),
-	.C1(n_9716),
-	.Y(n_9791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g383079 (
-	.A1(n_9624),
-	.A2(\soc_top_uart_to_xbar[d_data] [6]),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [6]),
-	.C1(n_9712),
-	.Y(n_9790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g383080 (
-	.A1(n_9624),
-	.A2(\soc_top_uart_to_xbar[d_data] [8]),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [8]),
-	.C1(n_9706),
-	.Y(n_9789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g383081 (
-	.A1(n_9624),
-	.A2(\soc_top_uart_to_xbar[d_data] [7]),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [7]),
-	.C1(n_9729),
-	.Y(n_9788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g383082 (
-	.A1(n_9624),
-	.A2(\soc_top_uart_to_xbar[d_data] [11]),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [11]),
-	.C1(n_9704),
-	.Y(n_9787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g383083 (
-	.A1(n_9624),
-	.A2(\soc_top_uart_to_xbar[d_data] [3]),
-	.B1(n_9620),
-	.B2(\soc_top_spi_to_xbar[d_data] [3]),
-	.C1(n_9701),
-	.Y(n_9786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g383084 (
-	.A_N(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2518),
-	.B(n_9753),
-	.Y(n_15142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g383085 (
-	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.B_N(n_9750),
-	.Y(n_9815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g383086 (
-	.A(n_9751),
-	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.X(n_9814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383087 (
-	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.B(n_9743),
-	.Y(n_9813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g383088 (
-	.A(n_9667),
-	.B(n_9602),
-	.C(n_9553),
-	.Y(n_9812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g383089 (
-	.A(soc_top_dccm_adapter_data_mem_u_rspfifo_n_15),
-	.B_N(n_9750),
-	.Y(n_9810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383090 (
-	.A1(n_15218),
-	.A2(n_9693),
-	.B1(n_17279),
-	.B2(n_9518),
-	.Y(n_9785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383091 (
-	.A1(n_9693),
-	.A2(n_15226),
-	.B1(n_17287),
-	.B2(n_9518),
-	.Y(n_9784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383093 (
-	.A1(n_9693),
-	.A2(n_15228),
-	.B1(n_17289),
-	.B2(n_9518),
-	.Y(n_9782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383094 (
-	.A1(n_9693),
-	.A2(n_15209),
-	.B1(n_17270),
-	.B2(n_9518),
-	.Y(n_9781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383095 (
-	.A1(n_15219),
-	.A2(n_9693),
-	.B1(n_17280),
-	.B2(n_9518),
-	.Y(n_9780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383096 (
-	.A1(n_9693),
-	.A2(n_15201),
-	.B1(soc_top_u_top_u_core_multdiv_operand_b_ex[0]),
-	.B2(n_9518),
-	.Y(n_9779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383097 (
-	.A1(n_9693),
-	.A2(n_15214),
-	.B1(n_17275),
-	.B2(n_9518),
-	.Y(n_9778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383098 (
-	.A1(n_9693),
-	.A2(n_15213),
-	.B1(n_17274),
-	.B2(n_9518),
-	.Y(n_9777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383099 (
-	.A1(n_15227),
-	.A2(n_9693),
-	.B1(n_17288),
-	.B2(n_9518),
-	.Y(n_9776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383100 (
-	.A1(n_9693),
-	.A2(n_15207),
-	.B1(n_17268),
-	.B2(n_9518),
-	.Y(n_9775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383101 (
-	.A1(n_15222),
-	.A2(n_9693),
-	.B1(n_17283),
-	.B2(n_9518),
-	.Y(n_9774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383102 (
-	.A1(n_15230),
-	.A2(n_9693),
-	.B1(n_17291),
-	.B2(n_9518),
-	.Y(n_9773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383103 (
-	.A1(n_15224),
-	.A2(n_9693),
-	.B1(n_17285),
-	.B2(n_9518),
-	.Y(n_9772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383105 (
-	.A1(n_9693),
-	.A2(n_15203),
-	.B1(n_17264),
-	.B2(n_9518),
-	.Y(n_9770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383106 (
-	.A1(n_9693),
-	.A2(n_15225),
-	.B1(n_17286),
-	.B2(n_9518),
-	.Y(n_9769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383107 (
-	.A1(n_15221),
-	.A2(n_9693),
-	.B1(n_17282),
-	.B2(n_9518),
-	.Y(n_9768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383108 (
-	.A1(n_15220),
-	.A2(n_9693),
-	.B1(n_17281),
-	.B2(n_9518),
-	.Y(n_9767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383109 (
-	.A1(n_9693),
-	.A2(n_15202),
-	.B1(n_17263),
-	.B2(n_9518),
-	.Y(n_9766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383110 (
-	.A1(n_15216),
-	.A2(n_9693),
-	.B1(n_17277),
-	.B2(n_9518),
-	.Y(n_9765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383111 (
-	.A1(n_9693),
-	.A2(n_15215),
-	.B1(n_17276),
-	.B2(n_9518),
-	.Y(n_9764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383112 (
-	.A1(n_9693),
-	.A2(n_15212),
-	.B1(n_17273),
-	.B2(n_9518),
-	.Y(n_9763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383113 (
-	.A1(n_9693),
-	.A2(n_15211),
-	.B1(n_17272),
-	.B2(n_9518),
-	.Y(n_9762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383115 (
-	.A1(n_9693),
-	.A2(n_15223),
-	.B1(n_17284),
-	.B2(n_9518),
-	.Y(n_9760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383116 (
-	.A1(n_9693),
-	.A2(n_15206),
-	.B1(n_17267),
-	.B2(n_9518),
-	.Y(n_9759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383118 (
-	.A1(n_9693),
-	.A2(n_15204),
-	.B1(n_17265),
-	.B2(n_9518),
-	.Y(n_9757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383119 (
-	.A1(n_9693),
-	.A2(n_15231),
-	.B1(n_17292),
-	.B2(n_9518),
-	.Y(n_9756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383120 (
-	.A1(n_15229),
-	.A2(n_9693),
-	.B1(n_17290),
-	.B2(n_9518),
-	.Y(n_9755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g383121 (
-	.A(n_14967),
-	.B(n_14968),
-	.C(n_14962),
-	.D(n_14964),
-	.Y(n_9754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g383122 (
-	.A(n_9748),
-	.Y(n_9747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383123 (
-	.A1(n_9622),
-	.A2(\soc_top_gpio_to_xbarp[d_data] [1]),
-	.B1(n_9623),
-	.B2(\soc_top_plic_resp[d_data] [1]),
-	.Y(n_9746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383124 (
-	.A1(n_9621),
-	.A2(\soc_top_timer_to_xbar[d_data] [1]),
-	.B1(n_9625),
-	.B2(\soc_top_pwm_to_xbar[d_data] [1]),
-	.X(n_9745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383125 (
-	.A1(n_9622),
-	.A2(\soc_top_gpio_to_xbarp[d_data] [5]),
-	.B1(n_9623),
-	.B2(\soc_top_plic_resp[d_data] [5]),
-	.Y(n_9744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383126 (
-	.A(n_15252),
-	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.Y(n_9743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383127 (
-	.A1(n_9622),
-	.A2(\soc_top_gpio_to_xbarp[d_data] [10]),
-	.B1(n_9623),
-	.B2(\soc_top_plic_resp[d_data] [10]),
-	.Y(n_9742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383128 (
-	.A1(n_9621),
-	.A2(\soc_top_timer_to_xbar[d_data] [10]),
-	.B1(n_9625),
-	.B2(\soc_top_pwm_to_xbar[d_data] [10]),
-	.X(n_9741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g383129 (
-	.A1(soc_top_u_top_u_core_rf_raddr_b[0]),
-	.A2(soc_top_u_top_u_core_id_stage_i_decoder_i_n_3081),
-	.B1(n_9556),
-	.C1(n_9557),
-	.D1(n_9674),
-	.Y(n_9740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g383130 (
-	.A1(n_9613),
-	.A2(n_9579),
-	.B1(n_14908),
-	.Y(n_9739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g383131 (
-	.A1(n_9443),
-	.A2(n_12089),
-	.B1(n_14974),
-	.Y(n_9738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383132 (
-	.A1(n_9626),
-	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[29]),
-	.B1(n_18180),
-	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[21]),
-	.X(n_9737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383133 (
-	.A1(n_9624),
-	.A2(\soc_top_uart_to_xbar[d_data] [18]),
-	.B1(n_9622),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [18]),
-	.X(n_9736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383134 (
-	.A1(n_9622),
-	.A2(\soc_top_gpio_to_xbarp[d_data] [2]),
-	.B1(n_9623),
-	.B2(\soc_top_plic_resp[d_data] [2]),
-	.Y(n_9735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383135 (
-	.A1(n_9622),
-	.A2(\soc_top_gpio_to_xbarp[d_data] [14]),
-	.B1(n_9623),
-	.B2(\soc_top_plic_resp[d_data] [14]),
-	.Y(n_9734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383136 (
-	.A1(n_9621),
-	.A2(\soc_top_timer_to_xbar[d_data] [15]),
-	.B1(n_9625),
-	.B2(\soc_top_pwm_to_xbar[d_data] [15]),
-	.X(n_9733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383137 (
-	.A1(n_9621),
-	.A2(\soc_top_timer_to_xbar[d_data] [4]),
-	.B1(n_9625),
-	.B2(\soc_top_pwm_to_xbar[d_data] [4]),
-	.X(n_9732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383138 (
-	.A1(n_9622),
-	.A2(\soc_top_gpio_to_xbarp[d_data] [15]),
-	.B1(n_9623),
-	.B2(\soc_top_plic_resp[d_data] [15]),
-	.X(n_9731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383139 (
-	.A1(n_9624),
-	.A2(\soc_top_uart_to_xbar[d_data] [16]),
-	.B1(n_9623),
-	.B2(\soc_top_plic_resp[d_data] [16]),
-	.X(n_9730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383140 (
-	.A1(n_9621),
-	.A2(\soc_top_timer_to_xbar[d_data] [7]),
-	.B1(n_9625),
-	.B2(\soc_top_pwm_to_xbar[d_data] [7]),
-	.X(n_9729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383141 (
-	.A1(n_9626),
-	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[24]),
-	.B1(n_18180),
-	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[16]),
-	.Y(n_9728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383142 (
-	.A1(n_9624),
-	.A2(\soc_top_uart_to_xbar[d_data] [2]),
-	.B1(n_9625),
-	.B2(\soc_top_pwm_to_xbar[d_data] [2]),
-	.X(n_9727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g383143 (
-	.A_N(n_14873),
-	.B(n_9677),
-	.Y(n_15138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g383144 (
-	.A(n_9475),
-	.B(n_14865),
-	.C(n_9697),
-	.X(n_15140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g383145 (
-	.A1(n_9475),
-	.A2(n_9635),
-	.B1(n_14962),
-	.Y(n_9753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383146 (
-	.A(n_9689),
-	.B(n_15057),
-	.Y(n_9752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g383147 (
-	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B_N(n_15252),
-	.Y(n_9751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383148 (
-	.A(n_9527),
-	.B(n_17436),
-	.Y(n_9750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g383149 (
-	.A(soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_15),
-	.B_N(n_15252),
-	.Y(n_9749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383150 (
-	.A(n_9528),
-	.B(n_17436),
-	.Y(n_9748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383151 (
-	.A1(n_9621),
-	.A2(\soc_top_timer_to_xbar[d_data] [14]),
-	.B1(n_9625),
-	.B2(\soc_top_pwm_to_xbar[d_data] [14]),
-	.X(n_9726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383152 (
-	.A1(n_9624),
-	.A2(\soc_top_uart_to_xbar[d_data] [17]),
-	.B1(n_9623),
-	.B2(\soc_top_plic_resp[d_data] [17]),
-	.X(n_9725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383153 (
-	.A1(n_9624),
-	.A2(\soc_top_uart_to_xbar[d_data] [9]),
-	.B1(n_9625),
-	.B2(\soc_top_pwm_to_xbar[d_data] [9]),
-	.X(n_9724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383154 (
-	.A1(n_9626),
-	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[25]),
-	.B1(n_18180),
-	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[17]),
-	.X(n_9723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383155 (
-	.A1(n_9621),
-	.A2(\soc_top_timer_to_xbar[d_data] [13]),
-	.B1(n_9625),
-	.B2(\soc_top_pwm_to_xbar[d_data] [13]),
-	.X(n_9722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383156 (
-	.A1(n_9622),
-	.A2(\soc_top_gpio_to_xbarp[d_data] [13]),
-	.B1(n_9623),
-	.B2(\soc_top_plic_resp[d_data] [13]),
-	.Y(n_9721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383157 (
-	.A1(n_9621),
-	.A2(\soc_top_timer_to_xbar[d_data] [12]),
-	.B1(n_9625),
-	.B2(\soc_top_pwm_to_xbar[d_data] [12]),
-	.X(n_9720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383158 (
-	.A1(n_9622),
-	.A2(\soc_top_gpio_to_xbarp[d_data] [4]),
-	.B1(n_9623),
-	.B2(\soc_top_plic_resp[d_data] [4]),
-	.Y(n_9719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383159 (
-	.A1(n_9622),
-	.A2(\soc_top_gpio_to_xbarp[d_data] [8]),
-	.B1(n_9623),
-	.B2(\soc_top_plic_resp[d_data] [8]),
-	.Y(n_9718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383160 (
-	.A1(n_9622),
-	.A2(\soc_top_gpio_to_xbarp[d_data] [9]),
-	.B1(n_9623),
-	.B2(\soc_top_plic_resp[d_data] [9]),
-	.Y(n_9717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383161 (
-	.A1(n_9621),
-	.A2(\soc_top_timer_to_xbar[d_data] [0]),
-	.B1(n_9625),
-	.B2(\soc_top_pwm_to_xbar[d_data] [0]),
-	.X(n_9716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383162 (
-	.A1(n_9622),
-	.A2(\soc_top_gpio_to_xbarp[d_data] [6]),
-	.B1(n_9623),
-	.B2(\soc_top_plic_resp[d_data] [6]),
-	.Y(n_9715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383163 (
-	.A1(n_9626),
-	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[31]),
-	.B1(n_18180),
-	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[23]),
-	.X(n_9714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383164 (
-	.A1(n_9626),
-	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[30]),
-	.B1(n_18180),
-	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[22]),
-	.X(n_9713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383165 (
-	.A1(n_9621),
-	.A2(\soc_top_timer_to_xbar[d_data] [6]),
-	.B1(n_9625),
-	.B2(\soc_top_pwm_to_xbar[d_data] [6]),
-	.X(n_9712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383166 (
-	.A1(n_9626),
-	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[26]),
-	.B1(n_18180),
-	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[18]),
-	.Y(n_9711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383167 (
-	.A1(n_9622),
-	.A2(\soc_top_gpio_to_xbarp[d_data] [0]),
-	.B1(n_9623),
-	.B2(\soc_top_plic_resp[d_data] [0]),
-	.Y(n_9710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383168 (
-	.A1(n_9622),
-	.A2(\soc_top_gpio_to_xbarp[d_data] [12]),
-	.B1(n_9623),
-	.B2(\soc_top_plic_resp[d_data] [12]),
-	.Y(n_9709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383169 (
-	.A1(n_9622),
-	.A2(\soc_top_gpio_to_xbarp[d_data] [7]),
-	.B1(n_9623),
-	.B2(\soc_top_plic_resp[d_data] [7]),
-	.Y(n_9708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383170 (
-	.A1(n_9621),
-	.A2(\soc_top_timer_to_xbar[d_data] [5]),
-	.B1(n_9625),
-	.B2(\soc_top_pwm_to_xbar[d_data] [5]),
-	.X(n_9707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383171 (
-	.A1(n_9621),
-	.A2(\soc_top_timer_to_xbar[d_data] [8]),
-	.B1(n_9625),
-	.B2(\soc_top_pwm_to_xbar[d_data] [8]),
-	.X(n_9706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383172 (
-	.A1(n_9626),
-	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[28]),
-	.B1(n_18180),
-	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[20]),
-	.X(n_9705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383173 (
-	.A1(n_9621),
-	.A2(\soc_top_timer_to_xbar[d_data] [11]),
-	.B1(n_9625),
-	.B2(\soc_top_pwm_to_xbar[d_data] [11]),
-	.X(n_9704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383174 (
-	.A1(n_9622),
-	.A2(\soc_top_gpio_to_xbarp[d_data] [11]),
-	.B1(n_9623),
-	.B2(\soc_top_plic_resp[d_data] [11]),
-	.Y(n_9703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383175 (
-	.A1(n_9621),
-	.A2(\soc_top_timer_to_xbar[d_data] [31]),
-	.B1(n_9623),
-	.B2(\soc_top_plic_resp[d_data] [31]),
-	.X(n_9702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383176 (
-	.A1(n_9621),
-	.A2(\soc_top_timer_to_xbar[d_data] [3]),
-	.B1(n_9625),
-	.B2(\soc_top_pwm_to_xbar[d_data] [3]),
-	.X(n_9701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383177 (
-	.A1(n_9622),
-	.A2(\soc_top_gpio_to_xbarp[d_data] [3]),
-	.B1(n_9623),
-	.B2(\soc_top_plic_resp[d_data] [3]),
-	.Y(n_9700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383178 (
-	.A1(n_9626),
-	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[27]),
-	.B1(n_18180),
-	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[19]),
-	.X(n_9699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g383179 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[8]),
-	.B(soc_top_main_swith_host_lsu_num_req_outstanding[14]),
-	.C(soc_top_main_swith_host_lsu_num_req_outstanding[13]),
-	.D(n_9615),
-	.Y(n_9698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g383180 (
-	.A(n_9695),
-	.Y(n_14969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g383181 (
-	.A(n_14949),
-	.Y(n_6970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g383182 (
-	.A(n_9693),
-	.Y(n_14917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383183 (
-	.A(n_9623),
-	.B(\soc_top_plic_resp[d_data] [23]),
-	.Y(n_9692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383184 (
-	.A(n_9622),
-	.B(\soc_top_gpio_to_xbarp[d_data] [26]),
-	.Y(n_9691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383185 (
-	.A(n_9622),
-	.B(\soc_top_gpio_to_xbarp[d_data] [22]),
-	.Y(n_9690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383186 (
-	.A(n_9549),
-	.B(n_9632),
-	.Y(n_9689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383187 (
-	.A(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2791),
-	.B(n_9617),
-	.Y(n_9688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383188 (
-	.A(n_9623),
-	.B(\soc_top_plic_resp[d_data] [21]),
-	.Y(n_9687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383189 (
-	.A(n_9622),
-	.B(\soc_top_gpio_to_xbarp[d_data] [20]),
-	.Y(n_9686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383190 (
-	.A(n_9622),
-	.B(\soc_top_gpio_to_xbarp[d_data] [28]),
-	.Y(n_9685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383191 (
-	.A(n_9622),
-	.B(\soc_top_gpio_to_xbarp[d_data] [19]),
-	.Y(n_9684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383192 (
-	.A(n_9622),
-	.B(\soc_top_gpio_to_xbarp[d_data] [24]),
-	.Y(n_9683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383193 (
-	.A(n_9622),
-	.B(\soc_top_gpio_to_xbarp[d_data] [25]),
-	.Y(n_9682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383194 (
-	.A(n_9623),
-	.B(\soc_top_plic_resp[d_data] [29]),
-	.Y(n_9681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383195 (
-	.A(n_9623),
-	.B(\soc_top_plic_resp[d_data] [30]),
-	.Y(n_9680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383196 (
-	.A(n_9622),
-	.B(\soc_top_gpio_to_xbarp[d_data] [31]),
-	.Y(n_9679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383197 (
-	.A(n_9622),
-	.B(\soc_top_gpio_to_xbarp[d_data] [27]),
-	.Y(n_9678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g383198 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
-	.B(n_9443),
-	.X(n_9697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383199 (
-	.A(n_9448),
-	.B(n_9492),
-	.Y(n_14966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g383201 (
-	.A(n_14901),
-	.B(n_9634),
-	.X(n_15139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383202 (
-	.A(n_9448),
-	.B(n_9539),
-	.Y(n_14967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383203 (
-	.A(soc_top_u_top_u_core_id_stage_i_decoder_i_n_44),
-	.B(n_9654),
-	.Y(n_9695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383204 (
-	.A(soc_top_u_top_u_core_use_fp_rs2),
-	.B(n_9655),
-	.Y(n_14949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g383205 (
-	.A(n_9655),
-	.B_N(soc_top_u_top_u_core_use_fp_rs2),
-	.Y(n_9693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g383207 (
-	.A(n_9656),
-	.B_N(n_9635),
-	.Y(n_9675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g383208 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[8]),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[7]),
-	.C(n_9571),
-	.D(n_9552),
-	.Y(n_9674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g383209 (
-	.A1(n_9494),
-	.A2(n_9599),
-	.B1(n_9605),
-	.Y(n_9673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g383210 (
-	.A1(n_14876),
-	.A2(n_9530),
-	.A3(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
-	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
-	.Y(n_9672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g383211 (
-	.A1(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2835),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
-	.B1(n_9489),
-	.B2(soc_top_u_top_u_core_rf_raddr_b[1]),
-	.C1(n_9608),
-	.X(n_9671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g383212 (
-	.A(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2789),
-	.B(n_9564),
-	.C(n_14888),
-	.Y(n_9670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g383213 (
-	.A1(n_9489),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
-	.B1(n_9658),
-	.Y(n_9669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g383214 (
-	.A1(n_9521),
-	.A2(n_9544),
-	.B1(n_9637),
-	.Y(n_9668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g383215 (
-	.A(n_9566),
-	.B(n_9562),
-	.C(n_9572),
-	.D(n_9558),
-	.Y(n_9667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383216 (
-	.A1(n_9128),
-	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[22]),
-	.B1(n_18180),
-	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[30]),
-	.Y(n_9666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383217 (
-	.A1(n_9128),
-	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[21]),
-	.B1(n_18180),
-	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[29]),
-	.Y(n_9665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383218 (
-	.A1(n_9128),
-	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[19]),
-	.B1(n_18180),
-	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[27]),
-	.Y(n_9664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383219 (
-	.A1(n_9128),
-	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[18]),
-	.B1(n_18180),
-	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[26]),
-	.X(n_9663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383220 (
-	.A1(n_9128),
-	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[16]),
-	.B1(n_18180),
-	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[24]),
-	.Y(n_9662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g383221 (
-	.A1(n_9128),
-	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[17]),
-	.B1(n_18180),
-	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[25]),
-	.X(n_9661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g383222 (
-	.A1(n_9128),
-	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[20]),
-	.B1(n_18180),
-	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[28]),
-	.Y(n_9660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g383223 (
-	.A1(n_9522),
-	.A2(n_9606),
-	.B1(n_9543),
-	.B2(n_9537),
-	.Y(n_9659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383224 (
-	.A(n_14954),
-	.B(n_9638),
-	.Y(n_14915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g383225 (
-	.A(n_9475),
-	.B(n_9657),
-	.X(n_14963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383226 (
-	.A(n_14964),
-	.B(n_14916),
-	.Y(n_9677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g383227 (
-	.A(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2749),
-	.B(n_9654),
-	.X(n_14968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g383228 (
-	.A(soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_206),
-	.B(soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_207),
-	.C(soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_69),
-	.Y(n_15252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383229 (
-	.A(n_9128),
-	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_q[12]),
-	.Y(n_9653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383232 (
-	.A(n_9128),
-	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_q[24]),
-	.Y(n_9650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383234 (
-	.A(n_9128),
-	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_q[8]),
-	.Y(n_9648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383235 (
-	.A(n_9128),
-	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_q[31]),
-	.Y(n_9647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383236 (
-	.A(n_9128),
-	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_q[15]),
-	.Y(n_9646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383237 (
-	.A(n_9128),
-	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_q[13]),
-	.Y(n_9645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383238 (
-	.A(n_9128),
-	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_q[14]),
-	.Y(n_9644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383239 (
-	.A(n_9128),
-	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_q[11]),
-	.Y(n_9643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383240 (
-	.A(n_9128),
-	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_q[28]),
-	.Y(n_9642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383244 (
-	.A(n_14871),
-	.B(n_9563),
-	.Y(n_9638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383245 (
-	.A(n_9537),
-	.B(n_9606),
-	.Y(n_9637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383246 (
-	.A(n_9128),
-	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_q[30]),
-	.Y(n_9636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383247 (
-	.A(n_9603),
-	.B(n_9492),
-	.Y(n_14965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383248 (
-	.A(n_9604),
-	.B(n_9519),
-	.Y(n_9658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383249 (
-	.A(n_9568),
-	.B(n_9490),
-	.Y(n_9657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383250 (
-	.A(n_14863),
-	.B(n_9574),
-	.Y(n_9656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g383251 (
-	.A(n_15132),
-	.B_N(n_9602),
-	.Y(n_9655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383252 (
-	.A(n_9539),
-	.B(n_9575),
-	.Y(n_14916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383253 (
-	.A(n_9607),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
-	.Y(n_9654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383254 (
-	.A(n_9539),
-	.B(n_9603),
-	.Y(n_14964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g383256 (
-	.A(n_9629),
-	.Y(n_9630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g383257 (
-	.A(n_9627),
-	.Y(n_9628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g383258 (
-	.A1(n_9532),
-	.A2(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2941),
-	.B1(n_9567),
-	.Y(n_9619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g383260 (
-	.A1(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2522),
-	.A2(n_9535),
-	.B1(n_14882),
-	.Y(n_9617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g383261 (
-	.A1(n_9547),
-	.A2(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2794),
-	.A3(soc_top_u_top_u_core_instr_rdata_alu_id[5]),
-	.B1(soc_top_u_top_u_core_use_fp_rs2),
-	.Y(n_9616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g383262 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[9]),
-	.B(soc_top_main_swith_host_lsu_num_req_outstanding[16]),
-	.C(n_15019),
-	.D(n_9554),
-	.X(n_9615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383263 (
-	.A(n_9592),
-	.B(n_9531),
-	.Y(n_9614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4b_1 g383264 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[3]),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2791),
-	.C(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2928),
-	.D_N(soc_top_u_top_u_core_instr_rdata_alu_id[5]),
-	.Y(n_9613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211o_1 g383266 (
-	.A1(n_9479),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[5]),
-	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[4]),
-	.C1(n_9586),
-	.X(n_9611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g383268 (
-	.A1(n_14890),
-	.A2(n_9511),
-	.B1(n_14908),
-	.X(n_9609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g383269 (
-	.A(soc_top_u_top_u_core_rf_raddr_b[2]),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_3081),
-	.Y(n_9608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g383270 (
-	.A(n_9519),
-	.B(n_9523),
-	.C(n_9490),
-	.D(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
-	.Y(n_9635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g383271 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
-	.B(n_9520),
-	.C(n_9574),
-	.X(n_9634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g383273 (
-	.A(\soc_top_lsu_to_xbar[a_address] [20]),
-	.B(\soc_top_lsu_to_xbar[a_address] [21]),
-	.C(\soc_top_lsu_to_xbar[a_address] [22]),
-	.D(\soc_top_lsu_to_xbar[a_address] [23]),
-	.Y(n_9632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g383274 (
-	.A_N(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2846),
-	.B(n_9575),
-	.C(n_9475),
-	.Y(n_14972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g383275 (
-	.A(n_9475),
-	.B(n_9520),
-	.C(n_9574),
-	.X(n_14962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g383276 (
-	.A1(n_9541),
-	.A2(n_9537),
-	.B1(n_15253),
-	.Y(n_9631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g383277 (
-	.A1(n_9542),
-	.A2(soc_top_u_top_u_core_load_store_unit_i_data_type_q[1]),
-	.B1(n_9598),
-	.Y(n_9629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g383278 (
-	.A1(n_9521),
-	.A2(soc_top_u_top_u_core_load_store_unit_i_data_type_q[1]),
-	.B1(n_9600),
-	.Y(n_9627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g383279 (
-	.A1(n_9541),
-	.A2(n_9522),
-	.B1(n_15254),
-	.Y(n_9626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g383280 (
-	.A(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
-	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
-	.C(n_9515),
-	.Y(n_9625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4b_1 g383281 (
-	.A_N(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
-	.B(n_9477),
-	.C(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
-	.D(\soc_top_uart_to_xbar[d_opcode] [0]),
-	.X(n_9624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383282 (
-	.A(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
-	.B(n_9565),
-	.Y(n_9623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4b_2 g383283 (
-	.A_N(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
-	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
-	.C(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
-	.D(\soc_top_gpio_to_xbarp[d_opcode] [0]),
-	.X(n_9622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_2 g383284 (
-	.A(n_9529),
-	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
-	.C(\soc_top_timer_to_xbar[d_opcode] [0]),
-	.X(n_9621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4_2 g383285 (
-	.A(n_9477),
-	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
-	.C(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
-	.D(\soc_top_spi_to_xbar[d_opcode] [0]),
-	.X(n_9620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g383286 (
-	.A(n_9599),
-	.Y(n_9600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g383287 (
-	.A(n_9597),
-	.Y(n_9598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g383288 (
-	.A(n_15253),
-	.Y(n_9596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g383289 (
-	.A(n_15254),
-	.Y(n_9595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g383292 (
-	.A(n_14881),
-	.B_N(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2427),
-	.Y(n_9592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383294 (
-	.A(n_9517),
-	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[15]),
-	.Y(n_9590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g383296 (
-	.A1(n_9483),
-	.A2(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2787),
-	.B1(soc_top_u_top_u_core_illegal_c_insn_id),
-	.Y(n_9588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g383298 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[2]),
-	.B_N(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2801),
-	.Y(n_9586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383300 (
-	.A(n_9517),
-	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[20]),
-	.Y(n_9584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383301 (
-	.A(n_9517),
-	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[10]),
-	.Y(n_9583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383302 (
-	.A(n_9517),
-	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[9]),
-	.Y(n_9582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383303 (
-	.A(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2864),
-	.B(n_14890),
-	.Y(n_9581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383305 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[6]),
-	.B(n_14868),
-	.Y(n_9579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383306 (
-	.A(n_9517),
-	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[7]),
-	.Y(n_9578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383307 (
-	.A(n_9517),
-	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[4]),
-	.Y(n_9577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383308 (
-	.A(n_9489),
-	.B(n_9526),
-	.Y(n_9607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383309 (
-	.A(n_9540),
-	.B(soc_top_u_top_u_core_load_store_unit_i_data_sign_ext_q),
-	.Y(n_9606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383310 (
-	.A(n_9542),
-	.B(n_9544),
-	.Y(n_9605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383311 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
-	.B(n_9524),
-	.Y(n_9604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383312 (
-	.A(n_9526),
-	.B(n_9520),
-	.Y(n_9603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383313 (
-	.A(n_14871),
-	.B(n_14948),
-	.Y(n_9602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383314 (
-	.A(n_9491),
-	.B(n_9522),
-	.Y(n_9601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383315 (
-	.A(n_9521),
-	.B(n_9540),
-	.Y(n_9599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383316 (
-	.A(n_9542),
-	.B(n_9540),
-	.Y(n_9597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383317 (
-	.A(n_9538),
-	.B(n_9545),
-	.Y(n_15253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g383318 (
-	.A_N(n_9522),
-	.B(n_9545),
-	.Y(n_15254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g383320 (
-	.A(n_9521),
-	.B(n_9545),
-	.X(n_9128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g383322 (
-	.A(n_6988),
-	.Y(n_14973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g383323 (
-	.A1_N(soc_top_u_top_u_core_rf_waddr_wb[4]),
-	.A2_N(n_9476),
-	.B1(soc_top_u_top_u_core_rf_waddr_wb[4]),
-	.B2(n_9476),
-	.Y(n_9572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g383324 (
-	.A1(soc_top_u_top_u_core_rf_raddr_b[4]),
-	.A2(n_9475),
-	.B1(n_9476),
-	.Y(n_9571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383325 (
-	.A(n_9533),
-	.B(\soc_top_lsu_to_xbar[a_address] [18]),
-	.Y(n_9570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g383327 (
-	.A1(n_321),
-	.A2(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2747),
-	.B1(soc_top_u_top_u_core_id_stage_i_decoder_i_n_9),
-	.Y(n_9568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g383328 (
-	.A1(n_9488),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
-	.B1(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2908),
-	.Y(n_9567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g383329 (
-	.A1_N(soc_top_u_top_u_core_rf_waddr_wb[2]),
-	.A2_N(n_9489),
-	.B1(soc_top_u_top_u_core_rf_waddr_wb[2]),
-	.B2(n_9489),
-	.Y(n_9566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g383330 (
-	.A(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
-	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
-	.C(\soc_top_plic_resp[d_opcode] [0]),
-	.Y(n_9565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g383331 (
-	.A(n_14864),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2908),
-	.C(soc_top_u_top_u_core_id_stage_i_decoder_i_n_44),
-	.Y(n_9564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g383332 (
-	.A_N(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [1]),
-	.B(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
-	.C(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [0]),
-	.Y(n_9563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g383333 (
-	.A(soc_top_u_top_u_core_rf_waddr_wb[3]),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
-	.X(n_9562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g383334 (
-	.A1(soc_top_u_top_u_core_rf_raddr_b[1]),
-	.A2(n_9489),
-	.B1(n_9490),
-	.Y(n_9561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383335 (
-	.A(n_386),
-	.B(n_9444),
-	.Y(n_9560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g383337 (
-	.A1_N(soc_top_u_top_u_core_rf_waddr_wb[1]),
-	.A2_N(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
-	.B1(soc_top_u_top_u_core_rf_waddr_wb[1]),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
-	.Y(n_9558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g383338 (
-	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
-	.B1(soc_top_u_top_u_core_rf_raddr_b[0]),
-	.B2(n_321),
-	.Y(n_9557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g383339 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[11]),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[10]),
-	.C(soc_top_u_top_u_core_instr_rdata_alu_id[9]),
-	.D(soc_top_u_top_u_core_rf_raddr_b[3]),
-	.Y(n_9556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g383340 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[6]),
-	.B(soc_top_main_swith_host_lsu_num_req_outstanding[5]),
-	.C(soc_top_main_swith_host_lsu_num_req_outstanding[1]),
-	.D(soc_top_main_swith_host_lsu_num_req_outstanding[7]),
-	.Y(n_9555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g383341 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[0]),
-	.B(soc_top_main_swith_host_lsu_num_req_outstanding[12]),
-	.C(soc_top_main_swith_host_lsu_num_req_outstanding[15]),
-	.D(soc_top_main_swith_host_lsu_num_req_outstanding[4]),
-	.X(n_9554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g383342 (
-	.A1_N(soc_top_u_top_u_core_rf_waddr_wb[0]),
-	.A2_N(n_9486),
-	.B1(soc_top_u_top_u_core_rf_waddr_wb[0]),
-	.B2(n_9486),
-	.Y(n_9553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383343 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
-	.B(n_9534),
-	.Y(n_9552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g383344 (
-	.A1_N(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.A2_N(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.B1(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.B2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.Y(soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_69), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383345 (
-	.A(n_14876),
-	.B(n_9530),
-	.Y(n_9551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383346 (
-	.A(n_9514),
-	.B(\soc_top_lsu_to_xbar[a_address] [31]),
-	.Y(n_9576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g383347 (
-	.A1_N(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.A2_N(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B1(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.Y(soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g383348 (
-	.A(n_9476),
-	.B(n_321),
-	.C(n_14974),
-	.Y(n_9575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g383349 (
-	.A1_N(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.A2_N(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.B1(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g383350 (
-	.A(n_9525),
-	.B(n_321),
-	.C(n_9490),
-	.Y(n_9574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g383351 (
-	.A(n_9516),
-	.B(n_9490),
-	.X(n_6988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g383354 (
-	.A(n_9548),
-	.Y(n_14960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g383355 (
-	.A(n_9547),
-	.Y(n_14868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g383356 (
-	.A(n_9546),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g383357 (
-	.A(n_9544),
-	.Y(n_9543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g383358 (
-	.A(n_9541),
-	.Y(n_9540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g383359 (
-	.A(n_9539),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g383360 (
-	.A(n_9538),
-	.Y(n_9537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383361 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[14]),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[13]),
-	.Y(n_9535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383362 (
-	.A(soc_top_u_top_u_core_rf_raddr_b[4]),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
-	.Y(n_9534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383363 (
-	.A(\soc_top_lsu_to_xbar[a_address] [17]),
-	.B(\soc_top_lsu_to_xbar[a_address] [16]),
-	.Y(n_9533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383364 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2986),
-	.Y(n_9532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383365 (
-	.A(n_14877),
-	.B(n_14909),
-	.Y(n_9531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383366 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[2]),
-	.B(soc_top_main_swith_host_lsu_num_req_outstanding[3]),
-	.Y(n_9550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383367 (
-	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383368 (
-	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(soc_top_dccm_adapter_data_mem_u_rspfifo_n_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383369 (
-	.A(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2522),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[14]),
-	.Y(n_15245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383370 (
-	.A(\soc_top_lsu_to_xbar[a_address] [24]),
-	.B(\soc_top_lsu_to_xbar[a_address] [25]),
-	.Y(n_9549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383371 (
-	.A(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2787),
-	.B(n_14908),
-	.Y(n_9548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g383372 (
-	.A_N(soc_top_u_top_u_core_instr_rdata_alu_id[5]),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[6]),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383373 (
-	.A(n_15148),
-	.B(n_18211),
-	.Y(n_9547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383374 (
-	.A(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2522),
-	.B(n_9493),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383375 (
-	.A(n_14865),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2518),
-	.Y(n_9546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383376 (
-	.A(soc_top_u_top_u_core_load_store_unit_i_data_type_q[0]),
-	.B(soc_top_u_top_u_core_load_store_unit_i_data_type_q[1]),
-	.Y(n_9545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383377 (
-	.A(n_9491),
-	.B(n_9494),
-	.Y(n_9544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g383378 (
-	.A(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
-	.B_N(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
-	.Y(n_9542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383379 (
-	.A(n_9491),
-	.B(soc_top_u_top_u_core_load_store_unit_i_data_type_q[0]),
-	.Y(n_9541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383380 (
-	.A(n_9475),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2846),
-	.Y(n_9539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383381 (
-	.A(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
-	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
-	.Y(n_9538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2b_1 g383382 (
-	.A(n_15194),
-	.B_N(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
-	.X(n_9536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g383383 (
-	.A(n_9527),
-	.Y(n_9528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g383384 (
-	.A(n_9524),
-	.Y(n_9523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g383385 (
-	.A(n_9520),
-	.Y(n_9519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g383386 (
-	.A(n_9518),
-	.Y(soc_top_u_top_u_core_use_fp_rs2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 g383387 (
-	.A(n_14948),
-	.Y(n_9517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383388 (
-	.A(soc_top_u_top_u_core_id_stage_i_decoder_i_n_9),
-	.B(n_14878),
-	.Y(n_9516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383389 (
-	.A(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
-	.B(\soc_top_pwm_to_xbar[d_opcode] [0]),
-	.Y(n_9515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383390 (
-	.A(FE_DBTN25_soc_top_lsu_to_xbar_a_address_30),
-	.B(n_9480),
-	.Y(n_9514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383391 (
-	.A(\soc_top_lsu_to_xbar[a_address] [16]),
-	.B(\soc_top_lsu_to_xbar[a_address] [19]),
-	.Y(n_9513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383392 (
-	.A(\soc_top_lsu_to_xbar[a_address] [16]),
-	.B(\soc_top_lsu_to_xbar[a_address] [17]),
-	.Y(n_9512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383393 (
-	.A(n_9493),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[12]),
-	.Y(n_9511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g383395 (
-	.A_N(n_14879),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[14]),
-	.Y(n_9530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383396 (
-	.A(n_386),
-	.B(\soc_top_lsu_to_xbar[a_address] [19]),
-	.Y(n_9509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383397 (
-	.A(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
-	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
-	.Y(n_9529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383398 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383399 (
-	.A(soc_top_dccm_adapter_data_mem_u_rspfifo_n_638),
-	.B(n_15196),
-	.Y(n_9527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383400 (
-	.A(n_321),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[31]),
-	.Y(n_9526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 g383401 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[10]),
-	.B(soc_top_main_swith_host_lsu_num_req_outstanding[11]),
-	.X(n_15019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383402 (
-	.A(n_14894),
-	.B(n_14907),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g383403 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[31]),
-	.Y(n_9525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383404 (
-	.A(n_9476),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
-	.Y(n_9524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383405 (
-	.A(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
-	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
-	.Y(n_9522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383406 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
-	.B(n_9489),
-	.Y(soc_top_u_top_u_core_id_stage_i_decoder_i_n_3081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g383407 (
-	.A(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
-	.B_N(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
-	.Y(n_9521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383408 (
-	.A(n_9489),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
-	.Y(n_9520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g383409 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
-	.Y(n_14974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g383410 (
-	.A(n_15129),
-	.B_N(n_14894),
-	.Y(n_9518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g383411 (
-	.A(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
-	.B(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_rf_we_wb_q ),
-	.Y(n_14948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g383423 (
-	.A(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2794),
-	.Y(n_9497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g383426 (
-	.A(soc_top_u_top_u_core_load_store_unit_i_data_sign_ext_q),
-	.Y(n_9494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g383428 (
-	.A(soc_top_u_top_u_core_id_stage_i_decoder_i_n_44),
-	.Y(n_9492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g383429 (
-	.A(soc_top_u_top_u_core_load_store_unit_i_data_type_q[1]),
-	.Y(n_9491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g383430 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
-	.Y(n_9490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g383431 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
-	.Y(n_9489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g383434 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
-	.Y(n_9486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g383437 (
-	.A(n_18247),
-	.Y(n_9483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g383440 (
-	.A(\soc_top_lsu_to_xbar[a_address] [29]),
-	.Y(n_9480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g383442 (
-	.A(n_17561),
-	.Y(n_386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g383443 (
-	.A(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
-	.Y(n_9477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g383444 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[31]),
-	.Y(n_9476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g383445 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
-	.Y(n_9475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g383458 (
-	.A(\soc_top_xbar_to_lsu[d_data] [8]),
-	.B(n_9628),
-	.X(n_9457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_4 g383459 (
-	.A0(n_15326),
-	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[0]),
-	.S(n_9517),
-	.X(soc_top_u_top_u_core_fp_rf_wdata_wb[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g383460 (
-	.A(n_11578),
-	.B_N(n_11651),
-	.Y(n_9455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g383461 (
-	.A(n_11693),
-	.B_N(n_11601),
-	.Y(n_9454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g383462 (
-	.A(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
-	.B_N(n_14978),
-	.Y(n_9453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3b_1 g383463 (
-	.A_N(n_9854),
-	.B(n_14973),
-	.C(n_9488),
-	.X(n_9452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g383465 (
-	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.B_N(n_9751),
-	.Y(n_9450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g383466 (
-	.A(n_9475),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2427),
-	.C_N(n_9656),
-	.X(n_15141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g383467 (
-	.A(n_11489),
-	.B_N(n_9631),
-	.Y(n_9449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g383468 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
-	.B_N(n_9607),
-	.Y(n_9448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g383469 (
-	.A_N(soc_top_u_top_u_core_id_stage_i_decoder_i_n_3081),
-	.B(n_9492),
-	.C(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
-	.D(soc_top_u_top_u_core_instr_rdata_alu_id[31]),
-	.Y(n_14918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g383470 (
-	.A(n_11374),
-	.B_N(soc_top_u_dccm_dout_2[31]),
-	.Y(n_9447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g383471 (
-	.A(n_11377),
-	.B_N(soc_top_u_dccm_dout_1[31]),
-	.Y(n_9446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g383472 (
-	.A(\soc_top_lsu_to_xbar[a_address] [27]),
-	.B(\soc_top_lsu_to_xbar[a_address] [26]),
-	.X(n_15057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g383473 (
-	.A(\soc_top_lsu_to_xbar[a_address] [17]),
-	.B_N(\soc_top_lsu_to_xbar[a_address] [16]),
-	.Y(n_9445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g383474 (
-	.A(\soc_top_lsu_to_xbar[a_address] [19]),
-	.B_N(\soc_top_lsu_to_xbar[a_address] [18]),
-	.Y(n_9444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g383475 (
-	.A_N(n_14863),
-	.B(n_9604),
-	.Y(n_9443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g302857 (
-	.A(n_9436),
-	.B(n_9437),
-	.C(n_9438),
-	.D(n_9441),
-	.Y(soc_top_u_top_u_core_trigger_match), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g302858 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [9]),
-	.A2(n_9384),
-	.B1(n_9433),
-	.C1(n_9434),
-	.D1(n_9440),
-	.Y(n_9441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g302859 (
-	.A1(n_9401),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [19]),
-	.B1(n_9417),
-	.C1(n_9430),
-	.D1(n_9439),
-	.Y(n_9440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g302860 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [18]),
-	.A2(n_9387),
-	.B1(soc_top_u_top_u_core_cs_registers_i_tmatch_control_rdata[2]),
-	.C1(n_9425),
-	.D1(n_9432),
-	.Y(n_9439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g302861 (
-	.A1(soc_top_u_top_u_core_pc_if[7]),
-	.A2(n_9390),
-	.B1(n_9410),
-	.C1(n_9424),
-	.D1(n_9431),
-	.Y(n_9438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111o_1 g302862 (
-	.A1(n_9390),
-	.A2(soc_top_u_top_u_core_pc_if[7]),
-	.B1(n_9414),
-	.C1(n_9407),
-	.D1(n_9435),
-	.X(n_9437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g302863 (
-	.A(n_14960),
-	.B(n_9429),
-	.C_N(soc_top_u_top_u_core_rf_raddr_b[0]),
-	.Y(soc_top_u_top_u_core_id_stage_i_ebrk_insn), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g302864 (
-	.A1(soc_top_u_top_u_core_pc_if[29]),
-	.A2(n_9395),
-	.B1(n_9413),
-	.C1(n_9412),
-	.D1(n_9427),
-	.Y(n_9436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g302865 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [13]),
-	.A2(n_9403),
-	.B1(n_9416),
-	.C1(n_9415),
-	.D1(n_9428),
-	.Y(n_9435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g302866 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [0]),
-	.B(n_9408),
-	.C(n_9418),
-	.D(n_9419),
-	.Y(n_9434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g302867 (
-	.A(n_15089),
-	.B(n_9429),
-	.Y(soc_top_u_top_u_core_id_stage_i_ecall_insn_dec), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g302868 (
-	.A1(n_9384),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [9]),
-	.B1(n_9405),
-	.C1(n_9422),
-	.D1(n_9426),
-	.Y(n_9433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g302869 (
-	.A1(n_9393),
-	.A2(soc_top_u_top_u_core_pc_if[21]),
-	.B1(n_9409),
-	.C1(n_9420),
-	.Y(n_9432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g302870 (
-	.A1(n_9402),
-	.A2(soc_top_u_top_u_core_pc_if[24]),
-	.B1(n_9406),
-	.C1(n_9421),
-	.Y(n_9431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g302871 (
-	.A1(soc_top_u_top_u_core_pc_if[18]),
-	.A2(n_9391),
-	.B1(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [19]),
-	.B2(n_9401),
-	.C1(n_9423),
-	.Y(n_9430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g302872 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [15]),
-	.A2(n_9392),
-	.B1(n_9403),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [13]),
-	.C1(n_9404),
-	.C2(soc_top_u_top_u_core_pc_if[14]),
-	.Y(n_9428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g302873 (
-	.A1(soc_top_u_top_u_core_pc_if[29]),
-	.A2(n_9395),
-	.B1(n_9398),
-	.B2(soc_top_u_top_u_core_pc_if[31]),
-	.C1(n_9394),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [31]),
-	.Y(n_9427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g302874 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
-	.B(soc_top_u_top_u_core_rf_raddr_b[2]),
-	.C(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2809),
-	.D(n_14880),
-	.X(n_9429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302875 (
-	.A1(soc_top_u_top_u_core_pc_if[10]),
-	.A2(n_9389),
-	.B1(n_9411),
-	.Y(n_9426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g302876 (
-	.A1(soc_top_u_top_u_core_pc_if[22]),
-	.A2(n_9386),
-	.B1(n_9388),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [20]),
-	.C1(n_9399),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [21]),
-	.Y(n_9425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g302877 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [25]),
-	.A2(n_9385),
-	.B1(n_9396),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [24]),
-	.C1(n_9397),
-	.C2(soc_top_u_top_u_core_pc_if[26]),
-	.Y(n_9424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g302878 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [16]),
-	.B(soc_top_u_top_u_core_pc_if[16]),
-	.Y(n_9423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g302879 (
-	.A1_N(soc_top_u_top_u_core_pc_if[10]),
-	.A2_N(n_9389),
-	.B1(soc_top_u_top_u_core_pc_if[11]),
-	.B2(n_9400),
-	.Y(n_9422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g302880 (
-	.A1(soc_top_u_top_u_core_pc_if[26]),
-	.A2(n_9397),
-	.B1(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [25]),
-	.B2(n_9385),
-	.Y(n_9421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g302881 (
-	.A1(soc_top_u_top_u_core_pc_if[22]),
-	.A2(n_9386),
-	.B1(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [20]),
-	.B2(n_9388),
-	.Y(n_9420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g302882 (
-	.A1_N(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [1]),
-	.A2_N(soc_top_u_top_u_core_pc_if[1]),
-	.B1(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [1]),
-	.B2(soc_top_u_top_u_core_pc_if[1]),
-	.Y(n_9419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g302883 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [2]),
-	.B(soc_top_u_top_u_core_pc_if[2]),
-	.X(n_9418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g302884 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [17]),
-	.B(soc_top_u_top_u_core_pc_if[17]),
-	.X(n_9417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g302885 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [12]),
-	.B(soc_top_u_top_u_core_pc_if[12]),
-	.Y(n_9416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g302886 (
-	.A1(soc_top_u_top_u_core_pc_if[14]),
-	.A2(n_9404),
-	.B1(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [15]),
-	.B2(n_9392),
-	.X(n_9415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g302887 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [5]),
-	.B(soc_top_u_top_u_core_pc_if[5]),
-	.X(n_9414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g302888 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [30]),
-	.B(soc_top_u_top_u_core_pc_if[30]),
-	.Y(n_9413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g302889 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [28]),
-	.B(soc_top_u_top_u_core_pc_if[28]),
-	.Y(n_9412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g302890 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [8]),
-	.B(soc_top_u_top_u_core_pc_if[8]),
-	.Y(n_9411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g302891 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [4]),
-	.B(soc_top_u_top_u_core_pc_if[4]),
-	.Y(n_9410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g302892 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [23]),
-	.B(soc_top_u_top_u_core_pc_if[23]),
-	.X(n_9409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g302893 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [3]),
-	.B(soc_top_u_top_u_core_pc_if[3]),
-	.X(n_9408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g302894 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [6]),
-	.B(soc_top_u_top_u_core_pc_if[6]),
-	.X(n_9407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g302895 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [27]),
-	.B(soc_top_u_top_u_core_pc_if[27]),
-	.X(n_9406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g302896 (
-	.A(soc_top_u_top_u_core_rf_raddr_b[0]),
-	.B(n_14960),
-	.X(n_15089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g302897 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [11]),
-	.B_N(soc_top_u_top_u_core_pc_if[11]),
-	.Y(n_9405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 g302898 (
-	.A(soc_top_u_top_u_core_rf_raddr_b[1]),
-	.B(n_14870),
-	.X(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g302899 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [14]),
-	.Y(n_9404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g302900 (
-	.A(soc_top_u_top_u_core_pc_if[13]),
-	.Y(n_9403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g302901 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [24]),
-	.Y(n_9402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g302902 (
-	.A(soc_top_u_top_u_core_pc_if[19]),
-	.Y(n_9401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g302903 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [11]),
-	.Y(n_9400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g302904 (
-	.A(soc_top_u_top_u_core_pc_if[21]),
-	.Y(n_9399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g302905 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [31]),
-	.Y(n_9398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g302906 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [26]),
-	.Y(n_9397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g302907 (
-	.A(soc_top_u_top_u_core_pc_if[24]),
-	.Y(n_9396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g302908 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [29]),
-	.Y(n_9395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g302909 (
-	.A(soc_top_u_top_u_core_pc_if[31]),
-	.Y(n_9394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g302910 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [21]),
-	.Y(n_9393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g302911 (
-	.A(soc_top_u_top_u_core_pc_if[15]),
-	.Y(n_9392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g302912 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [18]),
-	.Y(n_9391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g302913 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [7]),
-	.Y(n_9390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g302914 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [10]),
-	.Y(n_9389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g302915 (
-	.A(soc_top_u_top_u_core_pc_if[20]),
-	.Y(n_9388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g302916 (
-	.A(soc_top_u_top_u_core_pc_if[18]),
-	.Y(n_9387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g302917 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [22]),
-	.Y(n_9386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g302918 (
-	.A(soc_top_u_top_u_core_pc_if[25]),
-	.Y(n_9385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g302919 (
-	.A(soc_top_u_top_u_core_pc_if[9]),
-	.Y(n_9384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4b_1 g301446 (
-	.A(soc_top_u_top_u_core_rf_raddr_b[2]),
-	.B(n_9383),
-	.C(n_15089),
-	.D_N(soc_top_u_top_u_core_rf_raddr_b[1]),
-	.Y(soc_top_u_top_u_core_id_stage_i_dret_insn_dec), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4bb_1 g301447 (
-	.A(soc_top_u_top_u_core_rf_raddr_b[2]),
-	.B(n_15089),
-	.C_N(soc_top_u_top_u_core_rf_raddr_b[1]),
-	.D_N(n_9382),
-	.Y(soc_top_u_top_u_core_id_stage_i_mret_insn_dec), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g301448 (
-	.A_N(soc_top_u_top_u_core_instr_rdata_alu_id[31]),
-	.B(n_9381),
-	.C(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
-	.Y(n_9383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g301449 (
-	.A(soc_top_u_top_u_core_id_stage_i_id_fsm_q),
-	.B(n_14975),
-	.C_N(n_17127),
-	.Y(soc_top_u_top_u_core_id_stage_i_jump_set), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g301450 (
-	.A1(n_15135),
-	.A2(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec),
-	.B1(n_15088),
-	.Y(n_17127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g301451 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[31]),
-	.B(n_14863),
-	.C(n_14870),
-	.D(n_15101),
-	.Y(n_9382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g301452 (
-	.A(\soc_top_u_top_u_core_irqs[irq_external] ),
-	.B(\soc_top_u_top_u_core_irqs[irq_timer] ),
-	.X(soc_top_u_top_u_core_irq_pending), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g301453 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
-	.B(n_14974),
-	.C(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2835),
-	.D(n_15162),
-	.Y(n_9381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g301454 (
-	.A1(n_18247),
-	.A2(n_14904),
-	.B1(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec),
-	.X(n_15088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g301455 (
-	.A(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[16]),
-	.B(soc_top_intr_timer),
-	.X(\soc_top_u_top_u_core_irqs[irq_timer] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g301456 (
-	.A_N(soc_top_u_top_u_core_id_stage_i_decoder_i_n_44),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
-	.Y(n_15101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g301457 (
-	.A(soc_top_intr_req),
-	.B(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]),
-	.X(\soc_top_u_top_u_core_irqs[irq_external] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g306843 (
-	.A1_N(n_16091),
-	.A2_N(n_9328),
-	.B1(n_9121),
-	.B2(n_9380),
-	.Y(n_16033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g306844 (
-	.A1_N(n_16090),
-	.A2_N(n_9328),
-	.B1(n_6946),
-	.B2(n_9380),
-	.Y(n_16032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g306845 (
-	.A1(n_9379),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
-	.B1(n_16094),
-	.B2(n_9328),
-	.X(n_16036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g306846 (
-	.A1(n_9379),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
-	.B1(n_16092),
-	.B2(n_9328),
-	.X(n_16034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g306847 (
-	.A1(n_9379),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
-	.B1(n_16093),
-	.B2(n_9328),
-	.X(n_16035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g306848 (
-	.A1(n_9378),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
-	.B1(n_16097),
-	.B2(n_9328),
-	.X(n_16039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g306849 (
-	.A(n_9298),
-	.B(n_9379),
-	.Y(n_9380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g306850 (
-	.A1(n_9378),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
-	.B1(n_16096),
-	.B2(n_9328),
-	.X(n_16038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g306851 (
-	.A1(n_9378),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
-	.B1(n_16095),
-	.B2(n_9328),
-	.X(n_16037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g306852 (
-	.A1(n_9376),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
-	.B1(n_16100),
-	.B2(n_9328),
-	.X(n_16042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g306853 (
-	.A1(n_9167),
-	.A2(n_9240),
-	.B1(n_9377),
-	.Y(n_9379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g306854 (
-	.A1(n_9376),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
-	.B1(n_16099),
-	.B2(n_9328),
-	.X(n_16041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g306855 (
-	.A1(n_9376),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
-	.B1(n_16098),
-	.B2(n_9328),
-	.X(n_16040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g306856 (
-	.A(n_9377),
-	.Y(n_9378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g306857 (
-	.A(soc_top_u_pwm_pwm_core_n_49),
-	.B(n_15169),
-	.Y(\soc_top_timer0_reg2hw[intr_test0][0][qe] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g306858 (
-	.A(n_9295),
-	.B(n_9376),
-	.Y(n_9377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g306859 (
-	.A1(n_9375),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
-	.B1(n_16101),
-	.B2(n_9328),
-	.X(n_16043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g306860 (
-	.A1(n_9375),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
-	.B1(n_15410),
-	.B2(n_9328),
-	.X(n_16045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g306861 (
-	.A1(n_9375),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
-	.B1(n_15409),
-	.B2(n_9328),
-	.X(n_16044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g306862 (
-	.A(n_15031),
-	.B(n_15188),
-	.X(n_15169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306863 (
-	.A(n_9374),
-	.B(n_9296),
-	.Y(n_9376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g306864 (
-	.A1(n_9373),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
-	.B1(n_15413),
-	.B2(n_9328),
-	.X(n_16048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g306865 (
-	.A1(n_9373),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
-	.B1(n_15412),
-	.B2(n_9328),
-	.X(n_16047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g306866 (
-	.A1(n_9373),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
-	.B1(n_15411),
-	.B2(n_9328),
-	.X(n_16046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g306867 (
-	.A(n_9374),
-	.Y(n_9375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g306868 (
-	.A(n_14994),
-	.B(n_17360),
-	.C_N(soc_top_timer0_u_reg_wr_err),
-	.X(n_15188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g306869 (
-	.A(n_9297),
-	.B(n_9373),
-	.Y(n_9374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g306870 (
-	.A1(n_9372),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
-	.B1(n_15415),
-	.B2(n_9328),
-	.X(n_16050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g306871 (
-	.A1(n_9372),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
-	.B1(n_15414),
-	.B2(n_9328),
-	.X(n_16049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g306872 (
-	.A1(n_9372),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
-	.B1(n_15416),
-	.B2(n_9328),
-	.X(n_16051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g306873 (
-	.A1(n_18335),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
-	.B1(n_15419),
-	.B2(n_9328),
-	.X(n_16054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g306874 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
-	.A2(n_15097),
-	.B1(n_9371),
-	.Y(n_9373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g306875 (
-	.A1(n_18335),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
-	.B1(n_15418),
-	.B2(n_9328),
-	.X(n_16053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g306876 (
-	.A1(n_18335),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
-	.B1(n_15417),
-	.B2(n_9328),
-	.X(n_16052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g306877 (
-	.A(n_9371),
-	.Y(n_9372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g306878 (
-	.A(n_9369),
-	.B(n_17360),
-	.X(soc_top_timer0_u_reg_wr_err), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g306879 (
-	.A(n_9299),
-	.B(n_18335),
-	.Y(n_9371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g306880 (
-	.A1(n_9367),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
-	.B1(n_9328),
-	.B2(n_15421),
-	.X(n_16056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g306881 (
-	.A1(n_9367),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
-	.B1(n_9328),
-	.B2(n_15420),
-	.X(n_16055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g306882 (
-	.A1(n_9367),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
-	.B1(n_9328),
-	.B2(n_15422),
-	.X(n_16057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g306883 (
-	.A(n_9359),
-	.B(n_15108),
-	.C(n_15107),
-	.D(n_15103),
-	.Y(n_15008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306884 (
-	.A(n_9368),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
-	.Y(n_16093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306885 (
-	.A(n_9368),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
-	.Y(n_16094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306886 (
-	.A(n_9368),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
-	.Y(n_16092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g306887 (
-	.A1(n_15027),
-	.A2(n_521),
-	.B1(n_9365),
-	.Y(n_9369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g306888 (
-	.A1(n_9361),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [27]),
-	.B1(n_9328),
-	.B2(n_15423),
-	.X(n_16058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g306889 (
-	.A1(n_9361),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [28]),
-	.B1(n_9328),
-	.B2(n_9335),
-	.X(n_16059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g306891 (
-	.A(n_9361),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [29]),
-	.X(n_16060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g306892 (
-	.A(n_9172),
-	.B(n_9363),
-	.Y(n_16030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g306893 (
-	.A(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B(n_9364),
-	.Y(n_15918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306894 (
-	.A(n_9362),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
-	.Y(n_16097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306895 (
-	.A(n_9362),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
-	.Y(n_16096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306896 (
-	.A(n_9362),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
-	.Y(n_16095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g306897 (
-	.A(n_9366),
-	.Y(n_9367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g306898 (
-	.A1_N(\soc_top_xbar_to_timer[a_opcode] [0]),
-	.A2_N(n_15026),
-	.B1(n_9352),
-	.B2(soc_top_timer0_u_reg_addr_hit[1]),
-	.Y(n_9365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g306899 (
-	.A1(n_9358),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [32]),
-	.B1(n_16088),
-	.X(n_16063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g306900 (
-	.A1(n_9202),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [29]),
-	.B1(n_9353),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [33]),
-	.C1(n_9225),
-	.X(n_16064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g306901 (
-	.A1(n_9202),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [31]),
-	.B1(n_9353),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [35]),
-	.C1(n_9227),
-	.X(n_16066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g306902 (
-	.A1(n_9202),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [30]),
-	.B1(n_9353),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [34]),
-	.C1(n_9226),
-	.X(n_16065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g306903 (
-	.A_N(n_9362),
-	.B(n_9240),
-	.Y(n_9368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g306904 (
-	.A(n_9304),
-	.B(n_9361),
-	.Y(n_9366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g306905 (
-	.A(n_9345),
-	.B(n_9346),
-	.COUT(n_9363),
-	.SUM(n_9364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g306906 (
-	.A(n_9358),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [31]),
-	.X(n_16062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g306907 (
-	.A(n_9358),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [30]),
-	.X(n_16061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306908 (
-	.A(n_9360),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
-	.Y(n_16100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306909 (
-	.A(n_9360),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
-	.Y(n_16098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306910 (
-	.A(n_9360),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
-	.Y(n_16099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g306911 (
-	.A1(n_9202),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [34]),
-	.B1(n_9349),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [38]),
-	.C1(n_9231),
-	.X(n_16069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g306912 (
-	.A1(n_9202),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [32]),
-	.B1(n_9349),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [36]),
-	.C1(n_9229),
-	.X(n_16067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g306913 (
-	.A(n_9172),
-	.B(n_9356),
-	.Y(n_15685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g306914 (
-	.A1(n_9202),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [33]),
-	.B1(n_9349),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [37]),
-	.C1(n_9230),
-	.X(n_16068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g306915 (
-	.A_N(n_9360),
-	.B(n_9241),
-	.Y(n_9362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g306916 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
-	.A2(n_15103),
-	.B1(n_9357),
-	.Y(n_9361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g306917 (
-	.A(n_9347),
-	.B(n_15097),
-	.C(n_15105),
-	.X(n_9359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306918 (
-	.A(n_9355),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
-	.Y(n_16101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306919 (
-	.A(n_9355),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
-	.Y(n_15409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306920 (
-	.A(n_9355),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
-	.Y(n_15410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306921 (
-	.A(n_9354),
-	.B(n_9248),
-	.Y(n_9360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g306922 (
-	.A(n_9357),
-	.Y(n_9358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g306923 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[1] [0]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[1] [1]),
-	.Y(n_9356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g306924 (
-	.A1(n_9202),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [35]),
-	.B1(n_9111),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [39]),
-	.C1(n_9233),
-	.X(n_16070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g306925 (
-	.A1(n_9202),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [36]),
-	.B1(n_9111),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [40]),
-	.C1(n_9234),
-	.X(n_16071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g306926 (
-	.A1(n_9202),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [37]),
-	.B1(n_9111),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [41]),
-	.C1(n_9235),
-	.X(n_16072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g306927 (
-	.A(n_9352),
-	.B(\soc_top_xbar_to_timer[a_mask] [0]),
-	.C(\soc_top_xbar_to_timer[a_mask] [3]),
-	.Y(\soc_top_xbar_to_timer[a_opcode] [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g306928 (
-	.A(n_9303),
-	.B(n_9353),
-	.Y(n_9357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g306929 (
-	.A(n_9355),
-	.Y(n_9354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306930 (
-	.A(n_9351),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
-	.Y(n_15411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306931 (
-	.A(n_9351),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
-	.Y(n_15412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306932 (
-	.A(n_9351),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
-	.Y(n_15413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g306933 (
-	.A1(n_9200),
-	.A2(n_9203),
-	.B1(n_9350),
-	.Y(n_9355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g306934 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
-	.A2(n_15108),
-	.B1(n_9348),
-	.Y(n_9353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g306935 (
-	.A1(n_9202),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [40]),
-	.B1(n_9342),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [44]),
-	.C1(n_9237),
-	.X(n_16075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g306936 (
-	.A1(n_9202),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [38]),
-	.B1(n_9342),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [42]),
-	.C1(n_9236),
-	.X(n_16073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g306937 (
-	.A1(n_9202),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [39]),
-	.B1(n_9342),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [43]),
-	.C1(n_9238),
-	.X(n_16074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g306938 (
-	.A(n_9336),
-	.B(n_9309),
-	.C(n_9310),
-	.D(n_9311),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[1] [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g306939 (
-	.A(n_9340),
-	.B(n_9312),
-	.C(n_9308),
-	.D(n_9318),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[1] [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g306940 (
-	.A(n_9350),
-	.Y(n_9351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g306941 (
-	.A(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B_N(n_9345),
-	.Y(n_15917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306942 (
-	.A(n_9112),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
-	.Y(n_15416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306943 (
-	.A(n_9112),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
-	.Y(n_15415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306944 (
-	.A(n_9112),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
-	.Y(n_15414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g306945 (
-	.A(\soc_top_xbar_to_timer[a_mask] [2]),
-	.B(\soc_top_xbar_to_timer[a_mask] [1]),
-	.X(n_9352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g306946 (
-	.A(n_9110),
-	.B(n_9112),
-	.Y(n_9350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g306947 (
-	.A(n_9348),
-	.Y(n_9349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g306948 (
-	.A1(n_9202),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [43]),
-	.B1(n_9330),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [47]),
-	.C1(n_9218),
-	.X(n_16078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g306949 (
-	.A1(n_17438),
-	.A2(n_9137),
-	.B1(n_9337),
-	.X(\soc_top_u_top_u_core_fp_operands[0] [31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g306950 (
-	.A1(n_9202),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [42]),
-	.B1(n_9330),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [46]),
-	.C1(n_9219),
-	.X(n_16077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g306951 (
-	.A(n_15299),
-	.B(n_15120),
-	.C_N(n_15106),
-	.Y(n_9347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g306952 (
-	.A1(n_9202),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [41]),
-	.B1(n_9330),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [45]),
-	.C1(n_9217),
-	.X(n_16076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g306953 (
-	.A(n_9338),
-	.B(n_9339),
-	.C(n_9316),
-	.X(n_9346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g306954 (
-	.A(n_9306),
-	.B(n_9111),
-	.Y(n_9348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306955 (
-	.A(n_9343),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
-	.Y(n_15417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306956 (
-	.A(n_9343),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
-	.Y(n_15418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306957 (
-	.A(n_9343),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
-	.Y(n_15419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g306958 (
-	.A1(n_9288),
-	.A2(n_15184),
-	.B1(n_484),
-	.C1(n_9334),
-	.D1(n_9272),
-	.Y(\soc_top_xbar_to_timer[a_mask] [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g306961 (
-	.A1(n_9202),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [45]),
-	.B1(n_9326),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [49]),
-	.C1(n_9232),
-	.X(n_16080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g306962 (
-	.A1(n_9202),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [46]),
-	.B1(n_9326),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [50]),
-	.C1(n_9220),
-	.X(n_16081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g306963 (
-	.A1(n_9202),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [44]),
-	.B1(n_9326),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [48]),
-	.C1(n_9228),
-	.X(n_16079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4_1 g306964 (
-	.A(n_9341),
-	.B(n_9317),
-	.C(n_9314),
-	.D(n_9313),
-	.X(n_9345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g306965 (
-	.A1(n_9288),
-	.A2(n_6930),
-	.B1(n_484),
-	.C1(n_9320),
-	.D1(n_9213),
-	.Y(\soc_top_xbar_to_timer[a_mask] [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g306969 (
-	.A(n_9294),
-	.B(n_15092),
-	.C(n_15104),
-	.D(n_15095),
-	.Y(n_15120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306970 (
-	.A(n_9332),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
-	.Y(n_15420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306971 (
-	.A(n_9332),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
-	.Y(n_15421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306972 (
-	.A(n_9327),
-	.B(n_484),
-	.Y(\soc_top_xbar_to_timer[a_mask] [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306973 (
-	.A(n_9332),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
-	.Y(n_15422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306974 (
-	.A(n_9331),
-	.B(n_15102),
-	.Y(n_9343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g306975 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
-	.A2(n_15106),
-	.B1(n_9329),
-	.Y(n_9342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g306976 (
-	.A1(n_7025),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [51]),
-	.B1(n_6955),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [52]),
-	.Y(n_9341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g306977 (
-	.A1(n_7025),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [50]),
-	.B1(n_6955),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [51]),
-	.Y(n_9340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g306978 (
-	.A1(n_7025),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [52]),
-	.B1(n_9278),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [46]),
-	.Y(n_9339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g306979 (
-	.A1(n_9283),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [35]),
-	.B1(n_9284),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [40]),
-	.C1(n_9315),
-	.Y(n_9338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g306980 (
-	.A1(n_6967),
-	.A2(n_15262),
-	.B1(n_9300),
-	.C1(n_9293),
-	.D1(n_9321),
-	.Y(n_9337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g306981 (
-	.A1(n_7025),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [49]),
-	.B1(n_6955),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [50]),
-	.Y(n_9336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g306983 (
-	.A(soc_top_timer0_u_reg_addr_hit[4]),
-	.B(soc_top_timer0_u_reg_addr_hit[2]),
-	.C(n_15045),
-	.D(soc_top_timer0_u_reg_addr_hit[3]),
-	.Y(n_15026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g306984 (
-	.A_N(n_15121),
-	.B(n_15096),
-	.Y(n_15009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 g306985 (
-	.A(n_15123),
-	.B(n_16031),
-	.X(n_15122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306986 (
-	.A(n_9319),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [28]),
-	.Y(n_9335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g306987 (
-	.A1(n_9285),
-	.A2(soc_top_u_top_u_core_alu_adder_result_ex[1]),
-	.B1(n_9307),
-	.C1(n_15184),
-	.Y(n_9334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g306989 (
-	.A1(n_369),
-	.A2(n_15031),
-	.B1(soc_top_timer0_u_reg_n_778),
-	.C1(soc_top_timer0_u_reg_addr_hit[1]),
-	.Y(n_15027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g306990 (
-	.A(n_9319),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [27]),
-	.Y(n_15423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g306991 (
-	.A(n_9331),
-	.Y(n_9332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g306992 (
-	.A(n_9329),
-	.Y(n_9330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g306993 (
-	.A1(n_9202),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [48]),
-	.B1(n_9116),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [52]),
-	.C1(n_9223),
-	.X(n_16083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g306994 (
-	.A1(soc_top_u_top_u_core_alu_adder_result_ex[1]),
-	.A2(n_9287),
-	.B1(n_9322),
-	.Y(n_9327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g306995 (
-	.A1(n_9202),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [47]),
-	.B1(n_9116),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [51]),
-	.C1(n_9239),
-	.X(n_16082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g306996 (
-	.A1(n_9202),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [49]),
-	.B1(n_9116),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [53]),
-	.C1(n_9224),
-	.X(n_16084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211o_1 g306997 (
-	.A1(n_9289),
-	.A2(soc_top_u_top_u_core_alu_adder_result_ex[1]),
-	.B1(n_9285),
-	.C1(n_14994),
-	.X(\soc_top_xbar_to_timer[a_mask] [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g306998 (
-	.A1(n_9205),
-	.A2(n_9209),
-	.B1(n_9319),
-	.Y(n_9331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g306999 (
-	.A(n_9280),
-	.B(n_9326),
-	.Y(n_9329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307000 (
-	.A(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B(n_9323),
-	.Y(n_9328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307001 (
-	.A(n_15114),
-	.Y(n_6955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307003 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
-	.B(n_9301),
-	.Y(n_9323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g307004 (
-	.A1(n_9285),
-	.A2(n_9289),
-	.B1(n_9119),
-	.X(n_9322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g307005 (
-	.A(n_9195),
-	.B(n_9186),
-	.C(n_9261),
-	.D(n_9275),
-	.Y(n_9321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307006 (
-	.A(n_6930),
-	.B(n_9307),
-	.Y(n_9320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307007 (
-	.A(n_9302),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
-	.Y(n_16089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307008 (
-	.A(n_9302),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
-	.Y(n_16090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307009 (
-	.A(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B(n_9302),
-	.Y(n_16031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307011 (
-	.A(n_9302),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
-	.Y(n_16091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g307012 (
-	.A1(n_9290),
-	.A2(n_6996),
-	.B1(n_9116),
-	.X(n_9326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307013 (
-	.A(n_9301),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
-	.Y(n_15114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307014 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
-	.B(n_9302),
-	.Y(n_7025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g307015 (
-	.A1(n_9282),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [32]),
-	.B1(n_9283),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [33]),
-	.Y(n_9318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g307016 (
-	.A1(n_9284),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [39]),
-	.B1(n_9281),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [40]),
-	.Y(n_9317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g307017 (
-	.A1(n_9281),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [41]),
-	.B1(n_9282),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [34]),
-	.Y(n_9316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g307018 (
-	.A1(n_9173),
-	.A2(n_9248),
-	.B1(n_9305),
-	.Y(n_9315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g307019 (
-	.A1(n_9282),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [33]),
-	.B1(n_9283),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [34]),
-	.Y(n_9314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g307020 (
-	.A1(n_9278),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [45]),
-	.B1(n_9277),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [46]),
-	.Y(n_9313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g307021 (
-	.A1(n_9284),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [38]),
-	.B1(n_9281),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [39]),
-	.Y(n_9312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g307022 (
-	.A1(n_9282),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [31]),
-	.B1(n_9283),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [32]),
-	.Y(n_9311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g307023 (
-	.A1(n_9278),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [43]),
-	.B1(n_9277),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [44]),
-	.Y(n_9310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g307024 (
-	.A1(n_9284),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [37]),
-	.B1(n_9281),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [38]),
-	.Y(n_9309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g307025 (
-	.A1(n_9278),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [44]),
-	.B1(n_9277),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [45]),
-	.Y(n_9308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g307026 (
-	.A_N(n_15301),
-	.B(n_15098),
-	.C(n_15093),
-	.Y(n_15121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g307027 (
-	.A1(n_9203),
-	.A2(n_9211),
-	.B1(n_9115),
-	.C1(n_9292),
-	.D1(n_9247),
-	.Y(n_9319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307028 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
-	.B(n_15105),
-	.Y(n_9306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307029 (
-	.A(n_9277),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [47]),
-	.Y(n_9305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307030 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
-	.B(n_15092),
-	.Y(n_9304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307031 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
-	.B(n_15104),
-	.Y(n_9303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g307032 (
-	.A(soc_top_u_pwm_pwm_core_n_49),
-	.B(n_9286),
-	.X(soc_top_timer0_u_reg_addr_hit[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g307033 (
-	.A(n_9290),
-	.B(n_15298),
-	.X(n_15123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g307034 (
-	.A_N(n_9285),
-	.B(n_15077),
-	.Y(n_9307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g307035 (
-	.A(n_15062),
-	.B(n_15031),
-	.X(n_15045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g307036 (
-	.A(soc_top_u_pwm_pwm_core_n_1204),
-	.B(n_9286),
-	.X(soc_top_timer0_u_reg_addr_hit[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g307037 (
-	.A(soc_top_u_pwm_pwm_core_n_1288),
-	.B(n_9286),
-	.X(soc_top_timer0_u_reg_addr_hit[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g307038 (
-	.A(n_15062),
-	.B(n_9286),
-	.X(soc_top_timer0_u_reg_addr_hit[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307039 (
-	.A(n_9302),
-	.Y(n_9301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g307040 (
-	.A(n_9192),
-	.B(n_9188),
-	.C(n_9189),
-	.D(n_9193),
-	.Y(n_9300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307041 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
-	.B(n_15095),
-	.Y(n_9299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307042 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
-	.B(n_15093),
-	.Y(n_9298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307043 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
-	.B(n_15094),
-	.Y(n_9297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g307044 (
-	.A_N(n_15096),
-	.B(n_6996),
-	.Y(n_9296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307045 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
-	.B(n_15098),
-	.Y(n_9295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g307046 (
-	.A(n_15300),
-	.B_N(n_15094),
-	.Y(n_9294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g307047 (
-	.A(n_9196),
-	.B(n_9197),
-	.C(n_9187),
-	.D(n_9194),
-	.Y(n_9293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 g307048 (
-	.A1(n_9207),
-	.A2(n_9200),
-	.A3(n_9199),
-	.B1(n_9210),
-	.B2(n_9109),
-	.X(n_9292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g307049 (
-	.A1(\soc_top_xbar_to_lsu[d_data] [15]),
-	.A2(n_18180),
-	.B1(n_15244),
-	.B2(n_9128),
-	.C1(n_9221),
-	.Y(n_9291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g307050 (
-	.A(n_15062),
-	.B(\soc_top_xbar_to_timer[a_address] [8]),
-	.C(n_15060),
-	.X(soc_top_timer0_u_reg_n_778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g307051 (
-	.A1(n_9190),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
-	.B1(n_9205),
-	.B2(n_9206),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [5]),
-	.Y(n_9302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g307052 (
-	.A(n_9288),
-	.Y(n_9287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g307055 (
-	.A(n_9284),
-	.Y(n_15116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g307056 (
-	.A(n_9283),
-	.Y(n_15110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g307057 (
-	.A(n_9282),
-	.Y(n_15109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g307058 (
-	.A(n_9281),
-	.Y(n_15115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g307059 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [32]),
-	.C1(n_9176),
-	.X(n_16134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307060 (
-	.A(n_9214),
-	.B(n_9215),
-	.Y(\soc_top_xbar_to_timer[a_data] [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307061 (
-	.A(n_9167),
-	.B(n_9247),
-	.Y(n_9280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307063 (
-	.A(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B(n_9247),
-	.Y(n_15299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307064 (
-	.A(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B(n_9115),
-	.Y(n_15298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307065 (
-	.A(n_9211),
-	.B(n_9244),
-	.Y(n_9290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g307066 (
-	.A1(n_15077),
-	.A2(n_9140),
-	.B1(n_9249),
-	.Y(n_9289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307067 (
-	.A(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B(n_15102),
-	.Y(n_15300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307068 (
-	.A(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B(n_9240),
-	.Y(n_15301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307069 (
-	.A(n_9243),
-	.B(n_9205),
-	.Y(n_15093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307070 (
-	.A(n_9242),
-	.B(n_9208),
-	.Y(n_15104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307071 (
-	.A(n_9246),
-	.B(n_9208),
-	.Y(n_15103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307072 (
-	.A(n_9243),
-	.B(n_9108),
-	.Y(n_15094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g307073 (
-	.A(n_9199),
-	.B(n_9244),
-	.X(n_15095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307074 (
-	.A(n_9242),
-	.B(n_9108),
-	.Y(n_15105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307075 (
-	.A(n_9246),
-	.B(n_9108),
-	.Y(n_15108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307076 (
-	.A(n_9245),
-	.B(soc_top_u_top_u_core_load_store_unit_i_handle_misaligned_q),
-	.Y(n_9288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g307077 (
-	.A_N(n_9248),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.Y(n_15096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307078 (
-	.A(n_9110),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.Y(n_15097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307079 (
-	.A(n_9242),
-	.B(n_9205),
-	.Y(n_15092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307080 (
-	.A(n_9242),
-	.B(n_9198),
-	.Y(n_15106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307081 (
-	.A(n_9246),
-	.B(n_9198),
-	.Y(n_15107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g307082 (
-	.A_N(n_9241),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.Y(n_15098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g307083 (
-	.A_N(n_15060),
-	.B(\soc_top_xbar_to_timer[a_address] [8]),
-	.Y(n_9286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307084 (
-	.A(soc_top_u_top_u_core_load_store_unit_i_handle_misaligned_q),
-	.B(n_15076),
-	.Y(n_9285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g307085 (
-	.A_N(soc_top_u_pwm_pwm_core_n_149),
-	.B(\soc_top_xbar_to_timer[a_address] [8]),
-	.Y(n_15031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307086 (
-	.A(n_9167),
-	.B(n_9115),
-	.Y(n_9116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307087 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
-	.B(n_9240),
-	.Y(n_9284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307088 (
-	.A(n_9118),
-	.B(n_9241),
-	.Y(n_9283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307089 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
-	.B(n_9241),
-	.Y(n_9282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307090 (
-	.A(n_9118),
-	.B(n_9240),
-	.Y(n_9281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307091 (
-	.A(n_9276),
-	.Y(n_16146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307092 (
-	.A(n_9274),
-	.Y(n_16158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307093 (
-	.A(n_9273),
-	.Y(n_16157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307094 (
-	.A(n_9271),
-	.Y(n_16156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307095 (
-	.A(n_9270),
-	.Y(n_16155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307096 (
-	.A(n_9269),
-	.Y(n_16154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307097 (
-	.A(n_9268),
-	.Y(n_16153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307098 (
-	.A(n_9267),
-	.Y(n_16152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307099 (
-	.A(n_9266),
-	.Y(n_16151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307100 (
-	.A(n_9265),
-	.Y(n_16150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307101 (
-	.A(n_9264),
-	.Y(n_16149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307102 (
-	.A(n_9263),
-	.Y(n_16148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307103 (
-	.A(n_9262),
-	.Y(n_16147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307104 (
-	.A(n_9260),
-	.Y(n_16145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307105 (
-	.A(n_9259),
-	.Y(n_16144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307106 (
-	.A(n_9258),
-	.Y(n_16143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307107 (
-	.A(n_9257),
-	.Y(n_16142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307108 (
-	.A(n_9256),
-	.Y(n_16141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307109 (
-	.A(n_9255),
-	.Y(n_16140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307110 (
-	.A(n_9254),
-	.Y(n_16139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307111 (
-	.A(n_9253),
-	.Y(n_16138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307112 (
-	.A(n_9252),
-	.Y(n_16137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307113 (
-	.A(n_9251),
-	.Y(n_16136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307114 (
-	.A(n_9250),
-	.Y(n_16135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307115 (
-	.A(n_15112),
-	.Y(n_9278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307116 (
-	.A(n_15111),
-	.Y(n_9277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g307117 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [44]),
-	.A2(n_9174),
-	.B1(n_9175),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [43]),
-	.C1(n_9176),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [40]),
-	.Y(n_9276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g307118 (
-	.A1(n_7031),
-	.A2(n_15284),
-	.B1(n_6964),
-	.B2(n_15283),
-	.C1(n_9216),
-	.Y(n_9275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g307119 (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [50]),
-	.A2_N(n_9202),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [50]),
-	.B2(n_9201),
-	.Y(n_16085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g307120 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [56]),
-	.A2(n_9174),
-	.B1(n_9175),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [55]),
-	.C1(n_9176),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [52]),
-	.Y(n_9274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g307121 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [55]),
-	.A2(n_9174),
-	.B1(n_9175),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [54]),
-	.C1(n_9176),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [51]),
-	.Y(n_9273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g307122 (
-	.A1(n_15046),
-	.A2(n_15047),
-	.B1(n_9249),
-	.X(n_9272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g307123 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [54]),
-	.A2(n_9174),
-	.B1(n_9175),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [53]),
-	.C1(n_9176),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [50]),
-	.Y(n_9271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g307124 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [53]),
-	.A2(n_9174),
-	.B1(n_9175),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [52]),
-	.C1(n_9176),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [49]),
-	.Y(n_9270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g307125 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [52]),
-	.A2(n_9174),
-	.B1(n_9175),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [51]),
-	.C1(n_9176),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [48]),
-	.Y(n_9269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g307126 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [51]),
-	.A2(n_9174),
-	.B1(n_9175),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [50]),
-	.C1(n_9176),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [47]),
-	.Y(n_9268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g307127 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [50]),
-	.A2(n_9174),
-	.B1(n_9175),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [49]),
-	.C1(n_9176),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [46]),
-	.Y(n_9267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g307128 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [49]),
-	.A2(n_9174),
-	.B1(n_9175),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [48]),
-	.C1(n_9176),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [45]),
-	.Y(n_9266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g307129 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [48]),
-	.A2(n_9174),
-	.B1(n_9175),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [47]),
-	.C1(n_9176),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [44]),
-	.Y(n_9265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g307130 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [47]),
-	.A2(n_9174),
-	.B1(n_9175),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [46]),
-	.C1(n_9176),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [43]),
-	.Y(n_9264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g307131 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [46]),
-	.A2(n_9174),
-	.B1(n_9175),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [45]),
-	.C1(n_9176),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [42]),
-	.Y(n_9263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g307132 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [45]),
-	.A2(n_9174),
-	.B1(n_9175),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [44]),
-	.C1(n_9176),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [41]),
-	.Y(n_9262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g307133 (
-	.A1(n_7037),
-	.A2(n_15290),
-	.B1(n_6974),
-	.B2(n_15289),
-	.C1(n_9222),
-	.Y(n_9261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g307134 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [43]),
-	.A2(n_9174),
-	.B1(n_9175),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [42]),
-	.C1(n_9176),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [39]),
-	.Y(n_9260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g307135 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [42]),
-	.A2(n_9174),
-	.B1(n_9175),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [41]),
-	.C1(n_9176),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [38]),
-	.Y(n_9259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g307136 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [41]),
-	.A2(n_9174),
-	.B1(n_9175),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [40]),
-	.C1(n_9176),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [37]),
-	.Y(n_9258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g307137 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [40]),
-	.A2(n_9174),
-	.B1(n_9175),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [39]),
-	.C1(n_9176),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [36]),
-	.Y(n_9257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g307138 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [39]),
-	.A2(n_9174),
-	.B1(n_9175),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [38]),
-	.C1(n_9176),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [35]),
-	.Y(n_9256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g307139 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [38]),
-	.A2(n_9174),
-	.B1(n_9175),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [37]),
-	.C1(n_9176),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [34]),
-	.Y(n_9255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g307140 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [37]),
-	.A2(n_9174),
-	.B1(n_9175),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [36]),
-	.C1(n_9176),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [33]),
-	.Y(n_9254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g307141 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [36]),
-	.A2(n_9174),
-	.B1(n_9175),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [35]),
-	.C1(n_9176),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [32]),
-	.Y(n_9253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g307142 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [35]),
-	.A2(n_9174),
-	.B1(n_9175),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [34]),
-	.C1(n_9176),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [31]),
-	.Y(n_9252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g307143 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [34]),
-	.A2(n_9174),
-	.B1(n_9175),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [33]),
-	.C1(n_9176),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [30]),
-	.Y(n_9251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g307144 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [33]),
-	.A2(n_9174),
-	.B1(n_9175),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [32]),
-	.C1(n_9176),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [29]),
-	.Y(n_9250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g307145 (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [51]),
-	.A2_N(n_9202),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [51]),
-	.B2(n_9201),
-	.Y(n_16086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g307146 (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [52]),
-	.A2_N(n_9202),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [52]),
-	.B2(n_9201),
-	.Y(n_16087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g307147 (
-	.A(n_9205),
-	.B(n_9204),
-	.C(n_9118),
-	.Y(n_15112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g307148 (
-	.A(n_9205),
-	.B(n_9204),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
-	.Y(n_15111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g307149 (
-	.A(n_15076),
-	.Y(n_9245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g307150 (
-	.A(n_9244),
-	.Y(n_9243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307151 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [47]),
-	.B(n_9201),
-	.Y(n_9239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307152 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [39]),
-	.B(n_9201),
-	.Y(n_9238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307153 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [40]),
-	.B(n_9201),
-	.Y(n_9237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307154 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [38]),
-	.B(n_9201),
-	.Y(n_9236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307155 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [37]),
-	.B(n_9201),
-	.Y(n_9235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307156 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [36]),
-	.B(n_9201),
-	.Y(n_9234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307157 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [35]),
-	.B(n_9201),
-	.Y(n_9233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307158 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [45]),
-	.B(n_9201),
-	.Y(n_9232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307159 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [34]),
-	.B(n_9201),
-	.Y(n_9231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307160 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [33]),
-	.B(n_9201),
-	.Y(n_9230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307161 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [32]),
-	.B(n_9201),
-	.Y(n_9229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307162 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [44]),
-	.B(n_9201),
-	.Y(n_9228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307163 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [31]),
-	.B(n_9201),
-	.Y(n_9227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307164 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [30]),
-	.B(n_9201),
-	.Y(n_9226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307165 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [29]),
-	.B(n_9201),
-	.Y(n_9225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307166 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [49]),
-	.B(n_9201),
-	.Y(n_9224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307167 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [48]),
-	.B(n_9201),
-	.Y(n_9223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g307168 (
-	.A1(n_9133),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [31]),
-	.B1(n_9138),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [31]),
-	.C1(n_9191),
-	.Y(n_9222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g307169 (
-	.A1(n_15254),
-	.A2(n_9161),
-	.B1(n_15253),
-	.B2(n_9149),
-	.C1(n_14956),
-	.Y(n_9221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307170 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [46]),
-	.B(n_9201),
-	.Y(n_9220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307171 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [42]),
-	.B(n_9201),
-	.Y(n_9219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307172 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [43]),
-	.B(n_9201),
-	.Y(n_9218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307173 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [41]),
-	.B(n_9201),
-	.Y(n_9217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307174 (
-	.A(n_9212),
-	.B(\soc_top_xbar_to_timer[a_address] [4]),
-	.Y(soc_top_u_pwm_pwm_core_n_149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g307176 (
-	.A(soc_top_u_top_u_core_load_store_unit_i_handle_misaligned_q),
-	.B(n_15078),
-	.X(n_9249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307177 (
-	.A(n_9206),
-	.B(n_9108),
-	.Y(n_9248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g307178 (
-	.A_N(n_9211),
-	.B(n_9206),
-	.Y(n_9247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307179 (
-	.A(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B(n_9109),
-	.Y(n_9246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307180 (
-	.A(n_15078),
-	.B(n_15077),
-	.Y(n_15076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g307181 (
-	.A_N(\soc_top_xbar_to_timer[a_address] [4]),
-	.B(n_9212),
-	.Y(n_15060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g307182 (
-	.A_N(n_9109),
-	.B(n_9205),
-	.Y(n_15102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307183 (
-	.A(n_9204),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.Y(n_9244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307184 (
-	.A(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B(n_9210),
-	.Y(n_9242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307185 (
-	.A(n_9208),
-	.B(n_9204),
-	.Y(n_9241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307186 (
-	.A(n_9208),
-	.B(n_9206),
-	.Y(n_9240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307188 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [19]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [20]),
-	.X(n_16122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g307189 (
-	.A1(n_9143),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [31]),
-	.B1(n_9135),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [31]),
-	.C1(n_9185),
-	.Y(n_9216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g307190 (
-	.A1(soc_top_u_top_u_core_lsu_wdata[0]),
-	.A2(n_6930),
-	.B1(soc_top_u_top_u_core_lsu_wdata[24]),
-	.B2(n_6933),
-	.Y(n_9215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307191 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [3]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [4]),
-	.X(n_16106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g307192 (
-	.A(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2809),
-	.B(n_15101),
-	.C(n_9184),
-	.Y(soc_top_u_top_u_core_id_stage_i_wfi_insn_dec), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307193 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [56]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [57]),
-	.X(n_16159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g307194 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [16]),
-	.A2(n_6932),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [8]),
-	.B2(n_6931),
-	.Y(n_9214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307195 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [30]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [31]),
-	.X(n_16133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307196 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [29]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [30]),
-	.X(n_16132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307197 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [28]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [29]),
-	.X(n_16131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307198 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [27]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [28]),
-	.X(n_16130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307199 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [26]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [27]),
-	.X(n_16129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307200 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [25]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [26]),
-	.X(n_16128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307201 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [24]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [25]),
-	.X(n_16127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307202 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [23]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [24]),
-	.X(n_16126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307203 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [22]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [23]),
-	.X(n_16125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307204 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [21]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [22]),
-	.X(n_16124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307205 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [20]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [21]),
-	.X(n_16123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g307206 (
-	.A1(soc_top_u_top_u_core_load_store_unit_i_handle_misaligned_q),
-	.A2(n_6930),
-	.B1_N(n_15078),
-	.Y(n_9213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307207 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [18]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [19]),
-	.X(n_16121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307208 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [17]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [18]),
-	.X(n_16120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307209 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [16]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [17]),
-	.X(n_16119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307210 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [15]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [16]),
-	.X(n_16118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307211 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [14]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [15]),
-	.X(n_16117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307212 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [13]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [14]),
-	.X(n_16116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307213 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [12]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [13]),
-	.X(n_16115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307214 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [11]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [12]),
-	.X(n_16114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307215 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [10]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [11]),
-	.X(n_16113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307216 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [9]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [10]),
-	.X(n_16112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307217 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [8]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [9]),
-	.X(n_16111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307218 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [7]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [8]),
-	.X(n_16110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307219 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [6]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [7]),
-	.X(n_16109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307220 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [5]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [6]),
-	.X(n_16108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g307221 (
-	.A1(n_9175),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [4]),
-	.B1(n_9174),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [5]),
-	.X(n_16107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g307222 (
-	.A(n_9206),
-	.B(n_9107),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
-	.Y(n_9115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g307223 (
-	.A(\soc_top_timer_to_xbar[d_valid] ),
-	.B(n_17198),
-	.C(n_15033),
-	.X(n_17360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g307224 (
-	.A(n_9210),
-	.Y(n_9209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307225 (
-	.A(n_9207),
-	.Y(n_9208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307227 (
-	.A(n_9204),
-	.Y(n_9203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307228 (
-	.A(n_16088),
-	.Y(n_9201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g307229 (
-	.A(n_9174),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [1]),
-	.X(n_16103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g307230 (
-	.A(n_9174),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [2]),
-	.X(n_16104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g307231 (
-	.A(n_9174),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [0]),
-	.X(n_16102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g307232 (
-	.A(n_9174),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [3]),
-	.X(n_16105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307233 (
-	.A(n_15190),
-	.B(\soc_top_xbar_to_timer[a_address] [7]),
-	.Y(n_9212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307234 (
-	.A(n_9180),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
-	.Y(n_9211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307235 (
-	.A(n_9171),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [3]),
-	.Y(n_9210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g307237 (
-	.A_N(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2788),
-	.B(n_9183),
-	.Y(n_15078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g307238 (
-	.A_N(n_14877),
-	.B(n_9183),
-	.Y(n_15077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307239 (
-	.A(n_9107),
-	.B(n_9120),
-	.Y(n_9207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307240 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [3]),
-	.B(n_15648),
-	.Y(n_9206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307241 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
-	.B(n_9181),
-	.Y(n_9205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307242 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [3]),
-	.B(n_9170),
-	.Y(n_9204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g307243 (
-	.A(n_9182),
-	.B(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.X(n_9202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307244 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.B(n_9182),
-	.Y(n_16088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g307245 (
-	.A(n_9108),
-	.Y(n_9200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307246 (
-	.A(n_9199),
-	.Y(n_9198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g307247 (
-	.A1(n_7052),
-	.A2(n_15270),
-	.B1(n_6982),
-	.B2(n_15269),
-	.Y(n_9197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g307248 (
-	.A1(n_7051),
-	.A2(n_15264),
-	.B1(n_6971),
-	.B2(n_15263),
-	.Y(n_9196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g307249 (
-	.A1(n_7046),
-	.A2(n_15288),
-	.B1(n_7034),
-	.B2(n_15287),
-	.Y(n_9195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g307250 (
-	.A1(n_7035),
-	.A2(n_15268),
-	.B1(n_7029),
-	.B2(n_15267),
-	.Y(n_9194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g307251 (
-	.A1(n_6975),
-	.A2(n_15272),
-	.B1(n_7032),
-	.B2(n_15271),
-	.Y(n_9193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g307252 (
-	.A1(n_7049),
-	.A2(n_15278),
-	.B1(n_6977),
-	.B2(n_15277),
-	.Y(n_9192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g307253 (
-	.A1(n_15249),
-	.A2(n_9164),
-	.B1(n_7040),
-	.B2(n_15293),
-	.Y(n_9191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211o_1 g307254 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [0]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [3]),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
-	.X(n_9190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g307255 (
-	.A1(n_7039),
-	.A2(n_15274),
-	.B1(n_6965),
-	.B2(n_15273),
-	.Y(n_9189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g307256 (
-	.A1(n_6976),
-	.A2(n_15276),
-	.B1(n_6969),
-	.B2(n_15275),
-	.Y(n_9188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g307257 (
-	.A1(n_7041),
-	.A2(n_15266),
-	.B1(n_6968),
-	.B2(n_15265),
-	.Y(n_9187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g307258 (
-	.A1(n_7043),
-	.A2(n_15282),
-	.B1(n_7053),
-	.B2(n_15281),
-	.Y(n_9186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g307259 (
-	.A1(n_6983),
-	.A2(n_15280),
-	.B1(n_6979),
-	.B2(n_15279),
-	.Y(n_9185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g307260 (
-	.A(n_9165),
-	.B(soc_top_u_top_u_core_rf_raddr_b[2]),
-	.C(soc_top_u_top_u_core_rf_raddr_b[0]),
-	.Y(n_9184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g307261 (
-	.A(soc_top_main_swith_host_lsu_dev_select_t[1]),
-	.B(soc_top_main_swith_host_lsu_dev_select_t[2]),
-	.C(n_14914),
-	.X(n_17198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g307263 (
-	.A(n_9120),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
-	.Y(n_9199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g307264 (
-	.A(n_9180),
-	.Y(n_9181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307267 (
-	.A(n_484),
-	.Y(n_14994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307268 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [29]),
-	.Y(n_9173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307269 (
-	.A(n_11212),
-	.B(\soc_top_lsu_to_xbar[a_valid] ),
-	.Y(n_15033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307270 (
-	.A(n_14881),
-	.B(n_14882),
-	.Y(n_9183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307271 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Div_start_dly_S_8434 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
-	.Y(n_9182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g307272 (
-	.A_N(\soc_top_xbar_to_timer[a_address] [3]),
-	.B(\soc_top_xbar_to_timer[a_address] [2]),
-	.Y(soc_top_u_pwm_pwm_core_n_1204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307273 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
-	.Y(n_9180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307274 (
-	.A(\soc_top_xbar_to_timer[a_address] [3]),
-	.B(\soc_top_xbar_to_timer[a_address] [2]),
-	.Y(soc_top_u_pwm_pwm_core_n_49), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307275 (
-	.A(soc_top_u_top_u_core_alu_adder_result_ex[1]),
-	.B(soc_top_u_top_u_core_alu_adder_result_ex[0]),
-	.Y(n_15184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307276 (
-	.A(n_9119),
-	.B(n_9140),
-	.Y(soc_top_u_top_u_core_load_store_unit_i_n_753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g307277 (
-	.A(n_14944),
-	.B_N(soc_top_u_top_u_core_instr_rdata_alu_id[5]),
-	.Y(n_484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g307278 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Div_start_dly_S_8434 ),
-	.Y(n_9176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_1 g307279 (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Div_start_dly_S_8434 ),
-	.B(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.X(n_9175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_1 g307280 (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_9174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g307281 (
-	.A(n_9170),
-	.Y(n_9171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307285 (
-	.A(n_369),
-	.Y(n_15062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g307286 (
-	.A(\soc_top_timer0_gen_harts[0].u_core_n_81 ),
-	.B(\soc_top_timer0_reg2hw[ctrl][0][q] ),
-	.X(soc_top_timer0_intr_timer_set[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g307287 (
-	.A_N(\soc_top_xbar_to_timer[a_address] [5]),
-	.B(\soc_top_xbar_to_timer[a_address] [4]),
-	.Y(n_15052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307288 (
-	.A(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2747),
-	.B(n_14960),
-	.Y(n_9165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g307289 (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Div_enable_S ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.Y(n_9172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g307290 (
-	.A(\soc_top_xbar_to_timer[a_address] [5]),
-	.B(\soc_top_xbar_to_timer[a_address] [6]),
-	.X(n_15190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g307291 (
-	.A_N(\soc_top_xbar_to_timer[a_address] [2]),
-	.B(\soc_top_xbar_to_timer[a_address] [3]),
-	.Y(soc_top_u_pwm_pwm_core_n_1288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307293 (
-	.A(n_9141),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [0]),
-	.Y(n_9170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307294 (
-	.A(n_9119),
-	.B(soc_top_u_top_u_core_alu_adder_result_ex[0]),
-	.Y(n_15046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307296 (
-	.A(soc_top_u_top_u_core_alu_adder_result_ex[1]),
-	.B(n_9140),
-	.Y(n_15047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g307297 (
-	.A(n_6996),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.Y(n_9167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g307298 (
-	.A(\soc_top_xbar_to_timer[a_address] [2]),
-	.B(\soc_top_xbar_to_timer[a_address] [3]),
-	.Y(n_369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307299 (
-	.A(n_17359),
-	.Y(n_9164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307300 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [31]),
-	.Y(n_7053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307301 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [31]),
-	.Y(n_6983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307303 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [31]),
-	.Y(n_7029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307304 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [31]),
-	.Y(n_6969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307305 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [31]),
-	.Y(n_7035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307308 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [31]),
-	.Y(n_7039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307309 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [31]),
-	.Y(n_6982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307310 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [31]),
-	.Y(n_7032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307311 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [31]),
-	.Y(n_6968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g307312 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [31]),
-	.Y(n_6967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307313 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [31]),
-	.Y(n_6974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307315 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [31]),
-	.Y(n_7043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307316 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [31]),
-	.Y(n_7034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307317 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [31]),
-	.Y(n_7046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307318 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [31]),
-	.Y(n_6975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307319 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [31]),
-	.Y(n_7031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307322 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [5]),
-	.Y(n_9141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307324 (
-	.A(soc_top_u_top_u_core_alu_adder_result_ex[0]),
-	.Y(n_9140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307348 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [31]),
-	.Y(n_7051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307350 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [31]),
-	.Y(n_7037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307352 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [31]),
-	.Y(n_6964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307353 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [31]),
-	.Y(n_7041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307354 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [31]),
-	.Y(n_6965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307355 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [31]),
-	.Y(n_6971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307357 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [31]),
-	.Y(n_7052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307358 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [31]),
-	.Y(n_6976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307359 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [31]),
-	.Y(n_6979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307360 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [31]),
-	.Y(n_7049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307361 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [31]),
-	.Y(n_6977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g307362 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [31]),
-	.Y(n_7040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g307363 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
-	.Y(n_9121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g307366 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
-	.Y(n_9120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307367 (
-	.A(soc_top_u_top_u_core_alu_adder_result_ex[1]),
-	.Y(n_9119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g307368 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
-	.Y(n_9118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g383476 (
-	.A1(n_9199),
-	.A2(n_9203),
-	.B1_N(n_9343),
-	.Y(n_9112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g307370 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
-	.A2(n_15107),
-	.B1_N(n_9342),
-	.Y(n_9111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g307371 (
-	.A(n_9199),
-	.B_N(n_9206),
-	.Y(n_9110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g307372 (
-	.A_N(n_15648),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [3]),
-	.Y(n_9109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g307373 (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [0]),
-	.B(n_9141),
-	.Y(n_15648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g307374 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
-	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
-	.Y(n_9108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g307375 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
-	.Y(n_9107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[0]  (
-	.CLK(CTS_17),
-	.D(soc_top_GPIO_data_in_d[0]),
-	.Q(soc_top_GPIO_data_in_q[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[1]  (
-	.CLK(CTS_26),
-	.D(soc_top_GPIO_data_in_d[1]),
-	.Q(soc_top_GPIO_data_in_q[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[2]  (
-	.CLK(CTS_26),
-	.D(soc_top_GPIO_data_in_d[2]),
-	.Q(soc_top_GPIO_data_in_q[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[3]  (
-	.CLK(CTS_18),
-	.D(soc_top_GPIO_data_in_d[3]),
-	.Q(soc_top_GPIO_data_in_q[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[4]  (
-	.CLK(CTS_18),
-	.D(soc_top_GPIO_data_in_d[4]),
-	.Q(soc_top_GPIO_data_in_q[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[5]  (
-	.CLK(CTS_17),
-	.D(soc_top_GPIO_data_in_d[5]),
-	.Q(soc_top_GPIO_data_in_q[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[6]  (
-	.CLK(CTS_18),
-	.D(soc_top_GPIO_data_in_d[6]),
-	.Q(soc_top_GPIO_data_in_q[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[7]  (
-	.CLK(CTS_27),
-	.D(soc_top_GPIO_data_in_d[7]),
-	.Q(soc_top_GPIO_data_in_q[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[8]  (
-	.CLK(CTS_18),
-	.D(soc_top_GPIO_data_in_d[8]),
-	.Q(soc_top_GPIO_data_in_q[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[9]  (
-	.CLK(CTS_18),
-	.D(soc_top_GPIO_data_in_d[9]),
-	.Q(soc_top_GPIO_data_in_q[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[10]  (
-	.CLK(CTS_27),
-	.D(soc_top_GPIO_data_in_d[10]),
-	.Q(soc_top_GPIO_data_in_q[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[11]  (
-	.CLK(CTS_27),
-	.D(soc_top_GPIO_data_in_d[11]),
-	.Q(soc_top_GPIO_data_in_q[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[12]  (
-	.CLK(CTS_27),
-	.D(soc_top_GPIO_data_in_d[12]),
-	.Q(soc_top_GPIO_data_in_q[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[13]  (
-	.CLK(CTS_25),
-	.D(soc_top_GPIO_data_in_d[13]),
-	.Q(soc_top_GPIO_data_in_q[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[14]  (
-	.CLK(CTS_44),
-	.D(soc_top_GPIO_data_in_d[14]),
-	.Q(soc_top_GPIO_data_in_q[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[15]  (
-	.CLK(CTS_44),
-	.D(soc_top_GPIO_data_in_d[15]),
-	.Q(soc_top_GPIO_data_in_q[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[16]  (
-	.CLK(CTS_27),
-	.D(soc_top_GPIO_data_in_d[16]),
-	.Q(soc_top_GPIO_data_in_q[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[17]  (
-	.CLK(CTS_27),
-	.D(soc_top_GPIO_data_in_d[17]),
-	.Q(soc_top_GPIO_data_in_q[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[19]  (
-	.CLK(CTS_27),
-	.D(soc_top_GPIO_data_in_d[19]),
-	.Q(soc_top_GPIO_data_in_q[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[20]  (
-	.CLK(CTS_25),
-	.D(soc_top_GPIO_data_in_d[20]),
-	.Q(soc_top_GPIO_data_in_q[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[21]  (
-	.CLK(CTS_25),
-	.D(soc_top_GPIO_data_in_d[21]),
-	.Q(soc_top_GPIO_data_in_q[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[22]  (
-	.CLK(CTS_25),
-	.D(soc_top_GPIO_data_in_d[22]),
-	.Q(soc_top_GPIO_data_in_q[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[23]  (
-	.CLK(CTS_25),
-	.D(soc_top_GPIO_data_in_d[23]),
-	.Q(soc_top_GPIO_data_in_q[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[24]  (
-	.CLK(CTS_25),
-	.D(soc_top_GPIO_data_in_d[24]),
-	.Q(soc_top_GPIO_data_in_q[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[25]  (
-	.CLK(CTS_25),
-	.D(soc_top_GPIO_data_in_d[25]),
-	.Q(soc_top_GPIO_data_in_q[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[26]  (
-	.CLK(CTS_25),
-	.D(soc_top_GPIO_data_in_d[26]),
-	.Q(soc_top_GPIO_data_in_q[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[27]  (
-	.CLK(CTS_88),
-	.D(soc_top_GPIO_data_in_d[27]),
-	.Q(soc_top_GPIO_data_in_q[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[28]  (
-	.CLK(CTS_88),
-	.D(soc_top_GPIO_data_in_d[28]),
-	.Q(soc_top_GPIO_data_in_q[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[29]  (
-	.CLK(CTS_88),
-	.D(soc_top_GPIO_data_in_d[29]),
-	.Q(soc_top_GPIO_data_in_q[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[30]  (
-	.CLK(CTS_17),
-	.D(soc_top_GPIO_data_in_d[30]),
-	.Q(soc_top_GPIO_data_in_q[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[31]  (
-	.CLK(CTS_17),
-	.D(soc_top_GPIO_data_in_d[31]),
-	.Q(soc_top_GPIO_data_in_q[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[0].filter_filter_q_reg  (
-	.CLK(CTS_15),
-	.D(io_in[8]),
-	.Q(\soc_top_GPIO_gen_filter[0].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[1].filter_filter_q_reg  (
-	.CLK(CTS_35),
-	.D(io_in[9]),
-	.Q(\soc_top_GPIO_gen_filter[1].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[2].filter_filter_q_reg  (
-	.CLK(CTS_15),
-	.D(io_in[10]),
-	.Q(\soc_top_GPIO_gen_filter[2].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[3].filter_filter_q_reg  (
-	.CLK(CTS_15),
-	.D(io_in[11]),
-	.Q(\soc_top_GPIO_gen_filter[3].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[4].filter_filter_q_reg  (
-	.CLK(CTS_15),
-	.D(io_in[12]),
-	.Q(\soc_top_GPIO_gen_filter[4].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[5].filter_filter_q_reg  (
-	.CLK(CTS_15),
-	.D(io_in[13]),
-	.Q(\soc_top_GPIO_gen_filter[5].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[6].filter_filter_q_reg  (
-	.CLK(CTS_28),
-	.D(io_in[14]),
-	.Q(\soc_top_GPIO_gen_filter[6].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[7].filter_filter_q_reg  (
-	.CLK(CTS_28),
-	.D(io_in[15]),
-	.Q(\soc_top_GPIO_gen_filter[7].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[8].filter_filter_q_reg  (
-	.CLK(CTS_15),
-	.D(io_in[16]),
-	.Q(\soc_top_GPIO_gen_filter[8].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[9].filter_filter_q_reg  (
-	.CLK(CTS_28),
-	.D(io_in[17]),
-	.Q(\soc_top_GPIO_gen_filter[9].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[10].filter_filter_q_reg  (
-	.CLK(CTS_27),
-	.D(io_in[18]),
-	.Q(\soc_top_GPIO_gen_filter[10].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[11].filter_filter_q_reg  (
-	.CLK(CTS_27),
-	.D(io_in[19]),
-	.Q(\soc_top_GPIO_gen_filter[11].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[12].filter_filter_q_reg  (
-	.CLK(CTS_44),
-	.D(io_in[20]),
-	.Q(\soc_top_GPIO_gen_filter[12].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[13].filter_filter_q_reg  (
-	.CLK(CTS_44),
-	.D(io_in[21]),
-	.Q(\soc_top_GPIO_gen_filter[13].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[14].filter_filter_q_reg  (
-	.CLK(CTS_44),
-	.D(io_in[22]),
-	.Q(\soc_top_GPIO_gen_filter[14].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[15].filter_filter_q_reg  (
-	.CLK(CTS_44),
-	.D(io_in[23]),
-	.Q(\soc_top_GPIO_gen_filter[15].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[16].filter_filter_q_reg  (
-	.CLK(CTS_44),
-	.D(io_in[24]),
-	.Q(\soc_top_GPIO_gen_filter[16].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[17].filter_filter_q_reg  (
-	.CLK(CTS_44),
-	.D(io_in[25]),
-	.Q(\soc_top_GPIO_gen_filter[17].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[19].filter_filter_q_reg  (
-	.CLK(CTS_44),
-	.D(io_in[27]),
-	.Q(\soc_top_GPIO_gen_filter[19].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[20].filter_filter_q_reg  (
-	.CLK(CTS_42),
-	.D(io_in[28]),
-	.Q(\soc_top_GPIO_gen_filter[20].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[21].filter_filter_q_reg  (
-	.CLK(CTS_42),
-	.D(io_in[29]),
-	.Q(\soc_top_GPIO_gen_filter[21].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[22].filter_filter_q_reg  (
-	.CLK(CTS_89),
-	.D(io_in[30]),
-	.Q(\soc_top_GPIO_gen_filter[22].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[23].filter_filter_q_reg  (
-	.CLK(CTS_42),
-	.D(io_in[31]),
-	.Q(\soc_top_GPIO_gen_filter[23].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[24].filter_filter_q_reg  (
-	.CLK(CTS_89),
-	.D(io_in[32]),
-	.Q(\soc_top_GPIO_gen_filter[24].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[25].filter_filter_q_reg  (
-	.CLK(CTS_42),
-	.D(io_in[33]),
-	.Q(\soc_top_GPIO_gen_filter[25].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[26].filter_filter_q_reg  (
-	.CLK(CTS_42),
-	.D(io_in[34]),
-	.Q(\soc_top_GPIO_gen_filter[26].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[27].filter_filter_q_reg  (
-	.CLK(CTS_42),
-	.D(io_in[35]),
-	.Q(\soc_top_GPIO_gen_filter[27].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[28].filter_filter_q_reg  (
-	.CLK(CTS_42),
-	.D(io_in[36]),
-	.Q(\soc_top_GPIO_gen_filter[28].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[29].filter_filter_q_reg  (
-	.CLK(CTS_89),
-	.D(io_in[37]),
-	.Q(\soc_top_GPIO_gen_filter[29].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[30].filter_filter_q_reg  (
-	.CLK(CTS_35),
-	.D(io_in[0]),
-	.Q(\soc_top_GPIO_gen_filter[30].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[31].filter_filter_q_reg  (
-	.CLK(CTS_35),
-	.D(io_in[1]),
-	.Q(\soc_top_GPIO_gen_filter[31].filter_filter_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[0]  (
-	.CLK(CTS_26),
-	.D(n_7055),
-	.Q(soc_top_intr_gpio[0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[1]  (
-	.CLK(CTS_20),
-	.D(n_7056),
-	.Q(soc_top_intr_gpio[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[2]  (
-	.CLK(CTS_26),
-	.D(n_7072),
-	.Q(soc_top_intr_gpio[2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[3]  (
-	.CLK(CTS_26),
-	.D(n_7059),
-	.Q(soc_top_intr_gpio[3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[4]  (
-	.CLK(CTS_26),
-	.D(n_7127),
-	.Q(soc_top_intr_gpio[4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[5]  (
-	.CLK(CTS_26),
-	.D(n_7057),
-	.Q(soc_top_intr_gpio[5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[6]  (
-	.CLK(CTS_28),
-	.D(n_7135),
-	.Q(soc_top_intr_gpio[6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[7]  (
-	.CLK(CTS_18),
-	.D(n_7064),
-	.Q(soc_top_intr_gpio[7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[8]  (
-	.CLK(CTS_18),
-	.D(n_7075),
-	.Q(soc_top_intr_gpio[8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[9]  (
-	.CLK(CTS_18),
-	.D(n_7058),
-	.Q(soc_top_intr_gpio[9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[10]  (
-	.CLK(CTS_28),
-	.D(n_7134),
-	.Q(soc_top_intr_gpio[10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[11]  (
-	.CLK(CTS_18),
-	.D(n_7078),
-	.Q(soc_top_intr_gpio[11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[12]  (
-	.CLK(CTS_18),
-	.D(n_7117),
-	.Q(soc_top_intr_gpio[12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[13]  (
-	.CLK(CTS_26),
-	.D(n_7073),
-	.Q(soc_top_intr_gpio[13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[14]  (
-	.CLK(CTS_18),
-	.D(n_7070),
-	.Q(soc_top_intr_gpio[14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[15]  (
-	.CLK(CTS_17),
-	.D(n_7132),
-	.Q(soc_top_intr_gpio[15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[16]  (
-	.CLK(CTS_17),
-	.D(n_7063),
-	.Q(soc_top_intr_gpio[16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[17]  (
-	.CLK(CTS_17),
-	.D(n_7121),
-	.Q(soc_top_intr_gpio[17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[18]  (
-	.CLK(CTS_26),
-	.D(n_7125),
-	.Q(soc_top_intr_gpio[18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[19]  (
-	.CLK(CTS_17),
-	.D(n_7060),
-	.Q(soc_top_intr_gpio[19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[20]  (
-	.CLK(CTS_17),
-	.D(n_7124),
-	.Q(soc_top_intr_gpio[20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[21]  (
-	.CLK(CTS_17),
-	.D(n_7066),
-	.Q(soc_top_intr_gpio[21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[22]  (
-	.CLK(CTS_26),
-	.D(n_7120),
-	.Q(soc_top_intr_gpio[22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[23]  (
-	.CLK(CTS_17),
-	.D(n_7129),
-	.Q(soc_top_intr_gpio[23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[24]  (
-	.CLK(CTS_26),
-	.D(n_7061),
-	.Q(soc_top_intr_gpio[24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[25]  (
-	.CLK(CTS_17),
-	.D(n_7128),
-	.Q(soc_top_intr_gpio[25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[26]  (
-	.CLK(CTS_26),
-	.D(n_7119),
-	.Q(soc_top_intr_gpio[26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[27]  (
-	.CLK(CTS_17),
-	.D(n_7062),
-	.Q(soc_top_intr_gpio[27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[28]  (
-	.CLK(CTS_26),
-	.D(n_7079),
-	.Q(soc_top_intr_gpio[28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[29]  (
-	.CLK(CTS_26),
-	.D(n_7077),
-	.Q(soc_top_intr_gpio[29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[30]  (
-	.CLK(CTS_26),
-	.D(n_7131),
-	.Q(soc_top_intr_gpio[30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[31]  (
-	.CLK(CTS_26),
-	.D(n_7130),
-	.Q(soc_top_intr_gpio[31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[1]  (
-	.CLK(CTS_20),
-	.D(soc_top_intr_gpio[0]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[2]  (
-	.CLK(CTS_20),
-	.D(soc_top_intr_gpio[1]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[3]  (
-	.CLK(CTS_20),
-	.D(soc_top_intr_gpio[2]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[4]  (
-	.CLK(CTS_26),
-	.D(soc_top_intr_gpio[3]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[5]  (
-	.CLK(CTS_28),
-	.D(soc_top_intr_gpio[4]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[6]  (
-	.CLK(CTS_26),
-	.D(soc_top_intr_gpio[5]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[7]  (
-	.CLK(CTS_28),
-	.D(soc_top_intr_gpio[6]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[8]  (
-	.CLK(CTS_28),
-	.D(soc_top_intr_gpio[7]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[9]  (
-	.CLK(CTS_28),
-	.D(soc_top_intr_gpio[8]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[10]  (
-	.CLK(CTS_28),
-	.D(soc_top_intr_gpio[9]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[11]  (
-	.CLK(CTS_28),
-	.D(soc_top_intr_gpio[10]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[12]  (
-	.CLK(CTS_18),
-	.D(soc_top_intr_gpio[11]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[13]  (
-	.CLK(CTS_28),
-	.D(soc_top_intr_gpio[12]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[14]  (
-	.CLK(CTS_26),
-	.D(soc_top_intr_gpio[13]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[15]  (
-	.CLK(CTS_28),
-	.D(soc_top_intr_gpio[14]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[16]  (
-	.CLK(CTS_26),
-	.D(soc_top_intr_gpio[15]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[17]  (
-	.CLK(CTS_26),
-	.D(soc_top_intr_gpio[16]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[18]  (
-	.CLK(CTS_26),
-	.D(soc_top_intr_gpio[17]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[19]  (
-	.CLK(CTS_26),
-	.D(soc_top_intr_gpio[18]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[20]  (
-	.CLK(CTS_26),
-	.D(soc_top_intr_gpio[19]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[21]  (
-	.CLK(CTS_20),
-	.D(soc_top_intr_gpio[20]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[22]  (
-	.CLK(CTS_26),
-	.D(soc_top_intr_gpio[21]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[23]  (
-	.CLK(CTS_20),
-	.D(soc_top_intr_gpio[22]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[24]  (
-	.CLK(CTS_26),
-	.D(soc_top_intr_gpio[23]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[25]  (
-	.CLK(CTS_20),
-	.D(soc_top_intr_gpio[24]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[26]  (
-	.CLK(CTS_26),
-	.D(soc_top_intr_gpio[25]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[27]  (
-	.CLK(CTS_20),
-	.D(soc_top_intr_gpio[26]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[28]  (
-	.CLK(CTS_26),
-	.D(soc_top_intr_gpio[27]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[29]  (
-	.CLK(CTS_19),
-	.D(soc_top_intr_gpio[28]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[30]  (
-	.CLK(CTS_26),
-	.D(soc_top_intr_gpio[29]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[31]  (
-	.CLK(CTS_20),
-	.D(soc_top_intr_gpio[30]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[32]  (
-	.CLK(CTS_20),
-	.D(soc_top_intr_gpio[31]),
-	.Q(soc_top_intr_controller_u_gateway_src_q[32]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[33]  (
-	.CLK(CTS_20),
-	.D(soc_top_intr_u_tx),
-	.Q(soc_top_intr_controller_u_gateway_src_q[33]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[34]  (
-	.CLK(CTS_20),
-	.D(soc_top_intr_stx),
-	.Q(soc_top_intr_controller_u_gateway_src_q[34]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[35]  (
-	.CLK(CTS_20),
-	.D(soc_top_intr_srx),
-	.Q(soc_top_intr_controller_u_gateway_src_q[35]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 soc_top_timer0_u_reg_u_compare_lower0_0_qe_reg (
-	.CLK(CTS_23),
-	.D(n_7150),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][qe] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 soc_top_timer0_u_reg_u_compare_upper0_0_qe_reg (
-	.CLK(CTS_23),
-	.D(n_7107),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][qe] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 soc_top_u_spi_host_spi_host_intr_rx_o_reg (
-	.CLK(CTS_20),
-	.D(n_7426),
-	.Q(soc_top_intr_srx), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 soc_top_u_spi_host_spi_host_intr_tx_o_reg (
-	.CLK(CTS_20),
-	.D(n_7484),
-	.Q(soc_top_intr_stx), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[0]  (
-	.CLK(CTS_16),
-	.D(n_7604),
-	.Q(soc_top_u_spi_host_rdata[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[1]  (
-	.CLK(CTS_22),
-	.D(n_7606),
-	.Q(soc_top_u_spi_host_rdata[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[2]  (
-	.CLK(CTS_16),
-	.D(n_7603),
-	.Q(soc_top_u_spi_host_rdata[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[3]  (
-	.CLK(CTS_22),
-	.D(n_7602),
-	.Q(soc_top_u_spi_host_rdata[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[4]  (
-	.CLK(CTS_21),
-	.D(n_7622),
-	.Q(soc_top_u_spi_host_rdata[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[5]  (
-	.CLK(CTS_21),
-	.D(n_7620),
-	.Q(soc_top_u_spi_host_rdata[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[6]  (
-	.CLK(CTS_21),
-	.D(n_7619),
-	.Q(soc_top_u_spi_host_rdata[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[7]  (
-	.CLK(CTS_21),
-	.D(n_7613),
-	.Q(soc_top_u_spi_host_rdata[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[8]  (
-	.CLK(CTS_21),
-	.D(n_7618),
-	.Q(soc_top_u_spi_host_rdata[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[9]  (
-	.CLK(CTS_21),
-	.D(n_7614),
-	.Q(soc_top_u_spi_host_rdata[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[10]  (
-	.CLK(CTS_21),
-	.D(n_7612),
-	.Q(soc_top_u_spi_host_rdata[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[11]  (
-	.CLK(CTS_21),
-	.D(n_7621),
-	.Q(soc_top_u_spi_host_rdata[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[12]  (
-	.CLK(CTS_21),
-	.D(n_7617),
-	.Q(soc_top_u_spi_host_rdata[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[13]  (
-	.CLK(CTS_21),
-	.D(n_7616),
-	.Q(soc_top_u_spi_host_rdata[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[14]  (
-	.CLK(CTS_21),
-	.D(n_7611),
-	.Q(soc_top_u_spi_host_rdata[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[15]  (
-	.CLK(CTS_21),
-	.D(n_7615),
-	.Q(soc_top_u_spi_host_rdata[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[16]  (
-	.CLK(CTS_22),
-	.D(n_7506),
-	.Q(soc_top_u_spi_host_rdata[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[17]  (
-	.CLK(CTS_21),
-	.D(n_7505),
-	.Q(soc_top_u_spi_host_rdata[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[18]  (
-	.CLK(CTS_22),
-	.D(n_7504),
-	.Q(soc_top_u_spi_host_rdata[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[19]  (
-	.CLK(CTS_22),
-	.D(n_7507),
-	.Q(soc_top_u_spi_host_rdata[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[20]  (
-	.CLK(CTS_22),
-	.D(n_7519),
-	.Q(soc_top_u_spi_host_rdata[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[21]  (
-	.CLK(CTS_22),
-	.D(n_7518),
-	.Q(soc_top_u_spi_host_rdata[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[22]  (
-	.CLK(CTS_22),
-	.D(n_7517),
-	.Q(soc_top_u_spi_host_rdata[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[23]  (
-	.CLK(CTS_22),
-	.D(n_7515),
-	.Q(soc_top_u_spi_host_rdata[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[24]  (
-	.CLK(CTS_22),
-	.D(n_7503),
-	.Q(soc_top_u_spi_host_rdata[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[25]  (
-	.CLK(CTS_22),
-	.D(n_7516),
-	.Q(soc_top_u_spi_host_rdata[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[26]  (
-	.CLK(CTS_22),
-	.D(n_7520),
-	.Q(soc_top_u_spi_host_rdata[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[27]  (
-	.CLK(CTS_22),
-	.D(n_7514),
-	.Q(soc_top_u_spi_host_rdata[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[28]  (
-	.CLK(CTS_22),
-	.D(n_7502),
-	.Q(soc_top_u_spi_host_rdata[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[29]  (
-	.CLK(CTS_22),
-	.D(n_7521),
-	.Q(soc_top_u_spi_host_rdata[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[30]  (
-	.CLK(CTS_22),
-	.D(n_7501),
-	.Q(soc_top_u_spi_host_rdata[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[31]  (
-	.CLK(CTS_16),
-	.D(n_7508),
-	.Q(soc_top_u_spi_host_rdata[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354253 (
-	.A(n_9106),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_inject_carry_in ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354254 (
-	.A(n_9105),
-	.B(n_9103),
-	.Y(n_9106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g354255 (
-	.A1(n_8526),
-	.A2(n_6870),
-	.B1(n_9102),
-	.C1(n_9104),
-	.Y(n_15100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g354256 (
-	.A1(n_18342),
-	.A2(n_9098),
-	.A3(n_9095),
-	.B1(n_8527),
-	.Y(n_9105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g354257 (
-	.A1(n_9077),
-	.A2(n_8527),
-	.B1(n_18352),
-	.C1(n_6893),
-	.D1(n_9100),
-	.Y(n_9104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g354258 (
-	.A(n_8120),
-	.B(n_18352),
-	.C(n_6893),
-	.D(n_9101),
-	.Y(n_9103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354259 (
-	.A(n_9101),
-	.Y(n_9102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211o_1 g354260 (
-	.A1(n_18218),
-	.A2(n_8649),
-	.B1(n_8650),
-	.C1(n_9090),
-	.X(n_16537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354261 (
-	.A(n_9093),
-	.B(n_9086),
-	.Y(n_16512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g354262 (
-	.A1(n_8648),
-	.A2(n_9025),
-	.B1(n_8651),
-	.C1(n_9086),
-	.Y(n_16544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g354265 (
-	.A1(n_8648),
-	.A2(n_9024),
-	.B1(n_8651),
-	.C1(n_9087),
-	.Y(n_16543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g354266 (
-	.A1(n_8526),
-	.A2(n_9072),
-	.B1(n_9096),
-	.Y(n_9101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g354269 (
-	.A1(n_8648),
-	.A2(n_9063),
-	.B1(n_8651),
-	.C1(n_9085),
-	.Y(n_16536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g354270 (
-	.A1(n_8594),
-	.A2(n_6867),
-	.B1(n_9092),
-	.Y(n_16535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g354271 (
-	.A1(n_9075),
-	.A2(n_9024),
-	.B1(n_8526),
-	.Y(n_9100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g354272 (
-	.A1(n_8594),
-	.A2(n_6868),
-	.B1(n_9099),
-	.Y(n_16532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g354273 (
-	.A1(n_8594),
-	.A2(n_6862),
-	.B1(n_9091),
-	.Y(n_16533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g354276 (
-	.A1(n_6868),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.B1(n_8592),
-	.Y(n_9099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354278 (
-	.A(n_9074),
-	.B(n_9069),
-	.Y(n_16507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g354279 (
-	.A1(n_8648),
-	.A2(n_6928),
-	.B1(n_8651),
-	.C1(n_9068),
-	.Y(n_16542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g354280 (
-	.A1(n_8648),
-	.A2(n_8968),
-	.B1(n_8651),
-	.C1(n_9067),
-	.Y(n_16541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g354281 (
-	.A1(n_8648),
-	.A2(n_8970),
-	.B1(n_8651),
-	.C1(n_9069),
-	.Y(n_16539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g354283 (
-	.A1(n_8648),
-	.A2(n_9004),
-	.B1(n_8651),
-	.C1(n_9071),
-	.Y(n_16538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354284 (
-	.A(n_9088),
-	.B(n_9024),
-	.Y(n_9098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g354287 (
-	.A1(n_9057),
-	.A2(n_6918),
-	.B1(n_9079),
-	.Y(n_9096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354289 (
-	.A(n_9075),
-	.B(n_9073),
-	.Y(n_9095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g354291 (
-	.A1(n_8648),
-	.A2(n_8967),
-	.B1(n_8651),
-	.C1(n_9070),
-	.Y(n_16540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g354292 (
-	.A1(n_8120),
-	.A2(n_6929),
-	.B1(n_8591),
-	.C1(n_9048),
-	.Y(n_16548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g354293 (
-	.A1(n_8120),
-	.A2(n_18181),
-	.B1(n_8591),
-	.C1(n_9049),
-	.Y(n_16549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g354294 (
-	.A1(n_8594),
-	.A2(n_8972),
-	.B1(n_8591),
-	.C1(n_9045),
-	.Y(n_16551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g354295 (
-	.A1(n_8594),
-	.A2(n_8999),
-	.B1(n_8591),
-	.C1(n_9054),
-	.Y(n_16552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g354296 (
-	.A1(n_8594),
-	.A2(n_8971),
-	.B1(n_8591),
-	.C1(n_9050),
-	.Y(n_16550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g354297 (
-	.A1(n_8594),
-	.A2(n_9001),
-	.B1(n_8591),
-	.C1(n_9055),
-	.Y(n_16553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g354299 (
-	.A1(n_18357),
-	.A2(n_8529),
-	.B1(n_8530),
-	.Y(n_9093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g354300 (
-	.A1(n_6867),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.B1(n_8592),
-	.Y(n_9092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g354303 (
-	.A1_N(n_8120),
-	.A2_N(n_9036),
-	.B1(n_8120),
-	.B2(n_9036),
-	.Y(n_16516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g354304 (
-	.A1(n_6862),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.B1(n_8592),
-	.Y(n_9091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354306 (
-	.A(n_9078),
-	.B(n_18349),
-	.Y(n_16521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g354307 (
-	.A1_N(n_8120),
-	.A2_N(n_9041),
-	.B1(n_8120),
-	.B2(n_9041),
-	.Y(n_16531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g354308 (
-	.A1(n_8120),
-	.A2(n_9043),
-	.B1(n_9080),
-	.Y(n_16530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g354309 (
-	.A1_N(n_8120),
-	.A2_N(n_9028),
-	.B1(n_8120),
-	.B2(n_9028),
-	.Y(n_16529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g354310 (
-	.A1_N(n_8120),
-	.A2_N(n_9040),
-	.B1(n_8120),
-	.B2(n_9040),
-	.Y(n_16528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g354311 (
-	.A1_N(n_8120),
-	.A2_N(n_18353),
-	.B1(n_8120),
-	.B2(n_18353),
-	.Y(n_16520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g354312 (
-	.A1_N(n_8120),
-	.A2_N(n_18354),
-	.B1(n_8120),
-	.B2(n_18354),
-	.Y(n_16519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g354313 (
-	.A1_N(n_8120),
-	.A2_N(n_9027),
-	.B1(n_8120),
-	.B2(n_9027),
-	.Y(n_16518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g354314 (
-	.A1_N(n_8120),
-	.A2_N(n_9037),
-	.B1(n_8120),
-	.B2(n_9037),
-	.Y(n_16517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g354316 (
-	.A1_N(n_8120),
-	.A2_N(n_9035),
-	.B1(n_8120),
-	.B2(n_9035),
-	.Y(n_16515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g354317 (
-	.A1_N(n_8120),
-	.A2_N(n_9031),
-	.B1(n_8120),
-	.B2(n_9031),
-	.Y(n_16514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g354319 (
-	.A1_N(n_8120),
-	.A2_N(n_9033),
-	.B1(n_8120),
-	.B2(n_9033),
-	.Y(n_16503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g354320 (
-	.A1_N(n_8120),
-	.A2_N(n_9032),
-	.B1(n_8120),
-	.B2(n_9032),
-	.Y(n_16502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g354321 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.B(n_9016),
-	.X(n_16501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g354322 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.B(n_9015),
-	.X(n_16500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g354323 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.B(n_9010),
-	.X(n_16498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g354324 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.B(n_9011),
-	.X(n_16497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g354325 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.B(n_18355),
-	.X(n_16496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g354326 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.B(n_18356),
-	.X(n_16495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g354327 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.B(n_9014),
-	.X(n_16499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354328 (
-	.A(n_18217),
-	.Y(n_9090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354332 (
-	.A(n_9023),
-	.B(n_8529),
-	.Y(n_9084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354334 (
-	.A(n_18218),
-	.B(n_8529),
-	.Y(n_9082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g354335 (
-	.A1(n_8120),
-	.A2(n_8896),
-	.B1(n_8651),
-	.C1(n_8960),
-	.Y(n_16546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g354337 (
-	.A1(n_8648),
-	.A2(n_8897),
-	.B1(n_8651),
-	.C1(n_8950),
-	.Y(n_16547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354338 (
-	.A(n_18350),
-	.B(n_8947),
-	.Y(n_16526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354339 (
-	.A(n_9043),
-	.B(n_8120),
-	.Y(n_9080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g354340 (
-	.A(n_17440),
-	.B(n_8983),
-	.C(n_8893),
-	.Y(n_9079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g354341 (
-	.A1(n_8601),
-	.A2(n_8994),
-	.B1(n_8936),
-	.Y(n_9078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g354342 (
-	.A_N(n_9007),
-	.B(n_8999),
-	.C(n_9001),
-	.Y(n_9077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354343 (
-	.A(n_9062),
-	.B(n_8529),
-	.Y(n_9076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354345 (
-	.A(n_9058),
-	.B(n_9052),
-	.Y(n_9088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354346 (
-	.A(n_9024),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_9087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354347 (
-	.A(n_9025),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_9086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354350 (
-	.A(n_9063),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_9085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g354356 (
-	.A1(n_8594),
-	.A2(n_8935),
-	.B1(n_8591),
-	.C1(n_8984),
-	.Y(n_16555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g354357 (
-	.A1(n_8594),
-	.A2(n_6927),
-	.B1(n_8591),
-	.C1(n_8985),
-	.Y(n_16554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354358 (
-	.A(n_18348),
-	.B(n_18420),
-	.Y(n_16527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354359 (
-	.A(n_18346),
-	.B(n_8956),
-	.Y(n_16525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354360 (
-	.A(n_18351),
-	.B(n_18358),
-	.Y(n_16524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g354363 (
-	.A1(n_8969),
-	.A2(n_8529),
-	.B1(n_8530),
-	.Y(n_9074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g354364 (
-	.A1(n_8648),
-	.A2(n_8894),
-	.B1(n_8651),
-	.C1(n_8964),
-	.Y(n_16545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g354365 (
-	.A(n_9006),
-	.B(n_9007),
-	.C(n_9066),
-	.Y(n_9073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354366 (
-	.A(n_18419),
-	.B(n_9061),
-	.Y(n_16522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354368 (
-	.A(n_18347),
-	.B(n_9060),
-	.Y(n_16523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g354369 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.B(n_18359),
-	.X(n_16494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g354370 (
-	.A(n_8120),
-	.B(n_8945),
-	.Y(n_16493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g354371 (
-	.A(n_8120),
-	.B(n_8944),
-	.Y(n_16492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g354372 (
-	.A(n_9044),
-	.B(n_6929),
-	.C(n_18181),
-	.Y(n_9072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354373 (
-	.A(n_9051),
-	.B(n_9053),
-	.Y(n_9075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g354375 (
-	.A(n_9062),
-	.Y(n_9063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354376 (
-	.A(n_8965),
-	.B(n_6863),
-	.Y(n_9061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354377 (
-	.A(n_8952),
-	.B(n_8941),
-	.Y(n_9060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354379 (
-	.A(n_8963),
-	.B(n_8942),
-	.Y(n_9058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g354380 (
-	.A1(n_8653),
-	.A2(n_18182),
-	.B1(n_8654),
-	.C1(n_8917),
-	.Y(n_16556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354381 (
-	.A(n_8953),
-	.B(n_18362),
-	.Y(n_9057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354382 (
-	.A(n_8949),
-	.B(n_9008),
-	.Y(n_9056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g354383 (
-	.A1(n_8653),
-	.A2(n_8859),
-	.B1(n_8654),
-	.C1(n_8937),
-	.Y(n_16557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354384 (
-	.A(n_9001),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_9055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354385 (
-	.A(n_8999),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_9054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354388 (
-	.A(n_6928),
-	.B(n_8967),
-	.Y(n_9053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354389 (
-	.A(n_6918),
-	.B(n_8989),
-	.Y(n_9052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354390 (
-	.A(n_8968),
-	.B(n_6926),
-	.Y(n_9051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354391 (
-	.A(n_6864),
-	.B(n_8654),
-	.Y(n_16558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354392 (
-	.A(n_8951),
-	.B(n_8654),
-	.Y(n_16559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354393 (
-	.A(n_8971),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_9050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354394 (
-	.A(n_18181),
-	.B(n_8593),
-	.Y(n_9049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354395 (
-	.A(n_6929),
-	.B(n_8593),
-	.Y(n_9048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354396 (
-	.A(n_9003),
-	.B(n_8529),
-	.Y(n_9047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354397 (
-	.A(n_8966),
-	.B(n_8529),
-	.Y(n_9046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354398 (
-	.A(n_8972),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_9045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354399 (
-	.A(n_8971),
-	.B(n_8972),
-	.Y(n_9044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354401 (
-	.A(n_9004),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_9071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354402 (
-	.A(n_8967),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_9070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354403 (
-	.A(n_8970),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_9069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354404 (
-	.A(n_6928),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_9068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354405 (
-	.A(n_8968),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_9067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354406 (
-	.A(n_8970),
-	.B(n_8973),
-	.Y(n_9066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354409 (
-	.A(n_9005),
-	.B(n_8890),
-	.Y(n_9062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354412 (
-	.A(n_9029),
-	.Y(n_9030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354413 (
-	.A(n_18357),
-	.Y(n_9025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g354414 (
-	.A(n_9023),
-	.Y(n_9024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g354423 (
-	.A1(n_6918),
-	.A2(n_8911),
-	.B1(n_8660),
-	.B2(n_18372),
-	.Y(n_9043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g354425 (
-	.A1(n_8660),
-	.A2(n_8766),
-	.B1(n_6918),
-	.B2(n_8907),
-	.Y(n_9041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354426 (
-	.A(n_8975),
-	.B(n_6856),
-	.Y(n_9040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354429 (
-	.A(n_8981),
-	.B(n_8813),
-	.Y(n_9037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g354430 (
-	.A1(n_6917),
-	.A2(n_8915),
-	.B1(n_8877),
-	.Y(n_9036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354431 (
-	.A(n_8980),
-	.B(n_8800),
-	.Y(n_9035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354432 (
-	.A(n_8979),
-	.B(n_8754),
-	.Y(n_9034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g354433 (
-	.A1(n_6917),
-	.A2(n_8909),
-	.B1(n_8751),
-	.Y(n_9033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354434 (
-	.A(n_8978),
-	.B(n_8749),
-	.Y(n_9032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354435 (
-	.A(n_8977),
-	.B(n_8810),
-	.Y(n_9016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354436 (
-	.A(n_8976),
-	.B(n_8844),
-	.Y(n_9015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354437 (
-	.A(n_8997),
-	.B(n_8804),
-	.Y(n_9014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g354440 (
-	.A1(n_8661),
-	.A2(n_8659),
-	.B1(n_6917),
-	.B2(n_6926),
-	.Y(n_9031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g354441 (
-	.A1(n_6918),
-	.A2(n_8902),
-	.B1(n_18183),
-	.Y(n_9029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g354442 (
-	.A1(n_8657),
-	.A2(n_18525),
-	.B1(n_6917),
-	.B2(n_8914),
-	.Y(n_9011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g354443 (
-	.A1(n_8660),
-	.A2(n_18525),
-	.B1(n_6918),
-	.B2(n_8914),
-	.Y(n_9028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354444 (
-	.A(n_8959),
-	.B(n_8802),
-	.Y(n_9010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354445 (
-	.A(n_8954),
-	.B(n_8797),
-	.Y(n_9027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g354448 (
-	.A1(n_6921),
-	.A2(n_18365),
-	.B1(n_8986),
-	.Y(n_9023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354451 (
-	.A(n_9005),
-	.Y(n_9006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354452 (
-	.A(n_9003),
-	.Y(n_9004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354457 (
-	.A(n_8906),
-	.B(n_6918),
-	.Y(n_8997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354460 (
-	.A(n_8120),
-	.B(n_8900),
-	.Y(n_8994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354465 (
-	.A(n_8903),
-	.B(n_8901),
-	.Y(n_8989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354468 (
-	.A(n_8899),
-	.B(n_6921),
-	.Y(n_8986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354469 (
-	.A(n_6927),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_8985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354470 (
-	.A(n_8935),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_8984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354471 (
-	.A(n_8895),
-	.B(n_8527),
-	.Y(n_8983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354473 (
-	.A(n_8916),
-	.B(n_6918),
-	.Y(n_8981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354474 (
-	.A(n_18361),
-	.B(n_6918),
-	.Y(n_8980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354475 (
-	.A(n_8895),
-	.B(n_6918),
-	.Y(n_8979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354476 (
-	.A(n_8903),
-	.B(n_6918),
-	.Y(n_8978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354477 (
-	.A(n_8901),
-	.B(n_6918),
-	.Y(n_8977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354478 (
-	.A(n_18360),
-	.B(n_6918),
-	.Y(n_8976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354479 (
-	.A(n_8905),
-	.B(n_8528),
-	.Y(n_8975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354480 (
-	.A(n_8900),
-	.B(n_6920),
-	.Y(n_9009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354481 (
-	.A(n_18360),
-	.B(n_6917),
-	.Y(n_9008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354482 (
-	.A(n_6927),
-	.B(n_8935),
-	.Y(n_9007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354483 (
-	.A(n_8904),
-	.B(n_6920),
-	.Y(n_9005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354484 (
-	.A(n_8942),
-	.B(n_18522),
-	.Y(n_9003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354485 (
-	.A(n_6918),
-	.B(n_8915),
-	.Y(n_6929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354487 (
-	.A(n_8900),
-	.B(n_8533),
-	.Y(n_9001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354488 (
-	.A(n_8904),
-	.B(n_8533),
-	.Y(n_8999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g354492 (
-	.A(n_8969),
-	.Y(n_8970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g354494 (
-	.A(n_8966),
-	.Y(n_8967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g354495 (
-	.A1(n_8120),
-	.A2(n_8885),
-	.B1(n_8602),
-	.Y(n_8965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354496 (
-	.A(n_8894),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_8964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354497 (
-	.A(n_8929),
-	.B(n_8874),
-	.Y(n_8963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354500 (
-	.A(n_8896),
-	.B(n_8649),
-	.Y(n_8960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354501 (
-	.A(n_8910),
-	.B(n_6918),
-	.Y(n_8959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g354504 (
-	.A1(n_8887),
-	.A2(n_8606),
-	.B1(n_8933),
-	.Y(n_8956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354506 (
-	.A(n_8918),
-	.B(n_6918),
-	.Y(n_8954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g354507 (
-	.A1(n_8868),
-	.A2(n_8870),
-	.B1(n_6920),
-	.Y(n_8953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g354508 (
-	.A1(n_8120),
-	.A2(n_8886),
-	.B1(n_8602),
-	.Y(n_8952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g354509 (
-	.A1(n_8653),
-	.A2(n_18365),
-	.B1(n_8943),
-	.X(n_8951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354511 (
-	.A(n_8897),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_8950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354512 (
-	.A(n_8879),
-	.B(n_8938),
-	.Y(n_8949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g354513 (
-	.A1(n_8757),
-	.A2(n_18525),
-	.B1(n_8120),
-	.B2(n_18526),
-	.C1(n_8758),
-	.Y(n_16561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g354514 (
-	.A1(n_8120),
-	.A2(n_18524),
-	.B1(n_8757),
-	.B2(n_18523),
-	.C1(n_8758),
-	.Y(n_16560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g354516 (
-	.A1(n_8864),
-	.A2(n_8606),
-	.B1(n_8923),
-	.Y(n_8947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g354518 (
-	.A1(n_8526),
-	.A2(n_8859),
-	.B1(n_8534),
-	.B2(n_8888),
-	.Y(n_8945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g354519 (
-	.A1(n_8526),
-	.A2(n_18182),
-	.B1(n_8534),
-	.B2(n_8865),
-	.Y(n_8944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354520 (
-	.A(n_18521),
-	.B(n_6917),
-	.Y(n_8973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354521 (
-	.A(n_8913),
-	.B(n_6917),
-	.Y(n_8972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354522 (
-	.A(n_8918),
-	.B(n_6917),
-	.Y(n_8971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2i_1 g354523 (
-	.A0(n_8864),
-	.A1(n_8861),
-	.S(n_6920),
-	.Y(n_6928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 g354524 (
-	.A1(n_6920),
-	.A2(n_18364),
-	.B1(n_8926),
-	.Y(n_8969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g354525 (
-	.A1(n_6921),
-	.A2(n_8859),
-	.B1(n_8927),
-	.X(n_8968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 g354526 (
-	.A1(n_6921),
-	.A2(n_18182),
-	.B1(n_8928),
-	.Y(n_8966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354531 (
-	.A(n_8603),
-	.B(n_8859),
-	.Y(n_8933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354535 (
-	.A(n_8890),
-	.B(n_18522),
-	.Y(n_8929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354536 (
-	.A(n_8866),
-	.B(n_6921),
-	.Y(n_8928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354537 (
-	.A(n_8887),
-	.B(n_6921),
-	.Y(n_8927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354538 (
-	.A(n_8886),
-	.B(n_6920),
-	.Y(n_8926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354541 (
-	.A(n_8603),
-	.B(n_8860),
-	.Y(n_8923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354546 (
-	.A(n_18365),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_8943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354547 (
-	.A(n_8885),
-	.B(n_6920),
-	.Y(n_8942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354548 (
-	.A(n_8868),
-	.B(n_8528),
-	.Y(n_8941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354549 (
-	.A(n_8860),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_8940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354550 (
-	.A(n_6920),
-	.B(n_8869),
-	.Y(n_8938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354551 (
-	.A(n_8859),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_8937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354552 (
-	.A(n_8870),
-	.B(n_8528),
-	.Y(n_8936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354554 (
-	.A(n_8886),
-	.B(n_8533),
-	.Y(n_8935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354555 (
-	.A(n_8885),
-	.B(n_8533),
-	.Y(n_6927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354556 (
-	.A(n_8910),
-	.Y(n_8911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354557 (
-	.A(n_18521),
-	.Y(n_8909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354558 (
-	.A(n_8906),
-	.Y(n_8907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354559 (
-	.A(n_8903),
-	.Y(n_8902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354560 (
-	.A(n_18361),
-	.Y(n_8897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354561 (
-	.A(n_6926),
-	.Y(n_8896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354563 (
-	.A(n_8895),
-	.Y(n_8894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g354564 (
-	.A1(n_8120),
-	.A2(n_18373),
-	.B1(n_8757),
-	.B2(n_18372),
-	.C1(n_8758),
-	.Y(n_16562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g354565 (
-	.A1(n_8846),
-	.A2(n_6918),
-	.B1(n_8763),
-	.Y(n_8893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g354567 (
-	.A1(n_8757),
-	.A2(n_8766),
-	.B1(n_8120),
-	.B2(n_8767),
-	.C1(n_8758),
-	.Y(n_16563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g354569 (
-	.A1(n_8653),
-	.A2(n_8769),
-	.B1(n_8654),
-	.C1(n_8806),
-	.Y(n_16565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g354570 (
-	.A1(n_8653),
-	.A2(n_8782),
-	.B1(n_8654),
-	.C1(n_8809),
-	.Y(n_16564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g354571 (
-	.A(n_6858),
-	.B(n_18219),
-	.C(n_8745),
-	.Y(n_8918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354572 (
-	.A(n_18182),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_8917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354573 (
-	.A(n_8853),
-	.B(n_8808),
-	.Y(n_8916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354574 (
-	.A(n_8811),
-	.B(n_8852),
-	.Y(n_8915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221a_1 g354575 (
-	.A1(n_8589),
-	.A2(n_8778),
-	.B1(n_8599),
-	.B2(n_8787),
-	.C1(n_8756),
-	.X(n_8914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g354576 (
-	.A(n_6859),
-	.B(n_18220),
-	.C(n_8750),
-	.Y(n_8913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g354578 (
-	.A1(n_8589),
-	.A2(n_8771),
-	.B1(n_8599),
-	.B2(n_8788),
-	.C1(n_6884),
-	.Y(n_8910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354580 (
-	.A(n_8854),
-	.B(n_8801),
-	.Y(n_8906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g354581 (
-	.A1(n_18367),
-	.A2(n_8519),
-	.B1(n_8843),
-	.X(n_8905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g354582 (
-	.A1(n_18524),
-	.A2(n_8518),
-	.B1(n_8814),
-	.X(n_8904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354583 (
-	.A(n_8857),
-	.B(n_8807),
-	.Y(n_8903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354584 (
-	.A(n_8856),
-	.B(n_8812),
-	.Y(n_8901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g354585 (
-	.A1(n_8518),
-	.A2(n_8787),
-	.B1(n_8878),
-	.Y(n_8900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g354586 (
-	.A1(n_8821),
-	.A2(n_8519),
-	.B1(n_8842),
-	.X(n_8899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354588 (
-	.A(n_8836),
-	.B(n_8850),
-	.Y(n_6926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354589 (
-	.A(n_8849),
-	.B(n_8876),
-	.Y(n_8895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354591 (
-	.A(n_8887),
-	.Y(n_8888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354596 (
-	.A(n_8821),
-	.B(n_8595),
-	.Y(n_8880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354598 (
-	.A(n_8822),
-	.B(n_8761),
-	.Y(n_8879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354599 (
-	.A(n_18526),
-	.B(n_8518),
-	.Y(n_8878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354601 (
-	.A(n_8846),
-	.B(n_6917),
-	.Y(n_8877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354602 (
-	.A(n_18526),
-	.B(n_8590),
-	.Y(n_8876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354604 (
-	.A(n_8823),
-	.B(n_18183),
-	.Y(n_8874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g354605 (
-	.A1(n_8656),
-	.A2(n_8757),
-	.B1(n_8120),
-	.B2(n_8655),
-	.C1(n_8758),
-	.Y(n_16566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354607 (
-	.A(n_18367),
-	.B(n_8595),
-	.Y(n_8890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g354608 (
-	.A1(n_8518),
-	.A2(n_8773),
-	.B1(n_8519),
-	.B2(n_8777),
-	.Y(n_8887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 g354609 (
-	.A1(n_8518),
-	.A2(n_8789),
-	.B1(n_8805),
-	.Y(n_8886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g354610 (
-	.A1(n_8518),
-	.A2(n_8788),
-	.B1(n_8799),
-	.Y(n_8885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354612 (
-	.A(n_8869),
-	.Y(n_8870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354613 (
-	.A(n_18364),
-	.Y(n_8868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354614 (
-	.A(n_8866),
-	.Y(n_8865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354615 (
-	.A(n_18365),
-	.Y(n_8862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354616 (
-	.A(n_8861),
-	.Y(n_8860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354618 (
-	.A(n_8828),
-	.B(n_8829),
-	.Y(n_8857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354619 (
-	.A(n_8826),
-	.B(n_8827),
-	.Y(n_8856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g354621 (
-	.A1(n_8589),
-	.A2(n_6925),
-	.B1(n_8599),
-	.B2(n_8789),
-	.X(n_8854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g354622 (
-	.A1(n_8791),
-	.A2(n_8595),
-	.B1(n_8838),
-	.Y(n_8853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g354623 (
-	.A1(n_8597),
-	.A2(n_8776),
-	.B1(n_8596),
-	.B2(n_18371),
-	.Y(n_8852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g354625 (
-	.A1(n_8589),
-	.A2(n_18372),
-	.B1(n_8596),
-	.B2(n_8788),
-	.Y(n_8850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g354626 (
-	.A1(n_8786),
-	.A2(n_8595),
-	.B1(n_8835),
-	.Y(n_8849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354630 (
-	.A(n_6855),
-	.B(n_8839),
-	.Y(n_8869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354632 (
-	.A(n_18221),
-	.B(n_8779),
-	.Y(n_8866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g354633 (
-	.A1(n_8519),
-	.A2(n_8774),
-	.B1(n_8798),
-	.Y(n_8864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g354635 (
-	.A1(n_8519),
-	.A2(n_8656),
-	.B1(n_8518),
-	.B2(n_8794),
-	.Y(n_8861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g354636 (
-	.A1_N(n_8518),
-	.A2_N(n_8792),
-	.B1(n_8607),
-	.B2(n_8734),
-	.Y(n_8859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354639 (
-	.A(n_8781),
-	.B(n_8527),
-	.Y(n_8844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354640 (
-	.A(n_8519),
-	.B(n_18370),
-	.Y(n_8843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354641 (
-	.A(n_8519),
-	.B(n_8783),
-	.Y(n_8842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354643 (
-	.A(n_8519),
-	.B(n_6925),
-	.Y(n_8840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354644 (
-	.A(n_8519),
-	.B(n_8778),
-	.Y(n_8839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354647 (
-	.A(n_8597),
-	.B(n_8777),
-	.Y(n_8838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354649 (
-	.A(n_8597),
-	.B(n_8771),
-	.Y(n_8836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354650 (
-	.A(n_8597),
-	.B(n_8778),
-	.Y(n_8835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354657 (
-	.A(n_8599),
-	.B(n_8794),
-	.Y(n_8829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354658 (
-	.A(n_8589),
-	.B(n_8774),
-	.Y(n_8828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354659 (
-	.A(n_8599),
-	.B(n_8792),
-	.Y(n_8827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354660 (
-	.A(n_8589),
-	.B(n_8777),
-	.Y(n_8826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354663 (
-	.A(n_6921),
-	.B(n_8795),
-	.Y(n_8846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354670 (
-	.A(n_8518),
-	.B(n_18370),
-	.Y(n_8814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354671 (
-	.A(n_8772),
-	.B(n_8662),
-	.Y(n_8813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354673 (
-	.A(n_8772),
-	.B(n_8595),
-	.Y(n_8812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354674 (
-	.A(n_6921),
-	.B(n_8782),
-	.Y(n_8811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354675 (
-	.A(n_8768),
-	.B(n_8527),
-	.Y(n_8810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g354676 (
-	.A1(n_8120),
-	.A2(n_6881),
-	.B1(n_8654),
-	.C1(n_8753),
-	.Y(n_16567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354677 (
-	.A(n_8782),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_8809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354678 (
-	.A(n_8768),
-	.B(n_6920),
-	.Y(n_8808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354679 (
-	.A(n_8770),
-	.B(n_8595),
-	.Y(n_8807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354680 (
-	.A(n_8769),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_8806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354681 (
-	.A(n_8767),
-	.B(n_8518),
-	.Y(n_8805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354682 (
-	.A(n_8767),
-	.B(n_8658),
-	.Y(n_8804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354683 (
-	.A(n_8767),
-	.B(n_8590),
-	.Y(n_8803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354684 (
-	.A(n_18373),
-	.B(n_8658),
-	.Y(n_8802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354685 (
-	.A(n_6885),
-	.B(n_6921),
-	.Y(n_8801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354686 (
-	.A(n_6885),
-	.B(n_8528),
-	.Y(n_8800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354687 (
-	.A(n_18373),
-	.B(n_8518),
-	.Y(n_8799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354688 (
-	.A(n_8770),
-	.B(n_8519),
-	.Y(n_8798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354689 (
-	.A(n_8770),
-	.B(n_8662),
-	.Y(n_8797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354690 (
-	.A(n_8768),
-	.B(n_6879),
-	.Y(n_8823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354691 (
-	.A(n_8781),
-	.B(n_6879),
-	.Y(n_8822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g354692 (
-	.A1(n_17441),
-	.A2(n_8501),
-	.B1(n_18386),
-	.X(n_8821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354698 (
-	.A(n_8792),
-	.Y(n_8791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354699 (
-	.A(n_8787),
-	.Y(n_8786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354702 (
-	.A(n_8782),
-	.Y(n_8781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354704 (
-	.A(n_8733),
-	.B(n_8609),
-	.Y(n_8779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354706 (
-	.A(n_8733),
-	.B(n_8612),
-	.Y(n_8795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354707 (
-	.A(n_8737),
-	.B(n_8738),
-	.Y(n_8794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354708 (
-	.A(n_8743),
-	.B(n_8742),
-	.Y(n_8792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354710 (
-	.A(n_8732),
-	.B(n_18374),
-	.Y(n_8789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354711 (
-	.A(n_18376),
-	.B(n_18375),
-	.Y(n_8788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354712 (
-	.A(n_18378),
-	.B(n_18377),
-	.Y(n_8787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354713 (
-	.A(n_8739),
-	.B(n_8740),
-	.Y(n_8785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354714 (
-	.A(n_8724),
-	.B(n_8725),
-	.Y(n_8783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354715 (
-	.A(n_8760),
-	.B(n_6880),
-	.Y(n_8782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354721 (
-	.A(n_8773),
-	.Y(n_8772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g354723 (
-	.A(n_8769),
-	.Y(n_8768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354724 (
-	.A(n_8767),
-	.Y(n_8766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g354726 (
-	.A1(n_8663),
-	.A2(n_8659),
-	.B1(n_8755),
-	.Y(n_8763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354728 (
-	.A(n_18529),
-	.B(n_18384),
-	.Y(n_8778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354729 (
-	.A(n_18527),
-	.B(n_18380),
-	.Y(n_8777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354731 (
-	.A(n_8719),
-	.B(n_8718),
-	.Y(n_8776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354732 (
-	.A(n_18528),
-	.B(n_18382),
-	.Y(n_6925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354733 (
-	.A(n_8722),
-	.B(n_8723),
-	.Y(n_8774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354734 (
-	.A(n_6882),
-	.B(n_8711),
-	.Y(n_8773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354735 (
-	.A(n_8715),
-	.B(n_18383),
-	.Y(n_8771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g354736 (
-	.A1(n_8610),
-	.A2(n_8522),
-	.B1(n_8620),
-	.C1(n_8619),
-	.Y(n_8770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354737 (
-	.A(n_8734),
-	.B(n_6880),
-	.Y(n_8769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g354738 (
-	.A(n_8672),
-	.B(n_8708),
-	.C(n_8588),
-	.Y(n_8767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g354744 (
-	.A(n_8760),
-	.Y(n_8759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354745 (
-	.A(n_6924),
-	.B(n_6921),
-	.Y(n_8756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354746 (
-	.A(n_6924),
-	.B(n_6922),
-	.Y(n_8755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354747 (
-	.A(n_6924),
-	.B(n_8528),
-	.Y(n_8754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354748 (
-	.A(n_8652),
-	.B(n_6881),
-	.Y(n_8753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354750 (
-	.A(n_6881),
-	.B(n_8527),
-	.Y(n_8751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354751 (
-	.A(n_6881),
-	.B(n_6920),
-	.Y(n_8750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354752 (
-	.A(n_8658),
-	.B(n_8655),
-	.Y(n_8749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354754 (
-	.A(n_8701),
-	.B(n_8646),
-	.Y(n_8747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354757 (
-	.A(n_8655),
-	.B(n_8590),
-	.Y(n_8745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354759 (
-	.A(n_8624),
-	.B(n_8688),
-	.Y(n_8743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354760 (
-	.A(n_8702),
-	.B(n_8664),
-	.Y(n_8742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354763 (
-	.A(n_8704),
-	.B(n_8690),
-	.Y(n_8740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354764 (
-	.A(n_8668),
-	.B(n_8667),
-	.Y(n_8739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354765 (
-	.A(n_8703),
-	.B(n_8666),
-	.Y(n_8738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354766 (
-	.A(n_8665),
-	.B(n_8689),
-	.Y(n_8737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354768 (
-	.A(n_6881),
-	.B(n_6879),
-	.Y(n_8761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g354769 (
-	.A1(n_8500),
-	.A2(n_8613),
-	.B1(n_8673),
-	.Y(n_8760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g354770 (
-	.A1(n_8597),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.B1(n_8650),
-	.Y(n_8758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354771 (
-	.A(n_8649),
-	.B(n_8598),
-	.Y(n_8757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354772 (
-	.A(n_8643),
-	.B(n_8686),
-	.Y(n_8732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354779 (
-	.A(n_8696),
-	.B(n_8636),
-	.Y(n_8725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354780 (
-	.A(n_8635),
-	.B(n_8682),
-	.Y(n_8724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354781 (
-	.A(n_8695),
-	.B(n_8634),
-	.Y(n_8723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354782 (
-	.A(n_8633),
-	.B(n_8681),
-	.Y(n_8722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354785 (
-	.A(n_8629),
-	.B(n_8679),
-	.Y(n_8719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354786 (
-	.A(n_8693),
-	.B(n_8630),
-	.Y(n_8718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g354789 (
-	.A1(n_8610),
-	.A2(n_8524),
-	.B1(n_8677),
-	.Y(n_8715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g354793 (
-	.A1(n_8502),
-	.A2(n_8536),
-	.B1(n_8522),
-	.B2(n_8585),
-	.Y(n_8711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g354797 (
-	.A1(n_8615),
-	.A2(n_8500),
-	.B1(n_8506),
-	.X(n_8734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g354798 (
-	.A1(n_8500),
-	.A2(n_8610),
-	.B1(n_8616),
-	.Y(n_8733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354799 (
-	.A(n_18403),
-	.B(n_8525),
-	.Y(n_8708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354803 (
-	.A(n_18388),
-	.B(n_8525),
-	.Y(n_8704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354804 (
-	.A(n_18389),
-	.B(n_8525),
-	.Y(n_8703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354805 (
-	.A(n_18390),
-	.B(n_8525),
-	.Y(n_8702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354806 (
-	.A(n_18391),
-	.B(n_8525),
-	.Y(n_8701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354811 (
-	.A(n_18396),
-	.B(n_8525),
-	.Y(n_8696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354812 (
-	.A(n_18397),
-	.B(n_8525),
-	.Y(n_8695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354814 (
-	.A(n_18399),
-	.B(n_8525),
-	.Y(n_8693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354817 (
-	.A(n_18403),
-	.B(n_8521),
-	.Y(n_8690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354818 (
-	.A(n_18402),
-	.B(n_8521),
-	.Y(n_8689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354819 (
-	.A(n_18401),
-	.B(n_8521),
-	.Y(n_8688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354821 (
-	.A(n_18388),
-	.B(n_8521),
-	.Y(n_8686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354825 (
-	.A(n_18392),
-	.B(n_8521),
-	.Y(n_8682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354826 (
-	.A(n_18393),
-	.B(n_8521),
-	.Y(n_8681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354828 (
-	.A(n_18395),
-	.B(n_8521),
-	.Y(n_8679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354830 (
-	.A(n_18397),
-	.B(n_8521),
-	.Y(n_8677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354834 (
-	.A(n_8587),
-	.B(n_8500),
-	.Y(n_8673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354835 (
-	.A(n_8615),
-	.B(n_8520),
-	.Y(n_8672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354839 (
-	.A(n_18401),
-	.B(n_8520),
-	.Y(n_8668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354840 (
-	.A(n_8615),
-	.B(n_8523),
-	.Y(n_8667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354841 (
-	.A(n_8587),
-	.B(n_8523),
-	.Y(n_8666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354842 (
-	.A(n_18387),
-	.B(n_8520),
-	.Y(n_8665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354843 (
-	.A(n_18403),
-	.B(n_8523),
-	.Y(n_8664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g354846 (
-	.A(n_8661),
-	.Y(n_8662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g354848 (
-	.A(n_6854),
-	.Y(n_8659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g354849 (
-	.A(n_8657),
-	.Y(n_8658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g354851 (
-	.A(n_8656),
-	.Y(n_8655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354852 (
-	.A(n_8653),
-	.Y(n_8652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g354853 (
-	.A(n_8651),
-	.Y(n_8650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g354854 (
-	.A(n_8649),
-	.Y(n_8648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354856 (
-	.A(n_18402),
-	.B(n_8523),
-	.Y(n_8646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354859 (
-	.A(n_18390),
-	.B(n_8520),
-	.Y(n_8643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354866 (
-	.A(n_18390),
-	.B(n_8523),
-	.Y(n_8636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354867 (
-	.A(n_18394),
-	.B(n_8520),
-	.Y(n_8635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354868 (
-	.A(n_18391),
-	.B(n_8523),
-	.Y(n_8634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354869 (
-	.A(n_18395),
-	.B(n_8520),
-	.Y(n_8633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354872 (
-	.A(n_18393),
-	.B(n_8523),
-	.Y(n_8630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354873 (
-	.A(n_18397),
-	.B(n_8520),
-	.Y(n_8629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354878 (
-	.A(n_18388),
-	.B(n_8520),
-	.Y(n_8624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354882 (
-	.A(n_18399),
-	.B(n_8523),
-	.Y(n_8620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354885 (
-	.A(n_8586),
-	.B(n_8520),
-	.Y(n_8619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354888 (
-	.A(n_8586),
-	.B(n_8500),
-	.Y(n_8616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354889 (
-	.A(n_8611),
-	.B(n_8536),
-	.Y(n_6924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354890 (
-	.A(n_8600),
-	.B(n_6918),
-	.Y(n_8663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354891 (
-	.A(n_8600),
-	.B(n_6917),
-	.Y(n_8661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354892 (
-	.A(n_8598),
-	.B(n_6918),
-	.Y(n_8660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354894 (
-	.A(n_8598),
-	.B(n_8527),
-	.Y(n_8657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354896 (
-	.A(n_8614),
-	.B(n_8525),
-	.Y(n_8656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g354897 (
-	.A1(n_8532),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.B1(n_8592),
-	.Y(n_8654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354898 (
-	.A(n_8593),
-	.B(n_8533),
-	.Y(n_8653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354899 (
-	.A(n_6923),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_8651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354900 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.B(n_6923),
-	.Y(n_8649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g354901 (
-	.A(n_8613),
-	.Y(n_8614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354902 (
-	.A(n_8611),
-	.Y(n_8612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354904 (
-	.A(n_8608),
-	.Y(n_8607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g354908 (
-	.A(n_8605),
-	.Y(n_8606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g354909 (
-	.A(n_8604),
-	.Y(n_8603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g354910 (
-	.A(n_8601),
-	.Y(n_8602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g354911 (
-	.A(n_8600),
-	.Y(n_8599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g354912 (
-	.A(n_8598),
-	.Y(n_8597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g354913 (
-	.A(n_8596),
-	.Y(n_8595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g354914 (
-	.A(n_8594),
-	.Y(n_8593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g354915 (
-	.A(n_8592),
-	.Y(n_8591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g354916 (
-	.A(n_8590),
-	.Y(n_8589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354917 (
-	.A(n_16315),
-	.B(n_8519),
-	.Y(n_267275_BAR), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354918 (
-	.A(n_8535),
-	.B(n_8502),
-	.Y(n_8588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354919 (
-	.A(n_8565),
-	.B(n_8537),
-	.Y(n_8615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g354920 (
-	.A1(n_6915),
-	.A2(n_8022),
-	.B1(n_8498),
-	.B2(\soc_top_u_top_u_core_fp_operands[2] [22]),
-	.Y(n_8613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354921 (
-	.A(n_8518),
-	.B(n_8502),
-	.Y(n_8611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g354922 (
-	.A(n_6812),
-	.B(n_6810),
-	.C(n_7887),
-	.Y(n_8610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354923 (
-	.A(n_8501),
-	.B(n_8518),
-	.Y(n_8609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g354924 (
-	.A_N(n_8502),
-	.B(n_8518),
-	.Y(n_8608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354926 (
-	.A(n_6917),
-	.B(n_8526),
-	.Y(n_6923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354927 (
-	.A(n_8528),
-	.B(n_8120),
-	.Y(n_8605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g354928 (
-	.A(n_6879),
-	.B(n_8120),
-	.X(n_8604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354929 (
-	.A(n_8120),
-	.B(n_6879),
-	.Y(n_8601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354930 (
-	.A(n_6921),
-	.B(n_8519),
-	.Y(n_8600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g354931 (
-	.A(n_8519),
-	.B(n_6921),
-	.X(n_8598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354932 (
-	.A(n_8518),
-	.B(n_6921),
-	.Y(n_8596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354933 (
-	.A(n_8526),
-	.B(n_8120),
-	.Y(n_8594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g354934 (
-	.A(n_8120),
-	.B(n_8526),
-	.Y(n_8592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g354935 (
-	.A(n_6920),
-	.B(n_8519),
-	.X(n_8590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g354937 (
-	.A(n_8584),
-	.Y(n_8585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354938 (
-	.A(n_8563),
-	.B(n_8564),
-	.Y(n_8587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354939 (
-	.A(n_8507),
-	.B(n_6838),
-	.Y(n_8586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354940 (
-	.A(n_8508),
-	.B(n_8509),
-	.Y(n_8584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354959 (
-	.A(n_6878),
-	.B(\soc_top_u_top_u_core_fp_operands[2] [22]),
-	.Y(n_8565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354960 (
-	.A(n_8498),
-	.B(\soc_top_u_top_u_core_fp_operands[2] [20]),
-	.Y(n_8564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354961 (
-	.A(n_6878),
-	.B(\soc_top_u_top_u_core_fp_operands[2] [21]),
-	.Y(n_8563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g354987 (
-	.A(n_8498),
-	.B(\soc_top_u_top_u_core_fp_operands[2] [21]),
-	.Y(n_8537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354988 (
-	.A(n_6853),
-	.Y(n_8535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354989 (
-	.A(n_6922),
-	.Y(n_8534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g354992 (
-	.A(n_8532),
-	.Y(n_8533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g354994 (
-	.A(n_8531),
-	.Y(n_8530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g354995 (
-	.A(n_8527),
-	.Y(n_8526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g354996 (
-	.A(n_8524),
-	.Y(n_8525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g354998 (
-	.A(n_8522),
-	.Y(n_8521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 g354999 (
-	.A(n_8519),
-	.Y(n_8518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355008 (
-	.A(n_8498),
-	.B(\soc_top_u_top_u_core_fp_operands[2] [1]),
-	.Y(n_8509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355009 (
-	.A(n_6878),
-	.B(\soc_top_u_top_u_core_fp_operands[2] [2]),
-	.Y(n_8508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355010 (
-	.A(n_6878),
-	.B(\soc_top_u_top_u_core_fp_operands[2] [1]),
-	.Y(n_8507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355011 (
-	.A(n_8178),
-	.B(n_8503),
-	.Y(n_319568_BAR), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355014 (
-	.A(n_16315),
-	.B(n_6921),
-	.Y(n_267279_BAR), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355015 (
-	.A(n_8505),
-	.B(n_8500),
-	.Y(n_8506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355016 (
-	.A(n_8499),
-	.B(n_8489),
-	.Y(n_15164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355017 (
-	.A(n_8499),
-	.B(n_8504),
-	.Y(n_8536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355019 (
-	.A(n_6917),
-	.B(n_6921),
-	.Y(n_6922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355020 (
-	.A(n_6921),
-	.B(n_6917),
-	.Y(n_8532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355022 (
-	.A(n_6917),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_8531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g355023 (
-	.A(n_6918),
-	.B(n_8120),
-	.X(n_8529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g355024 (
-	.A(n_6920),
-	.B(n_6917),
-	.X(n_8528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355025 (
-	.A(n_8496),
-	.B(n_6914),
-	.Y(n_8527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355026 (
-	.A(n_8500),
-	.B(n_8501),
-	.Y(n_8524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g355027 (
-	.A(n_8499),
-	.B(n_8502),
-	.X(n_8523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355028 (
-	.A(n_8500),
-	.B(n_8502),
-	.Y(n_8522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g355029 (
-	.A(n_8499),
-	.B(n_8501),
-	.X(n_8520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g355030 (
-	.A(n_8497),
-	.B(n_6914),
-	.X(n_8519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g355033 (
-	.A(n_8503),
-	.Y(n_15042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355062 (
-	.A(n_6921),
-	.Y(n_6920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355066 (
-	.A(n_8492),
-	.B(n_8022),
-	.Y(n_8505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355067 (
-	.A(n_8025),
-	.B(n_8492),
-	.Y(n_8504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355068 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_fpu_norm_U0_Mant_lower_D [1]),
-	.B(n_15051),
-	.Y(n_8503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355069 (
-	.A(n_8495),
-	.B(n_8400),
-	.Y(n_6921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355070 (
-	.A(n_8502),
-	.Y(n_8501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355071 (
-	.A(n_8500),
-	.Y(n_8499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g355113 (
-	.A(n_6917),
-	.Y(n_6918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355115 (
-	.A(n_8495),
-	.B(n_8389),
-	.Y(n_8497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355116 (
-	.A(n_16315),
-	.B(n_8492),
-	.Y(n_267261_BAR), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355117 (
-	.A(n_8495),
-	.B(n_6877),
-	.Y(n_8496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g355118 (
-	.A(n_8494),
-	.B(n_6914),
-	.Y(n_8502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g355119 (
-	.A(n_8495),
-	.B(n_8297),
-	.Y(n_8500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g355120 (
-	.A(n_8492),
-	.B(n_7887),
-	.X(n_8498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355122 (
-	.A(n_8495),
-	.B(n_8422),
-	.Y(n_6917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355123 (
-	.A(n_8493),
-	.Y(n_8495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355124 (
-	.A(n_6851),
-	.B(n_8330),
-	.Y(n_8494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g355125 (
-	.A1(n_8470),
-	.A2(n_8490),
-	.B1(n_6914),
-	.Y(n_8493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g355131 (
-	.A(n_8492),
-	.Y(n_6915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g355132 (
-	.A1(n_7777),
-	.A2(n_15054),
-	.B1(n_7760),
-	.B2(n_15053),
-	.C1(n_8491),
-	.Y(n_15051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g355133 (
-	.A1(n_8470),
-	.A2(n_8490),
-	.B1(n_8487),
-	.Y(n_8492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g355134 (
-	.A_N(soc_top_u_top_u_core_csr_restore_mret_id),
-	.B(n_8488),
-	.Y(soc_top_u_top_u_core_cs_registers_i_n_5929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g355135 (
-	.A1(n_15034),
-	.A2(n_8178),
-	.B1(n_15049),
-	.C1(n_8466),
-	.D1(n_8482),
-	.Y(soc_top_u_top_u_core_cs_registers_i_fflag_wdata[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355136 (
-	.A(n_8489),
-	.B(n_8419),
-	.Y(n_15165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355137 (
-	.A(n_8489),
-	.B(n_17443),
-	.Y(n_15176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355138 (
-	.A(n_8489),
-	.B(n_17444),
-	.Y(n_15177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355140 (
-	.A1(n_16648),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
-	.B1(n_365),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
-	.C1(n_8485),
-	.Y(n_8491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355141 (
-	.A(n_8489),
-	.B(n_8316),
-	.Y(n_15174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355142 (
-	.A(n_8148),
-	.B(n_16315),
-	.Y(n_266796_BAR), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355143 (
-	.A(n_8489),
-	.B(n_8369),
-	.Y(n_15178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355144 (
-	.A(n_8489),
-	.B(n_8407),
-	.Y(n_15179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355145 (
-	.A(n_6907),
-	.B(n_16315),
-	.Y(n_267254_BAR), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355146 (
-	.A(n_8489),
-	.B(n_17445),
-	.Y(n_15175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355150 (
-	.A(n_8489),
-	.Y(n_16315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211a_1 g355151 (
-	.A1(n_8427),
-	.A2(n_8438),
-	.B1(n_8445),
-	.C1(n_8446),
-	.X(n_8490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355152 (
-	.A1(n_8468),
-	.A2(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[11]),
-	.B1(n_7255),
-	.B2(soc_top_u_top_u_core_priv_mode_id[0]),
-	.C1(n_8479),
-	.X(soc_top_u_top_u_core_cs_registers_i_n_5927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355153 (
-	.A1(n_8468),
-	.A2(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[12]),
-	.B1(n_7255),
-	.B2(soc_top_u_top_u_core_priv_mode_id[1]),
-	.C1(n_8479),
-	.X(soc_top_u_top_u_core_cs_registers_i_n_5928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g355154 (
-	.A(n_8486),
-	.B(n_8470),
-	.Y(n_6914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g355155 (
-	.A1(n_8480),
-	.A2(n_8442),
-	.A3(n_8435),
-	.A4(n_8388),
-	.B1(n_8470),
-	.Y(n_8489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355156 (
-	.A1(n_8467),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_fflags_q[UF] ),
-	.B1(n_6817),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[UF] ),
-	.C1(n_8474),
-	.Y(n_311402_BAR), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355157 (
-	.A(n_8484),
-	.B(n_8464),
-	.Y(soc_top_u_top_u_core_cs_registers_i_n_5936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355158 (
-	.A(n_8483),
-	.B(n_8464),
-	.Y(soc_top_u_top_u_core_cs_registers_i_n_5937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g355159 (
-	.A1(n_8213),
-	.A2(n_8472),
-	.B1(n_8481),
-	.Y(soc_top_u_top_u_core_cs_registers_i_n_5930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g355160 (
-	.A1(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[7]),
-	.A2(n_8468),
-	.B1(n_7255),
-	.B2(soc_top_u_top_u_core_csr_mstatus_mie),
-	.C1(n_6821),
-	.C2(n_15360),
-	.Y(n_8488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355161 (
-	.A(n_8478),
-	.B(n_8475),
-	.Y(n_8487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355162 (
-	.A(n_8463),
-	.B(n_8450),
-	.Y(n_8486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g355163 (
-	.A1(n_8308),
-	.A2(n_8457),
-	.B1(n_8267),
-	.B2(n_8458),
-	.C1(n_8465),
-	.Y(soc_top_u_top_u_core_cs_registers_i_frmd[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g355164 (
-	.A1(n_6951),
-	.A2(soc_top_u_top_u_core_cs_registers_i_n_6477),
-	.B1(n_8473),
-	.Y(soc_top_u_top_u_core_cs_registers_i_frmd[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g355165 (
-	.A1(n_7914),
-	.A2(n_15053),
-	.B1(n_6996),
-	.B2(n_16649),
-	.C1(n_8477),
-	.Y(n_8485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g355166 (
-	.A1(n_8251),
-	.A2(n_8458),
-	.B1(n_8476),
-	.Y(soc_top_u_top_u_core_cs_registers_i_frmd[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g355167 (
-	.A1(n_8467),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_fflags_q[NV] ),
-	.B1(n_6817),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[NV] ),
-	.Y(n_311448_BAR), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g355168 (
-	.A1(n_8471),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]),
-	.B1(n_7136),
-	.B2(soc_top_u_top_u_core_priv_mode_id[0]),
-	.Y(n_8484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g355169 (
-	.A1(n_8471),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]),
-	.B1(n_7136),
-	.B2(soc_top_u_top_u_core_priv_mode_id[1]),
-	.Y(n_8483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g355170 (
-	.A1(n_8467),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_fflags_q[DZ] ),
-	.B1(n_6817),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[DZ] ),
-	.Y(n_8482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g355171 (
-	.A1(n_8467),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_fflags_q[OF] ),
-	.B1(n_6817),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[OF] ),
-	.Y(n_314059_BAR), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355172 (
-	.A1(n_8467),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_fflags_q[NX] ),
-	.B1(n_6817),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[NX] ),
-	.X(n_15011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g355173 (
-	.A1(n_8468),
-	.A2(soc_top_u_top_u_core_csr_mstatus_mie),
-	.B1(soc_top_u_top_u_core_csr_restore_mret_id),
-	.B2(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[7]),
-	.Y(n_8481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g355174 (
-	.A(n_8463),
-	.Y(n_8480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355175 (
-	.A1(n_8454),
-	.A2(n_15337),
-	.B1(n_8453),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ),
-	.X(\soc_top_u_top_u_core_cs_registers_i_dcsr_d[ebreaks] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355176 (
-	.A(n_8461),
-	.B(n_8460),
-	.Y(n_8478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355177 (
-	.A1(n_8349),
-	.A2(n_7889),
-	.B1(n_8462),
-	.Y(n_8477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g355178 (
-	.A1(n_8247),
-	.A2(n_8457),
-	.B1(n_7016),
-	.B2(soc_top_u_top_u_core_cs_registers_i_n_6477),
-	.X(n_8476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355179 (
-	.A1(n_8456),
-	.A2(n_15359),
-	.B1(n_8193),
-	.Y(n_311447_BAR), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355180 (
-	.A1(n_8454),
-	.A2(n_15339),
-	.B1(n_8453),
-	.B2(soc_top_u_top_u_core_debug_ebreakm),
-	.X(\soc_top_u_top_u_core_cs_registers_i_dcsr_d[ebreakm] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355181 (
-	.A1(n_8301),
-	.A2(n_8248),
-	.B1(n_8472),
-	.Y(n_8479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355182 (
-	.A1_N(soc_top_u_top_u_core_csr_mstatus_tw),
-	.A2_N(n_8455),
-	.B1(n_8215),
-	.B2(n_8455),
-	.Y(\soc_top_u_top_u_core_cs_registers_i_mstatus_d[tw] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355183 (
-	.A1_N(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[stepie] ),
-	.A2_N(n_8453),
-	.B1(n_8248),
-	.B2(n_8453),
-	.Y(\soc_top_u_top_u_core_cs_registers_i_dcsr_d[stepie] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355184 (
-	.A1_N(soc_top_u_top_u_core_debug_single_step),
-	.A2_N(n_8453),
-	.B1(n_8308),
-	.B2(n_8453),
-	.Y(\soc_top_u_top_u_core_cs_registers_i_dcsr_d[step] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355185 (
-	.A1_N(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[17]),
-	.A2_N(n_8455),
-	.B1(n_8216),
-	.B2(n_8455),
-	.Y(\soc_top_u_top_u_core_cs_registers_i_mstatus_d[mprv] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355186 (
-	.A1_N(soc_top_u_top_u_core_debug_ebreaku),
-	.A2_N(n_8453),
-	.B1(n_8301),
-	.B2(n_8453),
-	.Y(\soc_top_u_top_u_core_cs_registers_i_dcsr_d[ebreaku] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g355187 (
-	.A1(n_8181),
-	.A2(n_8459),
-	.B1(n_6849),
-	.X(n_8475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355188 (
-	.A1(n_8456),
-	.A2(n_15363),
-	.B1(n_8177),
-	.B2(n_15137),
-	.X(n_8474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g355189 (
-	.A1(n_8250),
-	.A2(n_8458),
-	.B1(n_8170),
-	.B2(n_8457),
-	.X(n_8473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g355190 (
-	.A(n_6821),
-	.Y(n_8472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355191 (
-	.A(soc_top_u_top_u_core_cs_registers_i_n_5941),
-	.Y(n_8471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355192 (
-	.A(n_8469),
-	.Y(n_8470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355193 (
-	.A(soc_top_u_top_u_core_cs_registers_i_n_5931),
-	.Y(n_8468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355194 (
-	.A(n_8467),
-	.Y(soc_top_u_top_u_core_cs_registers_i_n_5932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355195 (
-	.A(n_8456),
-	.B(n_15364),
-	.Y(n_15016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355196 (
-	.A(n_8456),
-	.B(n_15365),
-	.Y(n_8466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355197 (
-	.A(n_8456),
-	.B(n_15362),
-	.Y(n_15012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g355198 (
-	.A_N(soc_top_u_top_u_core_cs_registers_i_n_6477),
-	.B(soc_top_u_top_u_core_fp_frm_csr[2]),
-	.Y(n_8465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355200 (
-	.A(n_8453),
-	.B(n_7137),
-	.Y(soc_top_u_top_u_core_cs_registers_i_n_5941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355201 (
-	.A(n_8459),
-	.B(n_6848),
-	.Y(n_8469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355202 (
-	.A(n_8455),
-	.B(n_7353),
-	.Y(soc_top_u_top_u_core_cs_registers_i_n_5931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355203 (
-	.A(soc_top_u_top_u_core_is_fp_instr),
-	.B(n_8456),
-	.Y(n_8467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355204 (
-	.A(n_8448),
-	.B(n_7137),
-	.Y(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g355205 (
-	.A1(n_7883),
-	.A2(n_15054),
-	.B1(n_8372),
-	.C1(n_8406),
-	.D1(n_8440),
-	.Y(n_8462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355206 (
-	.A(n_8452),
-	.B(n_7367),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355207 (
-	.A(n_8451),
-	.B(n_8444),
-	.Y(n_8461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g355208 (
-	.A1(n_7569),
-	.A2(soc_top_u_top_u_core_cs_registers_i_csr_we_int),
-	.B1(n_7254),
-	.Y(soc_top_u_top_u_core_cs_registers_i_n_5934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355209 (
-	.A(n_8449),
-	.B(n_7254),
-	.Y(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355210 (
-	.A(n_8447),
-	.B(n_7254),
-	.Y(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355211 (
-	.A(n_8443),
-	.B(n_6850),
-	.Y(n_8460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g355212 (
-	.A1(n_15362),
-	.A2(n_15363),
-	.B1(n_8454),
-	.Y(n_8464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355213 (
-	.A1_N(n_8427),
-	.A2_N(n_8438),
-	.B1(n_8427),
-	.B2(n_8438),
-	.Y(n_8463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355214 (
-	.A(n_8454),
-	.Y(n_8453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355215 (
-	.A(n_528),
-	.B(n_7759),
-	.Y(n_8452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355216 (
-	.A(n_8434),
-	.B(n_8438),
-	.Y(n_8451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355217 (
-	.A(n_8433),
-	.B(n_8442),
-	.Y(n_8450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355218 (
-	.A(n_7639),
-	.B(soc_top_u_top_u_core_cs_registers_i_csr_we_int),
-	.Y(soc_top_u_top_u_core_cs_registers_i_n_6485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355220 (
-	.A(n_8438),
-	.B(n_8426),
-	.Y(n_8459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355221 (
-	.A(n_528),
-	.B(n_7745),
-	.Y(n_8458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355222 (
-	.A(n_528),
-	.B(n_7744),
-	.Y(n_8457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355223 (
-	.A(n_7669),
-	.B(soc_top_u_top_u_core_cs_registers_i_csr_we_int),
-	.Y(soc_top_u_top_u_core_cs_registers_i_n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355224 (
-	.A(n_7663),
-	.B(soc_top_u_top_u_core_cs_registers_i_csr_we_int),
-	.Y(n_8456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355225 (
-	.A(n_528),
-	.B(n_6826),
-	.Y(n_8455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355226 (
-	.A(n_7768),
-	.B(soc_top_u_top_u_core_cs_registers_i_csr_we_int),
-	.Y(n_8454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355227 (
-	.A(n_528),
-	.B(n_7567),
-	.Y(n_8449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g355228 (
-	.A(n_528),
-	.B(n_7758),
-	.X(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355229 (
-	.A(n_528),
-	.B(n_7740),
-	.Y(n_8448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g355230 (
-	.A(n_528),
-	.B(n_7738),
-	.X(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355231 (
-	.A(n_528),
-	.B(n_7607),
-	.Y(n_8447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355232 (
-	.A(n_17442),
-	.B(n_8437),
-	.Y(n_8446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355233 (
-	.A(n_8438),
-	.B(n_8427),
-	.Y(n_8445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g355234 (
-	.A(n_528),
-	.B(n_7566),
-	.X(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g355235 (
-	.A1(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec),
-	.A2(soc_top_u_top_u_core_illegal_csr_insn_id),
-	.B1(soc_top_u_top_u_core_instr_valid_id),
-	.X(soc_top_u_top_u_core_id_stage_i_n_993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g355236 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[4]),
-	.B(n_7334),
-	.C(n_7355),
-	.D(soc_top_u_top_u_core_cs_registers_i_csr_we_int),
-	.Y(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_control_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g355237 (
-	.A(n_17442),
-	.B(n_8427),
-	.C(n_17446),
-	.Y(n_8444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355238 (
-	.A(n_17442),
-	.B(n_8430),
-	.Y(n_8443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4b_1 g355239 (
-	.A_N(n_7355),
-	.B(n_528),
-	.C(n_7331),
-	.D(n_6934),
-	.X(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g355240 (
-	.A(n_17442),
-	.Y(n_8442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355242 (
-	.A(n_528),
-	.Y(soc_top_u_top_u_core_cs_registers_i_csr_we_int), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355243 (
-	.A(n_8425),
-	.B(soc_top_u_top_u_core_illegal_csr_insn_id),
-	.Y(n_528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355244 (
-	.A1(n_8324),
-	.A2(n_8027),
-	.B1(n_8331),
-	.B2(n_8029),
-	.C1(n_8429),
-	.Y(n_8440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g355245 (
-	.A1(n_7076),
-	.A2(n_6815),
-	.B1(n_8335),
-	.C1(n_8432),
-	.Y(soc_top_u_top_u_core_illegal_csr_insn_id), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355247 (
-	.A(n_8436),
-	.B(n_6843),
-	.Y(n_8438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355248 (
-	.A(n_8431),
-	.B(n_8435),
-	.Y(n_8437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355249 (
-	.A(n_8424),
-	.B(n_6898),
-	.Y(n_8436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g355251 (
-	.A(n_8433),
-	.Y(n_8434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355254 (
-	.A(n_8414),
-	.B(n_8423),
-	.Y(n_8435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355255 (
-	.A(n_8423),
-	.B(n_8414),
-	.Y(n_8433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g355256 (
-	.A_N(n_8425),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[10]),
-	.C(soc_top_u_top_u_core_alu_operand_b_ex[11]),
-	.Y(n_8432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355257 (
-	.A(n_8416),
-	.B(n_8423),
-	.Y(n_8431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355258 (
-	.A(n_8181),
-	.B(n_8427),
-	.Y(n_8430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355259 (
-	.A(n_8415),
-	.B(n_8385),
-	.C(n_8365),
-	.D(n_8355),
-	.Y(n_8429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 g355260 (
-	.A1(n_6992),
-	.A2(n_18423),
-	.A3(n_8413),
-	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
-	.B2(n_8421),
-	.Y(soc_top_u_top_u_core_id_stage_i_csr_pipe_flush), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g355263 (
-	.A1(n_8420),
-	.A2(n_16570),
-	.B1(n_6898),
-	.Y(n_8428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355264 (
-	.A(n_6845),
-	.B(n_8426),
-	.Y(n_8427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355269 (
-	.A(n_16571),
-	.B(n_6837),
-	.Y(n_8426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355271 (
-	.A(n_8417),
-	.B(n_6847),
-	.Y(n_8422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g355272 (
-	.A_N(soc_top_u_top_u_core_id_stage_i_decoder_i_n_44),
-	.B(n_8413),
-	.C(n_7351),
-	.D(n_7228),
-	.Y(n_8421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355273 (
-	.A1(n_8411),
-	.A2(n_7256),
-	.B1(n_8413),
-	.Y(n_8425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g355274 (
-	.A(n_8418),
-	.B(n_8405),
-	.Y(n_8424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355275 (
-	.A1_N(n_8412),
-	.A2_N(n_8410),
-	.B1(n_8412),
-	.B2(n_8410),
-	.Y(n_8423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355276 (
-	.A(n_8407),
-	.Y(n_8420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g355277 (
-	.A(n_6837),
-	.Y(n_8419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355278 (
-	.A(n_8410),
-	.B(n_8404),
-	.Y(n_8418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355279 (
-	.A(n_8409),
-	.B(n_6846),
-	.Y(n_8417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355280 (
-	.A(n_8409),
-	.B(n_8381),
-	.Y(n_8416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g355281 (
-	.A1(n_375),
-	.A2(n_7559),
-	.B1(n_8332),
-	.C1(n_8356),
-	.D1(n_8403),
-	.Y(n_8415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355283 (
-	.A(n_8409),
-	.B(n_6913),
-	.Y(n_8414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g355285 (
-	.A1(n_7360),
-	.A2(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2788),
-	.B1_N(n_8411),
-	.Y(n_8413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355286 (
-	.A(n_8404),
-	.B(n_8405),
-	.Y(n_8412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355287 (
-	.A(n_15074),
-	.B(n_15187),
-	.C_N(soc_top_u_top_u_core_ready_wb),
-	.Y(n_8411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355288 (
-	.A(n_18433),
-	.B(n_8397),
-	.C(n_8387),
-	.D(n_6842),
-	.Y(n_8410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355289 (
-	.A(n_8408),
-	.Y(n_8409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355290 (
-	.A1(n_8336),
-	.A2(n_7881),
-	.B1(n_8402),
-	.Y(n_8406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355291 (
-	.A1_N(n_8395),
-	.A2_N(n_8386),
-	.B1(n_8395),
-	.B2(n_8386),
-	.Y(n_8408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355292 (
-	.A1_N(n_7985),
-	.A2_N(n_8390),
-	.B1(n_7985),
-	.B2(n_8390),
-	.Y(n_8407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355294 (
-	.A(soc_top_u_top_u_core_ready_wb),
-	.B(n_15187),
-	.Y(soc_top_u_top_u_core_id_stage_i_stall_wb), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355295 (
-	.A(n_6911),
-	.B(n_17443),
-	.Y(n_8405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g355297 (
-	.A1(n_7778),
-	.A2(n_15053),
-	.B1(n_8398),
-	.Y(n_8403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355298 (
-	.A1(n_8349),
-	.A2(n_16634),
-	.B1(n_8347),
-	.B2(n_7722),
-	.C1(n_8399),
-	.X(n_8402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355299 (
-	.A1_N(n_8379),
-	.A2_N(n_6911),
-	.B1(n_8379),
-	.B2(n_6911),
-	.Y(n_24420_BAR), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355300 (
-	.A(n_6910),
-	.B(n_8401),
-	.Y(n_8404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355301 (
-	.A(n_17443),
-	.Y(n_8401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355302 (
-	.A(n_6846),
-	.B(n_8396),
-	.Y(n_8400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g355303 (
-	.A(soc_top_u_top_u_core_fp_flush),
-	.B(n_14975),
-	.C(soc_top_u_top_u_core_id_stage_i_stall_id),
-	.X(n_15187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355304 (
-	.A(n_8377),
-	.B(n_8368),
-	.C(n_8345),
-	.D(n_8344),
-	.Y(n_8399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355305 (
-	.A1(n_8349),
-	.A2(n_7675),
-	.B1(n_8393),
-	.Y(n_8398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355307 (
-	.A(n_8391),
-	.B(n_8362),
-	.Y(n_8397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355309 (
-	.A(n_8381),
-	.B(n_6913),
-	.Y(n_8396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g355311 (
-	.A1(n_7914),
-	.A2(n_15054),
-	.B1(n_8384),
-	.Y(n_8393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g355313 (
-	.A1(n_8369),
-	.A2(n_15181),
-	.B1(n_8387),
-	.Y(n_8395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355315 (
-	.A(n_6844),
-	.B(n_8381),
-	.Y(n_8389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355316 (
-	.A1(n_8318),
-	.A2(n_8195),
-	.B1(n_6844),
-	.Y(n_8388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355317 (
-	.A(n_8360),
-	.B(n_8228),
-	.C(n_18408),
-	.D(n_15189),
-	.Y(soc_top_u_top_u_core_id_stage_i_stall_id), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g355318 (
-	.A1(n_7779),
-	.A2(n_15054),
-	.B1(n_8300),
-	.C1(n_8376),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_fpu_norm_U0_Mant_lower_D [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355319 (
-	.A(n_8309),
-	.B(n_8383),
-	.Y(n_8391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355320 (
-	.A(n_7980),
-	.B(n_8361),
-	.Y(n_8390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g355323 (
-	.A1(n_8349),
-	.A2(n_16639),
-	.B1(n_8340),
-	.C1(n_8354),
-	.D1(n_8366),
-	.Y(n_8385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g355324 (
-	.A1(n_8309),
-	.A2(n_8350),
-	.B1(n_8379),
-	.Y(n_24421_BAR), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g355325 (
-	.A1(n_8347),
-	.A2(n_7889),
-	.B1(n_8373),
-	.C1(n_8364),
-	.Y(n_8384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355326 (
-	.A(n_15181),
-	.B(n_8369),
-	.Y(n_8387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355327 (
-	.A(n_8380),
-	.B(n_8363),
-	.Y(n_8386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g355328 (
-	.A(n_8371),
-	.B(n_8375),
-	.X(n_6913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355329 (
-	.A(n_8369),
-	.Y(n_8383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355332 (
-	.A(n_8375),
-	.B(n_8357),
-	.Y(n_8380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355334 (
-	.A(n_8370),
-	.B(n_8330),
-	.Y(n_8381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355336 (
-	.A1(n_8349),
-	.A2(n_16631),
-	.B1(n_8347),
-	.B2(n_7761),
-	.C1(n_8353),
-	.Y(n_8377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355337 (
-	.A1(n_365),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
-	.B1(n_8367),
-	.Y(n_8376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355338 (
-	.A(n_8350),
-	.B(n_8309),
-	.Y(n_8379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g355341 (
-	.A1(n_7780),
-	.A2(n_15054),
-	.B1(n_6996),
-	.B2(n_8272),
-	.Y(n_8373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355342 (
-	.A1(n_8347),
-	.A2(n_7559),
-	.B1(n_8342),
-	.Y(n_8372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355343 (
-	.A(n_8357),
-	.B(n_8363),
-	.Y(n_8371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355344 (
-	.A(n_8343),
-	.B(n_8359),
-	.Y(n_16571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355345 (
-	.A(n_8358),
-	.B(n_6909),
-	.Y(n_8375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g355347 (
-	.A1(n_8349),
-	.A2(n_16632),
-	.B1(n_8347),
-	.B2(n_7723),
-	.Y(n_8368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355348 (
-	.A1_N(n_16640),
-	.A2_N(n_8347),
-	.B1(n_6946),
-	.B2(n_16649),
-	.Y(n_8367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g355349 (
-	.A1(n_7777),
-	.A2(n_15053),
-	.B1(n_6996),
-	.B2(n_15054),
-	.Y(n_8366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355350 (
-	.A1(n_8349),
-	.A2(n_7676),
-	.B1(n_8339),
-	.Y(n_8365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355351 (
-	.A1_N(n_16638),
-	.A2_N(n_8347),
-	.B1(n_7148),
-	.B2(n_16651),
-	.Y(n_8364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355352 (
-	.A1_N(n_6912),
-	.A2_N(n_8338),
-	.B1(n_6912),
-	.B2(n_8338),
-	.Y(n_8370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355353 (
-	.A1_N(n_7978),
-	.A2_N(n_8325),
-	.B1(n_7978),
-	.B2(n_8325),
-	.Y(n_8369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g355354 (
-	.A(n_8362),
-	.Y(n_8363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355355 (
-	.A(soc_top_u_top_u_core_id_stage_i_jump_set),
-	.B(n_8346),
-	.Y(n_8360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355356 (
-	.A(n_8341),
-	.B(n_6904),
-	.Y(n_8359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355357 (
-	.A(n_8326),
-	.B(n_6912),
-	.Y(n_8358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355358 (
-	.A(n_6910),
-	.B(n_16570),
-	.Y(n_15239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355359 (
-	.A(n_8351),
-	.B(n_8269),
-	.Y(n_8362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355360 (
-	.A(n_8325),
-	.B(n_7979),
-	.Y(n_8361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355361 (
-	.A1(n_7772),
-	.A2(n_7776),
-	.B1(n_15053),
-	.Y(n_8356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o41ai_1 g355362 (
-	.A1(n_16633),
-	.A2(n_16634),
-	.A3(n_16631),
-	.A4(n_16632),
-	.B1(n_8347),
-	.Y(n_8355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g355363 (
-	.A1(n_16625),
-	.A2(n_16626),
-	.B1(n_8347),
-	.X(n_8354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g355364 (
-	.A(n_16645),
-	.B(n_16647),
-	.C(n_8337),
-	.Y(n_8353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g355365 (
-	.A1(n_15117),
-	.A2(n_8314),
-	.B1(n_8350),
-	.Y(n_16305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355366 (
-	.A(n_8269),
-	.B(n_8351),
-	.Y(n_8357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355370 (
-	.A(n_17444),
-	.Y(n_8351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355371 (
-	.A(n_375),
-	.Y(n_15054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355372 (
-	.A(n_8347),
-	.Y(n_15053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g355373 (
-	.A1(n_14975),
-	.A2(n_8321),
-	.B1(n_14971),
-	.Y(n_8346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355374 (
-	.A(n_8331),
-	.B(n_7840),
-	.Y(n_8345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g355375 (
-	.A_N(n_16646),
-	.B(n_8336),
-	.Y(n_8344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355376 (
-	.A(n_8314),
-	.B(n_15117),
-	.Y(n_8350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355377 (
-	.A(n_7751),
-	.B(n_8337),
-	.Y(n_8349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355378 (
-	.A(n_7751),
-	.B(n_15055),
-	.Y(n_375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355379 (
-	.A(n_7661),
-	.B(n_15055),
-	.Y(n_8347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g355380 (
-	.A(n_8343),
-	.Y(n_16572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g355382 (
-	.A1(n_16629),
-	.A2(n_16630),
-	.B1(n_8336),
-	.X(n_8342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355383 (
-	.A1(n_15364),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[2]),
-	.C1(n_7465),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355384 (
-	.A(n_8334),
-	.B(n_18509),
-	.Y(n_8341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g355385 (
-	.A(n_15050),
-	.B(n_7632),
-	.C(n_8337),
-	.Y(n_8340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355386 (
-	.A(n_15050),
-	.B(n_8337),
-	.C_N(n_16644),
-	.Y(n_8339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355387 (
-	.A(n_8021),
-	.B(n_18406),
-	.Y(n_8343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355388 (
-	.A(n_8333),
-	.B(n_8298),
-	.Y(n_16570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g355389 (
-	.A(n_8337),
-	.Y(n_8336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355390 (
-	.A1(n_8305),
-	.A2(n_7140),
-	.B1(n_7310),
-	.Y(n_8335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355392 (
-	.A(n_8320),
-	.B(n_6840),
-	.Y(n_8334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g355393 (
-	.A1(n_7255),
-	.A2(soc_top_u_top_u_core_exc_cause[2]),
-	.B1(n_8327),
-	.X(soc_top_u_top_u_core_cs_registers_i_mcause_d[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355394 (
-	.A(n_8320),
-	.B(n_8111),
-	.Y(n_8333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g355395 (
-	.A(n_8324),
-	.B(n_8090),
-	.C(n_7935),
-	.X(n_8332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355396 (
-	.A(n_8326),
-	.B(n_6909),
-	.Y(n_8338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355397 (
-	.A(n_8324),
-	.B(n_7917),
-	.Y(n_15055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355398 (
-	.A(n_8324),
-	.B(n_7817),
-	.Y(n_8337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g355402 (
-	.A(n_6911),
-	.Y(n_6910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355404 (
-	.A1(n_7327),
-	.A2(soc_top_u_top_u_core_pc_id[12]),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[12]),
-	.C1(n_8319),
-	.X(soc_top_u_top_u_core_cs_registers_i_mepc_d[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355405 (
-	.A1(n_15356),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[12]),
-	.C1(n_7477),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355406 (
-	.A1_N(soc_top_u_top_u_core_csr_mtval[2]),
-	.A2_N(n_7255),
-	.B1(n_7255),
-	.B2(n_8308),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtval_d[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g355407 (
-	.A1(n_7817),
-	.A2(n_7751),
-	.B1(n_7991),
-	.C1(n_8323),
-	.Y(n_8331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355408 (
-	.A1_N(n_8296),
-	.A2_N(n_8303),
-	.B1(n_8296),
-	.B2(n_8303),
-	.Y(n_8330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355410 (
-	.A(n_8322),
-	.B(n_8276),
-	.Y(n_6912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355411 (
-	.A(n_8317),
-	.B(n_8312),
-	.Y(n_6911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g355415 (
-	.A(n_8323),
-	.Y(n_8324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355416 (
-	.A(n_8277),
-	.B(n_8296),
-	.Y(n_8322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355417 (
-	.A(n_8307),
-	.B(soc_top_u_top_u_core_id_stage_i_id_fsm_q),
-	.Y(n_8321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355418 (
-	.A(n_8177),
-	.B(n_16623),
-	.Y(n_15015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355419 (
-	.A(n_7368),
-	.B(n_8308),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355420 (
-	.A(n_7365),
-	.B(n_8308),
-	.Y(n_8327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355421 (
-	.A(n_8270),
-	.B(n_8295),
-	.Y(n_8326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355422 (
-	.A(n_7988),
-	.B(n_8273),
-	.Y(n_8325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355423 (
-	.A(n_15182),
-	.B(n_8316),
-	.Y(n_6909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355424 (
-	.A(n_8271),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [6]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [7]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [8]),
-	.Y(n_8323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355425 (
-	.A1_N(soc_top_u_top_u_core_pc_wb[12]),
-	.A2_N(n_7370),
-	.B1(n_7365),
-	.B2(n_8301),
-	.Y(n_8319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355426 (
-	.A1(n_15359),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[4]),
-	.C1(n_7467),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355427 (
-	.A1(n_7327),
-	.A2(soc_top_u_top_u_core_pc_id[7]),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[7]),
-	.C1(n_8306),
-	.X(soc_top_u_top_u_core_cs_registers_i_mepc_d[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g355428 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.A2(n_17446),
-	.B1(n_8297),
-	.Y(n_8318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355429 (
-	.A1(n_8270),
-	.A2(n_8304),
-	.B1(n_8314),
-	.Y(n_24423_BAR), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355430 (
-	.A1(n_15360),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[7]),
-	.C1(n_7470),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355431 (
-	.A(n_8021),
-	.B(n_8311),
-	.Y(n_8317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355432 (
-	.A1_N(soc_top_u_top_u_core_csr_mtval[12]),
-	.A2_N(n_7255),
-	.B1(n_7255),
-	.B2(n_8301),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtval_d[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355433 (
-	.A(n_8313),
-	.B(n_7527),
-	.Y(n_8320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g355434 (
-	.A(n_8295),
-	.Y(n_8316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355436 (
-	.A1(n_15339),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[15]),
-	.C1(n_7490),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355437 (
-	.A(n_8302),
-	.B(n_7525),
-	.Y(n_8313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355438 (
-	.A1(n_15340),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[16]),
-	.C1(n_7489),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355439 (
-	.A(n_7368),
-	.B(n_8301),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355440 (
-	.A(n_8302),
-	.B(n_7575),
-	.Y(n_8312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355441 (
-	.A(n_7575),
-	.B(n_8302),
-	.Y(n_8311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355442 (
-	.A1(n_15361),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[31]),
-	.C1(n_7452),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355443 (
-	.A1(n_15355),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[11]),
-	.C1(n_7476),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355444 (
-	.A1(n_15363),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[1]),
-	.C1(n_7474),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355445 (
-	.A1(n_15337),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[13]),
-	.C1(n_7478),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355446 (
-	.A1(n_15354),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[6]),
-	.C1(n_7469),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355447 (
-	.A1(n_15353),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[5]),
-	.C1(n_7468),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g355448 (
-	.A_N(soc_top_u_top_u_core_cs_registers_i_mcause_d[4]),
-	.B(n_7553),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mepc_d[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355449 (
-	.A1(n_15334),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[8]),
-	.C1(n_7471),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355450 (
-	.A1(n_15358),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[29]),
-	.C1(n_7450),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355451 (
-	.A1(n_15351),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[28]),
-	.C1(n_7449),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355452 (
-	.A1(n_15357),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[27]),
-	.C1(n_7448),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355453 (
-	.A1(n_15350),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[26]),
-	.C1(n_7453),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355454 (
-	.A1(n_15349),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[25]),
-	.C1(n_7480),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355455 (
-	.A1(n_15348),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[24]),
-	.C1(n_7481),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355456 (
-	.A1(n_15346),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[22]),
-	.C1(n_7472),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355457 (
-	.A1(n_15344),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[20]),
-	.C1(n_7483),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355458 (
-	.A1(n_15343),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[19]),
-	.C1(n_7486),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355459 (
-	.A1(n_15342),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[18]),
-	.C1(n_7487),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355460 (
-	.A(n_8304),
-	.B(n_8270),
-	.Y(n_8314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355463 (
-	.A(n_8309),
-	.Y(n_15181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355464 (
-	.A(n_8308),
-	.Y(n_15364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355465 (
-	.A1(n_7327),
-	.A2(soc_top_u_top_u_core_pc_id[20]),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[20]),
-	.C1(n_8286),
-	.X(soc_top_u_top_u_core_cs_registers_i_mepc_d[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355466 (
-	.A1(n_7327),
-	.A2(soc_top_u_top_u_core_pc_id[15]),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[15]),
-	.C1(n_8283),
-	.X(soc_top_u_top_u_core_cs_registers_i_mepc_d[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355467 (
-	.A1(n_7327),
-	.A2(soc_top_u_top_u_core_pc_id[13]),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[13]),
-	.C1(n_8282),
-	.X(soc_top_u_top_u_core_cs_registers_i_mepc_d[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355468 (
-	.A1(n_7327),
-	.A2(soc_top_u_top_u_core_pc_id[6]),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[6]),
-	.C1(n_8279),
-	.X(soc_top_u_top_u_core_cs_registers_i_mepc_d[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355469 (
-	.A1(n_7327),
-	.A2(soc_top_u_top_u_core_pc_id[5]),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[5]),
-	.C1(n_8278),
-	.X(soc_top_u_top_u_core_cs_registers_i_mepc_d[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355470 (
-	.A1(n_7327),
-	.A2(soc_top_u_top_u_core_pc_id[29]),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[29]),
-	.C1(n_8294),
-	.X(soc_top_u_top_u_core_cs_registers_i_mepc_d[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355471 (
-	.A1(n_7327),
-	.A2(soc_top_u_top_u_core_pc_id[28]),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[28]),
-	.C1(n_8293),
-	.X(soc_top_u_top_u_core_cs_registers_i_mepc_d[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355472 (
-	.A1(n_7327),
-	.A2(soc_top_u_top_u_core_pc_id[27]),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[27]),
-	.C1(n_8292),
-	.X(soc_top_u_top_u_core_cs_registers_i_mepc_d[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355473 (
-	.A1(n_7327),
-	.A2(soc_top_u_top_u_core_pc_id[26]),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[26]),
-	.C1(n_8291),
-	.X(soc_top_u_top_u_core_cs_registers_i_mepc_d[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355474 (
-	.A1(n_7327),
-	.A2(soc_top_u_top_u_core_pc_id[25]),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[25]),
-	.C1(n_8290),
-	.X(soc_top_u_top_u_core_cs_registers_i_mepc_d[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355475 (
-	.A1(n_7327),
-	.A2(soc_top_u_top_u_core_pc_id[24]),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[24]),
-	.C1(n_8289),
-	.X(soc_top_u_top_u_core_cs_registers_i_mepc_d[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355476 (
-	.A1(n_7327),
-	.A2(soc_top_u_top_u_core_pc_id[22]),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[22]),
-	.C1(n_8288),
-	.X(soc_top_u_top_u_core_cs_registers_i_mepc_d[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355477 (
-	.A1(n_7327),
-	.A2(soc_top_u_top_u_core_pc_id[11]),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[11]),
-	.C1(n_8281),
-	.X(soc_top_u_top_u_core_cs_registers_i_mepc_d[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355478 (
-	.A1(n_7327),
-	.A2(soc_top_u_top_u_core_pc_id[18]),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[18]),
-	.C1(n_8285),
-	.X(soc_top_u_top_u_core_cs_registers_i_mepc_d[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355479 (
-	.A1(n_7327),
-	.A2(soc_top_u_top_u_core_pc_id[19]),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[19]),
-	.C1(n_8287),
-	.X(soc_top_u_top_u_core_cs_registers_i_mepc_d[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355480 (
-	.A1(n_7327),
-	.A2(soc_top_u_top_u_core_pc_id[16]),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[16]),
-	.C1(n_8284),
-	.X(soc_top_u_top_u_core_cs_registers_i_mepc_d[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355481 (
-	.A1(n_7327),
-	.A2(soc_top_u_top_u_core_pc_id[8]),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[8]),
-	.C1(n_8280),
-	.X(soc_top_u_top_u_core_cs_registers_i_mepc_d[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355482 (
-	.A1(n_17129),
-	.A2(soc_top_u_top_u_core_ready_wb),
-	.B1(n_7303),
-	.Y(n_8307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355483 (
-	.A1_N(soc_top_u_top_u_core_pc_wb[7]),
-	.A2_N(n_7370),
-	.B1(n_7365),
-	.B2(n_8267),
-	.Y(n_8306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g355484 (
-	.A1(n_7566),
-	.A2(n_6832),
-	.B1(soc_top_u_top_u_core_debug_mode),
-	.B2(n_7668),
-	.Y(n_8305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355485 (
-	.A1(n_15359),
-	.A2(n_7254),
-	.B1(n_7255),
-	.B2(soc_top_u_top_u_core_csr_mtval[4]),
-	.X(soc_top_u_top_u_core_cs_registers_i_mtval_d[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355486 (
-	.A1_N(soc_top_u_top_u_core_csr_mtval[7]),
-	.A2_N(n_7255),
-	.B1(n_7255),
-	.B2(n_8267),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtval_d[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g355487 (
-	.A1(n_7561),
-	.A2(n_8229),
-	.B1(n_16653),
-	.C1(n_7385),
-	.D1(n_8194),
-	.Y(n_16623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211a_1 g355488 (
-	.A1(n_6873),
-	.A2(n_8244),
-	.B1(n_8020),
-	.C1(n_8265),
-	.X(n_8309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355489 (
-	.A1(n_15368),
-	.A2(n_7364),
-	.B1(n_8299),
-	.Y(n_8308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355490 (
-	.A(n_8301),
-	.Y(n_15356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g355491 (
-	.A(n_16569),
-	.B(n_8214),
-	.COUT(n_8304),
-	.SUM(n_16304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355492 (
-	.A1(n_7327),
-	.A2(soc_top_u_top_u_core_pc_id[17]),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[17]),
-	.C1(n_8262),
-	.X(soc_top_u_top_u_core_cs_registers_i_mepc_d[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355494 (
-	.A(n_16648),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
-	.Y(n_8300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355495 (
-	.A1(n_15344),
-	.A2(n_7254),
-	.B1(n_7255),
-	.B2(soc_top_u_top_u_core_csr_mtval[20]),
-	.X(soc_top_u_top_u_core_cs_registers_i_mtval_d[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355496 (
-	.A1(n_15346),
-	.A2(n_7254),
-	.B1(n_7255),
-	.B2(soc_top_u_top_u_core_csr_mtval[22]),
-	.X(soc_top_u_top_u_core_cs_registers_i_mtval_d[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355497 (
-	.A(n_7368),
-	.B(n_8268),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355498 (
-	.A(n_7368),
-	.B(n_8267),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g355500 (
-	.A1(n_7255),
-	.A2(soc_top_u_top_u_core_exc_cause[1]),
-	.B1(n_8275),
-	.X(soc_top_u_top_u_core_cs_registers_i_mcause_d[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355501 (
-	.A1(n_15365),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[3]),
-	.C1(n_7466),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355502 (
-	.A1(n_15345),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[21]),
-	.C1(n_7482),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355503 (
-	.A1(n_15341),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[17]),
-	.C1(n_7488),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355504 (
-	.A1(n_15347),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[23]),
-	.C1(n_7447),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355505 (
-	.A1(n_7327),
-	.A2(soc_top_u_top_u_core_pc_id[21]),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[21]),
-	.C1(n_8261),
-	.X(soc_top_u_top_u_core_cs_registers_i_mepc_d[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355506 (
-	.A(n_8274),
-	.B(n_7554),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mepc_d[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355507 (
-	.A1(n_7327),
-	.A2(soc_top_u_top_u_core_pc_id[23]),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[23]),
-	.C1(n_8260),
-	.X(soc_top_u_top_u_core_cs_registers_i_mepc_d[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355508 (
-	.A1(n_15348),
-	.A2(n_7254),
-	.B1(n_7255),
-	.B2(soc_top_u_top_u_core_csr_mtval[24]),
-	.X(soc_top_u_top_u_core_cs_registers_i_mtval_d[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355509 (
-	.A(n_7257),
-	.B(n_15368),
-	.C_N(n_17036),
-	.Y(n_8299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g355510 (
-	.A1(n_8156),
-	.A2(n_8244),
-	.B1(n_18407),
-	.Y(n_8298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355511 (
-	.A1(n_15349),
-	.A2(n_7254),
-	.B1(n_7255),
-	.B2(soc_top_u_top_u_core_csr_mtval[25]),
-	.X(soc_top_u_top_u_core_cs_registers_i_mtval_d[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355512 (
-	.A1(n_15361),
-	.A2(n_7254),
-	.B1(n_7255),
-	.B2(soc_top_u_top_u_core_csr_mtval[31]),
-	.X(soc_top_u_top_u_core_cs_registers_i_mtval_d[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355513 (
-	.A1(n_15358),
-	.A2(n_7254),
-	.B1(n_7255),
-	.B2(soc_top_u_top_u_core_csr_mtval[29]),
-	.X(soc_top_u_top_u_core_cs_registers_i_mtval_d[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355514 (
-	.A1(n_15351),
-	.A2(n_7254),
-	.B1(n_7255),
-	.B2(soc_top_u_top_u_core_csr_mtval[28]),
-	.X(soc_top_u_top_u_core_cs_registers_i_mtval_d[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355515 (
-	.A1(n_15357),
-	.A2(n_7254),
-	.B1(n_7255),
-	.B2(soc_top_u_top_u_core_csr_mtval[27]),
-	.X(soc_top_u_top_u_core_cs_registers_i_mtval_d[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355516 (
-	.A1(n_15350),
-	.A2(n_7254),
-	.B1(n_7255),
-	.B2(soc_top_u_top_u_core_csr_mtval[26]),
-	.X(soc_top_u_top_u_core_cs_registers_i_mtval_d[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355517 (
-	.A(n_8277),
-	.B(n_8276),
-	.Y(n_8303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355518 (
-	.A(n_7365),
-	.B(n_8268),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mcause_d[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355519 (
-	.A(n_8266),
-	.B(n_7534),
-	.Y(n_8302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355520 (
-	.A1(n_15378),
-	.A2(n_7364),
-	.B1(n_8263),
-	.Y(n_8301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355521 (
-	.A1(n_15340),
-	.A2(n_7254),
-	.B1(n_7255),
-	.B2(soc_top_u_top_u_core_csr_mtval[16]),
-	.X(soc_top_u_top_u_core_cs_registers_i_mtval_d[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355522 (
-	.A1(n_15339),
-	.A2(n_7254),
-	.B1(n_7255),
-	.B2(soc_top_u_top_u_core_csr_mtval[15]),
-	.X(soc_top_u_top_u_core_cs_registers_i_mtval_d[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355523 (
-	.A1(n_15342),
-	.A2(n_7254),
-	.B1(n_7255),
-	.B2(soc_top_u_top_u_core_csr_mtval[18]),
-	.X(soc_top_u_top_u_core_cs_registers_i_mtval_d[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355524 (
-	.A1(n_15337),
-	.A2(n_7254),
-	.B1(n_7255),
-	.B2(soc_top_u_top_u_core_csr_mtval[13]),
-	.X(soc_top_u_top_u_core_cs_registers_i_mtval_d[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355525 (
-	.A1_N(soc_top_u_top_u_core_csr_mtval[11]),
-	.A2_N(n_7255),
-	.B1(n_7255),
-	.B2(n_8248),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtval_d[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355526 (
-	.A1(n_15334),
-	.A2(n_7254),
-	.B1(n_7255),
-	.B2(soc_top_u_top_u_core_csr_mtval[8]),
-	.X(soc_top_u_top_u_core_cs_registers_i_mtval_d[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355527 (
-	.A1_N(soc_top_u_top_u_core_csr_mtval[6]),
-	.A2_N(n_7255),
-	.B1(n_7255),
-	.B2(n_8251),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtval_d[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355528 (
-	.A1_N(soc_top_u_top_u_core_csr_mtval[5]),
-	.A2_N(n_7255),
-	.B1(n_7255),
-	.B2(n_8250),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtval_d[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355529 (
-	.A1_N(soc_top_u_top_u_core_csr_mtval[1]),
-	.A2_N(n_7255),
-	.B1(n_7255),
-	.B2(n_8247),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtval_d[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355530 (
-	.A1(n_15358),
-	.A2(n_7366),
-	.B1(n_7370),
-	.B2(soc_top_u_top_u_core_pc_wb[29]),
-	.X(n_8294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355531 (
-	.A1(n_15351),
-	.A2(n_7366),
-	.B1(n_7370),
-	.B2(soc_top_u_top_u_core_pc_wb[28]),
-	.X(n_8293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355532 (
-	.A1(n_15357),
-	.A2(n_7366),
-	.B1(n_7370),
-	.B2(soc_top_u_top_u_core_pc_wb[27]),
-	.X(n_8292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355533 (
-	.A1(n_15350),
-	.A2(n_7366),
-	.B1(n_7370),
-	.B2(soc_top_u_top_u_core_pc_wb[26]),
-	.X(n_8291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355534 (
-	.A1(n_15349),
-	.A2(n_7366),
-	.B1(n_7370),
-	.B2(soc_top_u_top_u_core_pc_wb[25]),
-	.X(n_8290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355535 (
-	.A1(n_15348),
-	.A2(n_7366),
-	.B1(n_7370),
-	.B2(soc_top_u_top_u_core_pc_wb[24]),
-	.X(n_8289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355536 (
-	.A1(n_15346),
-	.A2(n_7366),
-	.B1(n_7370),
-	.B2(soc_top_u_top_u_core_pc_wb[22]),
-	.X(n_8288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355537 (
-	.A1(n_15343),
-	.A2(n_7366),
-	.B1(n_7370),
-	.B2(soc_top_u_top_u_core_pc_wb[19]),
-	.X(n_8287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355538 (
-	.A1(n_15344),
-	.A2(n_7366),
-	.B1(n_7370),
-	.B2(soc_top_u_top_u_core_pc_wb[20]),
-	.X(n_8286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355539 (
-	.A1(n_15342),
-	.A2(n_7366),
-	.B1(n_7370),
-	.B2(soc_top_u_top_u_core_pc_wb[18]),
-	.X(n_8285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355540 (
-	.A1(n_15340),
-	.A2(n_7366),
-	.B1(n_7370),
-	.B2(soc_top_u_top_u_core_pc_wb[16]),
-	.X(n_8284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355541 (
-	.A1(n_15339),
-	.A2(n_7366),
-	.B1(n_7370),
-	.B2(soc_top_u_top_u_core_pc_wb[15]),
-	.X(n_8283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355542 (
-	.A1(n_15337),
-	.A2(n_7366),
-	.B1(n_7370),
-	.B2(soc_top_u_top_u_core_pc_wb[13]),
-	.X(n_8282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355543 (
-	.A1_N(soc_top_u_top_u_core_pc_wb[11]),
-	.A2_N(n_7370),
-	.B1(n_7365),
-	.B2(n_8248),
-	.Y(n_8281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355544 (
-	.A1(n_15334),
-	.A2(n_7366),
-	.B1(n_7370),
-	.B2(soc_top_u_top_u_core_pc_wb[8]),
-	.X(n_8280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355545 (
-	.A1_N(soc_top_u_top_u_core_pc_wb[6]),
-	.A2_N(n_7370),
-	.B1(n_7365),
-	.B2(n_8251),
-	.Y(n_8279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355546 (
-	.A1_N(soc_top_u_top_u_core_pc_wb[5]),
-	.A2_N(n_7370),
-	.B1(n_7365),
-	.B2(n_8250),
-	.Y(n_8278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355547 (
-	.A1(n_15343),
-	.A2(n_7254),
-	.B1(n_7255),
-	.B2(soc_top_u_top_u_core_csr_mtval[19]),
-	.X(soc_top_u_top_u_core_cs_registers_i_mtval_d[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g355548 (
-	.A(n_8180),
-	.B(n_8200),
-	.Y(n_8297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355549 (
-	.A(n_8259),
-	.B(n_8196),
-	.Y(n_8296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g355550 (
-	.A(n_6903),
-	.B(n_8257),
-	.Y(n_8295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355553 (
-	.A(n_16648),
-	.Y(n_8272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355554 (
-	.A(n_7368),
-	.B(n_8237),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355555 (
-	.A(n_7773),
-	.B(n_8246),
-	.Y(n_8271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355556 (
-	.A(n_7368),
-	.B(n_8242),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355557 (
-	.A(n_7368),
-	.B(n_8248),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355558 (
-	.A(n_8253),
-	.B(n_7367),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355559 (
-	.A(n_7368),
-	.B(n_8250),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355560 (
-	.A(n_7368),
-	.B(n_8252),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355561 (
-	.A(n_7368),
-	.B(n_8254),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355562 (
-	.A(n_7368),
-	.B(n_8241),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355563 (
-	.A(n_7368),
-	.B(n_8240),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355564 (
-	.A(n_7368),
-	.B(n_8239),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355565 (
-	.A(n_7368),
-	.B(n_8243),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355566 (
-	.A(n_7368),
-	.B(n_8238),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355567 (
-	.A(n_8256),
-	.B(n_7552),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mepc_d[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355568 (
-	.A(n_7368),
-	.B(n_8236),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355569 (
-	.A(n_7368),
-	.B(n_8235),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355570 (
-	.A(n_7368),
-	.B(n_8255),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355571 (
-	.A(n_7368),
-	.B(n_8251),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355572 (
-	.A(n_7368),
-	.B(n_8249),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355573 (
-	.A(n_7368),
-	.B(n_8234),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355574 (
-	.A(n_8214),
-	.B(n_8258),
-	.Y(n_8277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355575 (
-	.A(n_15118),
-	.B(n_17445),
-	.Y(n_8276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355576 (
-	.A(n_7365),
-	.B(n_8247),
-	.Y(n_8275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355577 (
-	.A(n_15361),
-	.B(n_7366),
-	.Y(n_8274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355578 (
-	.A(n_8198),
-	.B(n_7981),
-	.Y(n_8273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355579 (
-	.A(n_15013),
-	.B(n_8160),
-	.Y(n_16648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g355580 (
-	.A(n_8270),
-	.Y(n_15182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g355581 (
-	.A(n_8269),
-	.Y(n_15117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355582 (
-	.A(n_8268),
-	.Y(n_15359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355583 (
-	.A(n_8267),
-	.Y(n_15360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355584 (
-	.A(n_8244),
-	.B(n_6823),
-	.Y(n_8266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355585 (
-	.A(n_8244),
-	.B(n_6873),
-	.Y(n_8265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355586 (
-	.A(n_8256),
-	.B(n_7357),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mcause_d[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355588 (
-	.A1(n_15338),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[14]),
-	.C1(n_7479),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355589 (
-	.A1(n_15336),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[10]),
-	.C1(n_7475),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355590 (
-	.A1(n_15335),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[9]),
-	.C1(n_7473),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355591 (
-	.A1(n_15352),
-	.A2(n_7137),
-	.B1(n_7258),
-	.B2(soc_top_u_top_u_core_pc_wb[30]),
-	.C1(n_7451),
-	.X(soc_top_u_top_u_core_cs_registers_i_depc_d[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355592 (
-	.A1(n_7327),
-	.A2(soc_top_u_top_u_core_pc_id[30]),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[30]),
-	.C1(n_8232),
-	.X(soc_top_u_top_u_core_cs_registers_i_mepc_d[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355593 (
-	.A1(n_7327),
-	.A2(soc_top_u_top_u_core_pc_id[14]),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[14]),
-	.C1(n_8231),
-	.X(soc_top_u_top_u_core_cs_registers_i_mepc_d[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355594 (
-	.A1(n_7327),
-	.A2(soc_top_u_top_u_core_pc_id[10]),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[10]),
-	.C1(n_8230),
-	.X(soc_top_u_top_u_core_cs_registers_i_mepc_d[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355595 (
-	.A1(n_7327),
-	.A2(soc_top_u_top_u_core_pc_id[9]),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[9]),
-	.C1(n_8233),
-	.X(soc_top_u_top_u_core_cs_registers_i_mepc_d[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355597 (
-	.A(n_7257),
-	.B(n_15378),
-	.C_N(n_17044),
-	.Y(n_8263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355598 (
-	.A1_N(soc_top_u_top_u_core_pc_wb[17]),
-	.A2_N(n_7370),
-	.B1(n_7365),
-	.B2(n_8216),
-	.Y(n_8262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355599 (
-	.A1_N(soc_top_u_top_u_core_pc_wb[21]),
-	.A2_N(n_7370),
-	.B1(n_7365),
-	.B2(n_8215),
-	.Y(n_8261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355600 (
-	.A1(n_15347),
-	.A2(n_7366),
-	.B1(n_7370),
-	.B2(soc_top_u_top_u_core_pc_wb[23]),
-	.X(n_8260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355601 (
-	.A1_N(soc_top_u_top_u_core_csr_mtval[3]),
-	.A2_N(n_7255),
-	.B1(n_7255),
-	.B2(n_8213),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtval_d[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355602 (
-	.A1_N(soc_top_u_top_u_core_csr_mtval[17]),
-	.A2_N(n_7255),
-	.B1(n_7255),
-	.B2(n_8216),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtval_d[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355603 (
-	.A(n_8130),
-	.B(n_8184),
-	.Y(n_8259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355604 (
-	.A1(n_15347),
-	.A2(n_7254),
-	.B1(n_7255),
-	.B2(soc_top_u_top_u_core_csr_mtval[23]),
-	.X(soc_top_u_top_u_core_cs_registers_i_mtval_d[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355605 (
-	.A1_N(soc_top_u_top_u_core_csr_mtval[21]),
-	.A2_N(n_7255),
-	.B1(n_7255),
-	.B2(n_8215),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtval_d[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g355606 (
-	.A0(n_8228),
-	.A1(soc_top_u_top_u_core_valid_id_fpu),
-	.S(n_14944),
-	.X(n_17129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211a_1 g355607 (
-	.A1(n_7574),
-	.A2(n_8192),
-	.B1(n_8020),
-	.C1(n_8226),
-	.X(n_8270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211a_1 g355608 (
-	.A1(n_6874),
-	.A2(n_8199),
-	.B1(n_8020),
-	.C1(n_8224),
-	.X(n_8269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g355609 (
-	.A1(n_15038),
-	.A2(n_6956),
-	.A3(n_7256),
-	.B1(n_15370),
-	.B2(n_7364),
-	.Y(n_8268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355610 (
-	.A1(n_15373),
-	.A2(n_7364),
-	.B1(n_8245),
-	.Y(n_8267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g355611 (
-	.A(n_17445),
-	.Y(n_8258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g355612 (
-	.A(n_8198),
-	.Y(n_8257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355613 (
-	.A(n_8255),
-	.Y(n_15334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355614 (
-	.A(n_8254),
-	.Y(n_15357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355615 (
-	.A(n_8253),
-	.Y(n_15358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355616 (
-	.A(n_8252),
-	.Y(n_15351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355617 (
-	.A(n_8251),
-	.Y(n_15354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355618 (
-	.A(n_8250),
-	.Y(n_15353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355619 (
-	.A(n_8249),
-	.Y(n_15337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355620 (
-	.A(n_8248),
-	.Y(n_15355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355621 (
-	.A(n_8247),
-	.Y(n_15363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355622 (
-	.A(n_7368),
-	.B(n_8217),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355623 (
-	.A(n_7368),
-	.B(n_8215),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355624 (
-	.A(n_7368),
-	.B(n_8216),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355625 (
-	.A(n_7368),
-	.B(n_8213),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355626 (
-	.A(n_18409),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [10]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [9]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [11]),
-	.Y(n_8246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355627 (
-	.A(n_7257),
-	.B(n_15373),
-	.C_N(n_17040),
-	.Y(n_8245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g355628 (
-	.A_N(n_8229),
-	.B(n_7561),
-	.Y(n_15013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355629 (
-	.A(n_8167),
-	.B(n_7927),
-	.C(n_6822),
-	.D(n_7764),
-	.Y(n_17036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355630 (
-	.A(n_15365),
-	.B(n_7366),
-	.Y(n_8256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355631 (
-	.A1(n_15374),
-	.A2(n_7364),
-	.B1(n_8211),
-	.Y(n_8255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355632 (
-	.A1(n_15393),
-	.A2(n_7364),
-	.B1(n_8223),
-	.Y(n_8254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355633 (
-	.A1(n_15395),
-	.A2(n_7364),
-	.B1(n_8205),
-	.Y(n_8253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355634 (
-	.A1(n_15394),
-	.A2(n_7364),
-	.B1(n_8221),
-	.Y(n_8252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g355635 (
-	.A1(n_17039),
-	.A2(n_6957),
-	.A3(n_7256),
-	.B1(n_15372),
-	.B2(n_7364),
-	.Y(n_8251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355636 (
-	.A1(n_15371),
-	.A2(n_7364),
-	.B1(n_8210),
-	.Y(n_8250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355637 (
-	.A1(n_15379),
-	.A2(n_7364),
-	.B1(n_8222),
-	.Y(n_8249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355638 (
-	.A1(n_15377),
-	.A2(n_7364),
-	.B1(n_8201),
-	.Y(n_8248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355639 (
-	.A1(n_15367),
-	.A2(n_7364),
-	.B1(n_8207),
-	.Y(n_8247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355640 (
-	.A(n_8243),
-	.Y(n_15346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355641 (
-	.A(n_8242),
-	.Y(n_15361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355642 (
-	.A(n_8241),
-	.Y(n_15350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355643 (
-	.A(n_8240),
-	.Y(n_15349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355644 (
-	.A(n_8239),
-	.Y(n_15348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355645 (
-	.A(n_8238),
-	.Y(n_15344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355646 (
-	.A(n_8237),
-	.Y(n_15343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355647 (
-	.A(n_8236),
-	.Y(n_15342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355648 (
-	.A(n_8235),
-	.Y(n_15340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355649 (
-	.A(n_8234),
-	.Y(n_15339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355650 (
-	.A1(n_15335),
-	.A2(n_7254),
-	.B1(n_7255),
-	.B2(soc_top_u_top_u_core_csr_mtval[9]),
-	.X(soc_top_u_top_u_core_cs_registers_i_mtval_d[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355651 (
-	.A1(n_15352),
-	.A2(n_7254),
-	.B1(n_7255),
-	.B2(soc_top_u_top_u_core_csr_mtval[30]),
-	.X(soc_top_u_top_u_core_cs_registers_i_mtval_d[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355652 (
-	.A1(n_15338),
-	.A2(n_7254),
-	.B1(n_7255),
-	.B2(soc_top_u_top_u_core_csr_mtval[14]),
-	.X(soc_top_u_top_u_core_cs_registers_i_mtval_d[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355653 (
-	.A1(n_15336),
-	.A2(n_7254),
-	.B1(n_7255),
-	.B2(soc_top_u_top_u_core_csr_mtval[10]),
-	.X(soc_top_u_top_u_core_cs_registers_i_mtval_d[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355654 (
-	.A1(n_15335),
-	.A2(n_7366),
-	.B1(n_7370),
-	.B2(soc_top_u_top_u_core_pc_wb[9]),
-	.X(n_8233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355655 (
-	.A1(n_15352),
-	.A2(n_7366),
-	.B1(n_7370),
-	.B2(soc_top_u_top_u_core_pc_wb[30]),
-	.X(n_8232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355656 (
-	.A1(n_15338),
-	.A2(n_7366),
-	.B1(n_7370),
-	.B2(soc_top_u_top_u_core_pc_wb[14]),
-	.X(n_8231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355657 (
-	.A1(n_15336),
-	.A2(n_7366),
-	.B1(n_7370),
-	.B2(soc_top_u_top_u_core_pc_wb[10]),
-	.X(n_8230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355658 (
-	.A(n_8225),
-	.B(n_6825),
-	.Y(n_8244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355659 (
-	.A1(n_15388),
-	.A2(n_7364),
-	.B1(n_8209),
-	.Y(n_8243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355660 (
-	.A1(n_15397),
-	.A2(n_7364),
-	.B1(n_8206),
-	.Y(n_8242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355661 (
-	.A1(n_15392),
-	.A2(n_7364),
-	.B1(n_8220),
-	.Y(n_8241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355662 (
-	.A1(n_15391),
-	.A2(n_7364),
-	.B1(n_8219),
-	.Y(n_8240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355663 (
-	.A1(n_15390),
-	.A2(n_7364),
-	.B1(n_8204),
-	.Y(n_8239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355664 (
-	.A1(n_15386),
-	.A2(n_7364),
-	.B1(n_8202),
-	.Y(n_8238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355665 (
-	.A1(n_15385),
-	.A2(n_7364),
-	.B1(n_8212),
-	.Y(n_8237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355666 (
-	.A1(n_15384),
-	.A2(n_7364),
-	.B1(n_8203),
-	.Y(n_8236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355667 (
-	.A1(n_15382),
-	.A2(n_7364),
-	.B1(n_8227),
-	.Y(n_8235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355668 (
-	.A1(n_15381),
-	.A2(n_7364),
-	.B1(n_8208),
-	.Y(n_8234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355669 (
-	.A(n_7368),
-	.B(n_8191),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355670 (
-	.A(n_7257),
-	.B(n_15382),
-	.C_N(n_17048),
-	.Y(n_8227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355671 (
-	.A(n_15134),
-	.B(n_8186),
-	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_addr_incr_two), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g355672 (
-	.A1(n_15197),
-	.A2(n_8173),
-	.B1_N(n_15036),
-	.Y(n_15020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355673 (
-	.A(n_7368),
-	.B(n_8190),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355674 (
-	.A(n_7368),
-	.B(n_8189),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355675 (
-	.A(n_7368),
-	.B(n_8188),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtvec_d[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355676 (
-	.A(n_8192),
-	.B(n_7574),
-	.Y(n_8226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355677 (
-	.A(n_8199),
-	.B(n_6824),
-	.Y(n_8225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355678 (
-	.A(n_8199),
-	.B(n_6874),
-	.Y(n_8224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355679 (
-	.A(n_7257),
-	.B(n_15393),
-	.C_N(n_17057),
-	.Y(n_8223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355680 (
-	.A(n_7257),
-	.B(n_15379),
-	.C_N(n_17045),
-	.Y(n_8222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355681 (
-	.A(n_7257),
-	.B(n_15394),
-	.C_N(n_17058),
-	.Y(n_8221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355682 (
-	.A(n_7257),
-	.B(n_15392),
-	.C_N(n_17056),
-	.Y(n_8220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355683 (
-	.A(n_7257),
-	.B(n_15391),
-	.C_N(n_17055),
-	.Y(n_8219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355684 (
-	.A(n_6841),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
-	.Y(n_8229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g355685 (
-	.A_N(n_15029),
-	.B(n_16651),
-	.Y(n_15137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355686 (
-	.A(n_8152),
-	.B(n_7878),
-	.C(n_6822),
-	.D(n_7743),
-	.Y(n_17044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355687 (
-	.A(n_8197),
-	.B(soc_top_u_top_u_core_instr_valid_id),
-	.Y(n_8228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355688 (
-	.A(n_6841),
-	.B(n_6994),
-	.Y(n_16649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355689 (
-	.A(n_8217),
-	.Y(n_15347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355690 (
-	.A(n_8216),
-	.Y(n_15341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355691 (
-	.A(n_8215),
-	.Y(n_15345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g355692 (
-	.A(n_15118),
-	.Y(n_8214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355693 (
-	.A(n_8213),
-	.Y(n_15365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355694 (
-	.A(n_7257),
-	.B(n_15385),
-	.C_N(n_17050),
-	.Y(n_8212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355695 (
-	.A(n_7257),
-	.B(n_15374),
-	.C_N(n_17041),
-	.Y(n_8211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355696 (
-	.A(n_7257),
-	.B(n_15371),
-	.C_N(n_17038),
-	.Y(n_8210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355697 (
-	.A(n_7257),
-	.B(n_15388),
-	.C_N(n_17052),
-	.Y(n_8209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355698 (
-	.A(n_7257),
-	.B(n_15381),
-	.C_N(n_17047),
-	.Y(n_8208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355699 (
-	.A(n_7257),
-	.B(n_15367),
-	.C_N(n_15037),
-	.Y(n_8207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355700 (
-	.A1_N(soc_top_u_top_u_core_csr_mtval[0]),
-	.A2_N(n_7255),
-	.B1(n_7255),
-	.B2(n_8170),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mtval_d[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355701 (
-	.A(n_7257),
-	.B(n_15397),
-	.C_N(n_17061),
-	.Y(n_8206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355702 (
-	.A(n_7257),
-	.B(n_15395),
-	.C_N(n_17059),
-	.Y(n_8205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355703 (
-	.A(n_7257),
-	.B(n_15390),
-	.C_N(n_17054),
-	.Y(n_8204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355704 (
-	.A1_N(soc_top_u_top_u_core_exc_cause[0]),
-	.A2_N(n_7255),
-	.B1(n_7365),
-	.B2(n_8170),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mcause_d[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355705 (
-	.A(n_7257),
-	.B(n_15384),
-	.C_N(n_17049),
-	.Y(n_8203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355706 (
-	.A(n_7257),
-	.B(n_15386),
-	.C_N(n_17051),
-	.Y(n_8202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355707 (
-	.A(n_7257),
-	.B(n_15377),
-	.C_N(n_15035),
-	.Y(n_8201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355708 (
-	.A1_N(n_8148),
-	.A2_N(n_16569),
-	.B1(n_8148),
-	.B2(n_16569),
-	.Y(n_8200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355710 (
-	.A1(n_15389),
-	.A2(n_7364),
-	.B1(n_8185),
-	.Y(n_8217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g355711 (
-	.A1(n_15039),
-	.A2(n_6960),
-	.A3(n_7256),
-	.B1(n_15383),
-	.B2(n_7364),
-	.Y(n_8216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g355712 (
-	.A1(n_15040),
-	.A2(n_6959),
-	.A3(n_7256),
-	.B1(n_15387),
-	.B2(n_7364),
-	.Y(n_8215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355713 (
-	.A(n_8183),
-	.B(n_8175),
-	.Y(n_15118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355714 (
-	.A1(n_15369),
-	.A2(n_7364),
-	.B1(n_8187),
-	.Y(n_8213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g355715 (
-	.A1(n_14944),
-	.A2(n_8159),
-	.B1(n_14915),
-	.Y(n_8197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355716 (
-	.A(n_8169),
-	.B(n_6908),
-	.Y(n_8196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355717 (
-	.A(n_17446),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_8195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355718 (
-	.A(n_8171),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [8]),
-	.Y(n_8194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g355719 (
-	.A(n_8178),
-	.B_N(n_16624),
-	.Y(n_8193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g355720 (
-	.A_N(n_18409),
-	.B(n_8160),
-	.Y(n_15029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355722 (
-	.A(n_8114),
-	.B(n_7931),
-	.C(n_15065),
-	.D(n_7737),
-	.Y(n_17040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355723 (
-	.A(n_8117),
-	.B(n_7814),
-	.C(n_15065),
-	.D(n_7755),
-	.Y(n_15038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355724 (
-	.A(n_8163),
-	.B(n_6875),
-	.Y(n_8199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355725 (
-	.A(n_7982),
-	.B(n_8131),
-	.Y(n_8198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355726 (
-	.A(n_8191),
-	.Y(n_15335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355727 (
-	.A(n_8190),
-	.Y(n_15352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355728 (
-	.A(n_8189),
-	.Y(n_15338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355729 (
-	.A(n_8188),
-	.Y(n_15336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355730 (
-	.A(n_7257),
-	.B(n_15369),
-	.C_N(n_17037),
-	.Y(n_8187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355731 (
-	.A(n_18155),
-	.B(soc_top_u_top_u_core_pc_if[1]),
-	.Y(n_8186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355732 (
-	.A(n_7257),
-	.B(n_15389),
-	.C_N(n_17053),
-	.Y(n_8185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355733 (
-	.A(n_16569),
-	.B(n_8148),
-	.Y(n_8184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355734 (
-	.A(n_8021),
-	.B(n_8174),
-	.Y(n_8183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g355735 (
-	.A(n_7610),
-	.B(n_7744),
-	.C(n_7664),
-	.D(n_8128),
-	.Y(n_8182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355736 (
-	.A(n_18227),
-	.B(n_7535),
-	.Y(n_8192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g355737 (
-	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata[0]),
-	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata[1]),
-	.B1(soc_top_u_top_u_core_pc_if[1]),
-	.X(n_15134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355738 (
-	.A1(n_15375),
-	.A2(n_7364),
-	.B1(n_8164),
-	.Y(n_8191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355739 (
-	.A1(n_15396),
-	.A2(n_7364),
-	.B1(n_8162),
-	.Y(n_8190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355740 (
-	.A1(n_15380),
-	.A2(n_7364),
-	.B1(n_8166),
-	.Y(n_8189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355741 (
-	.A1(n_15376),
-	.A2(n_7364),
-	.B1(n_8165),
-	.Y(n_8188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355742 (
-	.A(n_17446),
-	.Y(n_8181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g355743 (
-	.A(n_8130),
-	.Y(n_8180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g355746 (
-	.A(n_8178),
-	.Y(n_8177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355748 (
-	.A(n_8158),
-	.B(n_7579),
-	.Y(n_8175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355749 (
-	.A(n_7579),
-	.B(n_8158),
-	.Y(n_8174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355750 (
-	.A(n_15198),
-	.B(n_16211),
-	.Y(n_8173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355751 (
-	.A(n_8153),
-	.B(n_15065),
-	.Y(n_17045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355752 (
-	.A(n_8136),
-	.B(n_15065),
-	.Y(n_17058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355753 (
-	.A(n_8134),
-	.B(n_15065),
-	.Y(n_17056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355754 (
-	.A(n_8133),
-	.B(n_15065),
-	.Y(n_17055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355755 (
-	.A(n_8142),
-	.B(n_15065),
-	.Y(n_17048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355756 (
-	.A(n_8143),
-	.B(n_15065),
-	.Y(n_17047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355757 (
-	.A(n_8155),
-	.B(n_7746),
-	.Y(n_17041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355759 (
-	.A(n_8135),
-	.B(n_7743),
-	.Y(n_17057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355760 (
-	.A(n_8139),
-	.B(n_7746),
-	.Y(n_17051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355761 (
-	.A(n_8137),
-	.B(n_7743),
-	.Y(n_17059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355762 (
-	.A(n_8146),
-	.B(n_15065),
-	.Y(n_17052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355763 (
-	.A(n_8145),
-	.B(n_15065),
-	.Y(n_17038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355764 (
-	.A(n_8138),
-	.B(n_15065),
-	.Y(n_17061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355766 (
-	.A(n_8161),
-	.B(soc_top_u_top_u_core_is_fp_instr),
-	.Y(n_8178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355768 (
-	.A(n_8170),
-	.Y(n_15362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355771 (
-	.A1(n_7671),
-	.A2(soc_top_u_top_u_core_cs_registers_i_tmatch_control_rdata[2]),
-	.B1(n_7664),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_fflags_q[OF] ),
-	.C1(n_8144),
-	.Y(n_8167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g355772 (
-	.A_N(n_15044),
-	.B(n_16962),
-	.C(n_8129),
-	.D(n_17131),
-	.Y(n_15017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355773 (
-	.A(n_7257),
-	.B(n_15380),
-	.C_N(n_17046),
-	.Y(n_8166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355774 (
-	.A(n_7257),
-	.B(n_15376),
-	.C_N(n_17043),
-	.Y(n_8165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355775 (
-	.A(n_7257),
-	.B(n_15375),
-	.C_N(n_17042),
-	.Y(n_8164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355776 (
-	.A(n_8158),
-	.B(n_7563),
-	.Y(n_8163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355778 (
-	.A(n_7257),
-	.B(n_15396),
-	.C_N(n_17060),
-	.Y(n_8162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355779 (
-	.A(n_15183),
-	.B(n_8154),
-	.Y(n_306872_BAR), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g355780 (
-	.A(n_8116),
-	.B(n_7906),
-	.C(n_15065),
-	.Y(n_15035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355781 (
-	.A(n_8091),
-	.B(n_7866),
-	.C(n_7743),
-	.D(n_7797),
-	.Y(n_17039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355783 (
-	.A(n_8132),
-	.B(n_15065),
-	.Y(n_17054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355784 (
-	.A1(n_7815),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]),
-	.B1(n_7826),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]),
-	.C1(n_8118),
-	.X(n_15037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355785 (
-	.A(n_8140),
-	.B(n_15065),
-	.Y(n_17050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355786 (
-	.A(n_8129),
-	.B(n_16967),
-	.C(n_15183),
-	.D(n_15044),
-	.Y(n_15049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g355787 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [12]),
-	.B(n_7526),
-	.C(n_8113),
-	.D(n_8121),
-	.Y(n_8171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355788 (
-	.A(n_8141),
-	.B(n_15065),
-	.Y(n_17049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355789 (
-	.A1(n_15366),
-	.A2(n_7364),
-	.B1(n_8151),
-	.Y(n_8170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g355790 (
-	.A(n_8149),
-	.B(n_8122),
-	.Y(n_16569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g355792 (
-	.A(n_8161),
-	.Y(n_15022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355794 (
-	.A(n_365),
-	.Y(n_16651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355795 (
-	.A(n_8020),
-	.B(n_8126),
-	.Y(n_8156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355796 (
-	.A1(n_7741),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]),
-	.B1(n_7739),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]),
-	.C1(n_8092),
-	.Y(n_8155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g355797 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.B_N(n_18411),
-	.Y(n_266879_BAR), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355798 (
-	.A(n_7994),
-	.B(n_15036),
-	.C_N(n_15198),
-	.Y(n_311439_BAR), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g355800 (
-	.A1(n_16962),
-	.A2(n_7599),
-	.B1(n_8129),
-	.Y(n_8154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355801 (
-	.A1(n_7739),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [13]),
-	.B1(n_7741),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [13]),
-	.C1(n_8107),
-	.Y(n_8153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355802 (
-	.A1(n_7659),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [12]),
-	.B1(n_8127),
-	.Y(n_8152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355803 (
-	.A(n_7257),
-	.B(n_15366),
-	.C_N(n_17035),
-	.Y(n_8151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355805 (
-	.A(n_8021),
-	.B(n_8123),
-	.Y(n_8149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g355806 (
-	.A_N(n_15028),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [1]),
-	.Y(n_15023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355807 (
-	.A(n_8119),
-	.B(n_15065),
-	.Y(n_17053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355808 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [1]),
-	.B(n_15028),
-	.Y(n_8161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g355809 (
-	.A_N(n_7526),
-	.B(n_8121),
-	.Y(n_8160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355810 (
-	.A(n_8059),
-	.B(n_7928),
-	.C(n_6822),
-	.D(n_7743),
-	.Y(n_17037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355811 (
-	.A(n_8049),
-	.B(n_7920),
-	.C(n_15065),
-	.D(n_7756),
-	.Y(n_15040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355812 (
-	.A(n_8062),
-	.B(n_7918),
-	.C(n_15065),
-	.D(n_7757),
-	.Y(n_15039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g355813 (
-	.A(n_7105),
-	.B(soc_top_u_top_u_core_load_store_unit_i_n_937),
-	.C(soc_top_u_top_u_core_load_store_unit_i_n_935),
-	.D(soc_top_u_top_u_core_load_store_unit_i_n_936),
-	.Y(n_8159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g355814 (
-	.A_N(n_7992),
-	.B(n_16212),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_16211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g355815 (
-	.A(soc_top_u_top_u_core_id_stage_i_id_fsm_q),
-	.B(n_14975),
-	.C(n_8106),
-	.X(n_15189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g355816 (
-	.A1(soc_top_u_top_u_core_is_fp_instr),
-	.A2(n_15246),
-	.B1(n_8115),
-	.Y(soc_top_u_top_u_core_valid_id_fpu), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355817 (
-	.A(n_8124),
-	.B(n_8026),
-	.Y(n_8158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g355818 (
-	.A1(n_7753),
-	.A2(n_7302),
-	.A3(n_7148),
-	.A4(n_6999),
-	.B1(n_16650),
-	.Y(n_365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355819 (
-	.A(n_6908),
-	.Y(n_8148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355820 (
-	.A1(n_7659),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [22]),
-	.B1(n_7826),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]),
-	.C1(n_8102),
-	.Y(n_8146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355821 (
-	.A1(n_7815),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]),
-	.B1(n_7659),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [5]),
-	.C1(n_8100),
-	.Y(n_8145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355822 (
-	.A1(n_8110),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
-	.B1(n_7816),
-	.B2(n_16090),
-	.X(n_15747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355823 (
-	.A1(n_8110),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
-	.B1(n_7816),
-	.B2(n_16089),
-	.X(n_15746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355824 (
-	.A1(n_7739),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]),
-	.B1(n_7815),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]),
-	.C1(n_8108),
-	.X(n_8144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355825 (
-	.A1(n_7741),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]),
-	.B1(n_7739),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]),
-	.C1(n_8082),
-	.Y(n_8143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355826 (
-	.A1(n_7739),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]),
-	.B1(n_7741),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]),
-	.C1(n_8083),
-	.Y(n_8142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355827 (
-	.A1(n_7815),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]),
-	.B1(n_7659),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [18]),
-	.C1(n_8105),
-	.Y(n_8141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355828 (
-	.A1(n_8110),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
-	.B1(n_7816),
-	.B2(n_16091),
-	.X(n_15748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355829 (
-	.A1(n_7659),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [19]),
-	.B1(n_7826),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]),
-	.C1(n_8104),
-	.Y(n_8140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355830 (
-	.A1(n_7739),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]),
-	.B1(n_7741),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]),
-	.C1(n_8084),
-	.Y(n_8139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355831 (
-	.A1(n_7659),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [31]),
-	.B1(n_7826),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]),
-	.C1(n_8096),
-	.Y(n_8138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355832 (
-	.A1(n_7815),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]),
-	.B1(n_7659),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [29]),
-	.C1(n_8097),
-	.Y(n_8137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355833 (
-	.A1(n_7741),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [28]),
-	.B1(n_7739),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [28]),
-	.C1(n_8088),
-	.Y(n_8136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355834 (
-	.A1(n_7739),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]),
-	.B1(n_7741),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]),
-	.C1(n_8087),
-	.Y(n_8135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355835 (
-	.A1(n_7741),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]),
-	.B1(n_7739),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]),
-	.C1(n_8093),
-	.Y(n_8134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355836 (
-	.A1(n_7741),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]),
-	.B1(n_7739),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]),
-	.C1(n_8085),
-	.Y(n_8133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355837 (
-	.A1(n_7815),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [56]),
-	.B1(n_7659),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [24]),
-	.C1(n_8099),
-	.Y(n_8132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355842 (
-	.A1_N(n_7984),
-	.A2_N(n_6906),
-	.B1(n_7984),
-	.B2(n_6906),
-	.Y(n_6908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g355845 (
-	.A1(n_7098),
-	.A2(n_7650),
-	.B1(n_7647),
-	.C1(n_8031),
-	.D1(n_8001),
-	.Y(n_8128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355846 (
-	.A1(n_6826),
-	.A2(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[12]),
-	.B1(n_7566),
-	.B2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[12]),
-	.C1(n_8086),
-	.X(n_8127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g355847 (
-	.A(n_8112),
-	.B(n_6827),
-	.C(n_7527),
-	.Y(n_8126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g355848 (
-	.A(n_8112),
-	.B(n_7562),
-	.C(n_7527),
-	.Y(n_8125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355849 (
-	.A(n_8095),
-	.B(n_7952),
-	.Y(n_8124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355850 (
-	.A(n_8095),
-	.B(n_8048),
-	.Y(n_8123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355851 (
-	.A(n_8048),
-	.B(n_8095),
-	.Y(n_8122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g355852 (
-	.A_N(n_7526),
-	.B(n_8113),
-	.Y(n_16650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g355853 (
-	.A_N(n_15069),
-	.B(n_16969),
-	.Y(n_15260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355854 (
-	.A(n_8035),
-	.B(n_8017),
-	.C(n_7746),
-	.D(n_7768),
-	.Y(n_17060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355855 (
-	.A(n_6906),
-	.B(n_7983),
-	.Y(n_8131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355856 (
-	.A(n_16568),
-	.B(n_6907),
-	.Y(n_8130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355857 (
-	.A(n_15070),
-	.B(n_8109),
-	.Y(n_8129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g355858 (
-	.A(n_8047),
-	.B(n_16969),
-	.X(n_15028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 g355859 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_8120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355860 (
-	.A1(n_7659),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [23]),
-	.B1(n_7826),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]),
-	.C1(n_8075),
-	.Y(n_8119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355861 (
-	.A1(n_7744),
-	.A2(soc_top_u_top_u_core_fp_frm_csr[1]),
-	.B1(n_7664),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_fflags_q[UF] ),
-	.C1(n_8098),
-	.X(n_8118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355862 (
-	.A1(n_7739),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [4]),
-	.B1(n_7758),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[4]),
-	.C1(n_8089),
-	.Y(n_8117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355863 (
-	.A1(n_6826),
-	.A2(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[11]),
-	.B1(n_7640),
-	.B2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]),
-	.C1(n_8103),
-	.Y(n_8116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355864 (
-	.A1_N(n_16094),
-	.A2_N(n_7816),
-	.B1(n_7001),
-	.B2(n_8079),
-	.Y(n_15751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355865 (
-	.A1_N(n_16093),
-	.A2_N(n_7816),
-	.B1(n_7000),
-	.B2(n_8079),
-	.Y(n_15750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355866 (
-	.A1_N(n_16092),
-	.A2_N(n_7816),
-	.B1(n_6949),
-	.B2(n_8079),
-	.Y(n_15749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g355867 (
-	.A1(soc_top_u_top_u_core_out_valid_fpu2c),
-	.A2(soc_top_u_top_u_core_is_fp_instr),
-	.B1(n_7313),
-	.B2(n_15246),
-	.Y(n_8115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355868 (
-	.A1(n_7566),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[7]),
-	.B1(n_7640),
-	.B2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[16]),
-	.C1(n_8101),
-	.Y(n_8114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355869 (
-	.A(n_8030),
-	.B(n_7904),
-	.C(n_15065),
-	.D(n_7800),
-	.Y(n_17042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355870 (
-	.A(n_8040),
-	.B(n_7905),
-	.C(n_15065),
-	.D(n_7802),
-	.Y(n_17043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355871 (
-	.A(n_8032),
-	.B(n_7909),
-	.C(n_15065),
-	.D(n_7806),
-	.Y(n_17046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g355872 (
-	.A(n_8080),
-	.B(n_6994),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
-	.X(n_8121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g355873 (
-	.A0(n_18411),
-	.A1(n_8065),
-	.S(n_16621),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355878 (
-	.A(n_8111),
-	.Y(n_8112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g355879 (
-	.A(n_8109),
-	.Y(n_16969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355880 (
-	.A1(n_7744),
-	.A2(soc_top_u_top_u_core_fp_frm_csr[2]),
-	.B1(n_7566),
-	.B2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[2]),
-	.C1(n_8071),
-	.X(n_8108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355881 (
-	.A(n_8081),
-	.B(n_8047),
-	.Y(n_16968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355882 (
-	.A1(n_7740),
-	.A2(soc_top_u_top_u_core_csr_depc[13]),
-	.B1(n_7767),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ),
-	.C1(n_8058),
-	.X(n_8107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355883 (
-	.A(n_17132),
-	.B(n_7151),
-	.Y(n_8106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355884 (
-	.A1(n_7566),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[18]),
-	.B1(n_7640),
-	.B2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[2]),
-	.C1(n_8063),
-	.X(n_8105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355885 (
-	.A1(n_7566),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[19]),
-	.B1(n_7640),
-	.B2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[3]),
-	.C1(n_8064),
-	.X(n_8104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355887 (
-	.A(n_8037),
-	.B(n_7933),
-	.C(n_7729),
-	.D(n_7875),
-	.Y(n_8103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355888 (
-	.A1(n_7566),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[22]),
-	.B1(n_7640),
-	.B2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[6]),
-	.C1(n_8077),
-	.X(n_8102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355889 (
-	.A1(n_7745),
-	.A2(soc_top_u_top_u_core_fp_frm_csr[2]),
-	.B1(n_6826),
-	.B2(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[7]),
-	.C1(n_8073),
-	.X(n_8101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355890 (
-	.A1(n_7745),
-	.A2(soc_top_u_top_u_core_fp_frm_csr[0]),
-	.B1(n_7566),
-	.B2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[5]),
-	.C1(n_8072),
-	.X(n_8100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355891 (
-	.A1(n_7566),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[24]),
-	.B1(n_7640),
-	.B2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[8]),
-	.C1(n_8066),
-	.X(n_8099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355892 (
-	.A1(n_7567),
-	.A2(soc_top_u_top_u_core_csr_mepc[1]),
-	.B1(n_7566),
-	.B2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[1]),
-	.C1(n_8070),
-	.X(n_8098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355893 (
-	.A1(n_7566),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[29]),
-	.B1(n_7640),
-	.B2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[13]),
-	.C1(n_8067),
-	.X(n_8097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355894 (
-	.A1(n_7759),
-	.A2(soc_top_u_top_u_core_csr_mtvec[31]),
-	.B1(n_7566),
-	.B2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[31]),
-	.C1(n_8068),
-	.X(n_8096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355895 (
-	.A(n_8061),
-	.B(n_15079),
-	.Y(soc_top_u_top_u_core_load_store_unit_i_n_936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355896 (
-	.A1(n_7815),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]),
-	.B1(n_7659),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [0]),
-	.C1(n_8069),
-	.X(n_17035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g355897 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
-	.B_N(n_8080),
-	.Y(n_8113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355898 (
-	.A(n_6840),
-	.B(n_18509),
-	.Y(n_8111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g355899 (
-	.A1(n_15093),
-	.A2(n_6987),
-	.B1(n_8079),
-	.Y(n_8110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g355901 (
-	.A1(n_15136),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [1]),
-	.B1_N(n_15126),
-	.Y(n_8109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355907 (
-	.A1(n_7758),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[26]),
-	.B1(n_7738),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[26]),
-	.C1(n_8054),
-	.X(n_8093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355908 (
-	.A1(n_7758),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[8]),
-	.B1(n_7738),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[8]),
-	.C1(n_8057),
-	.X(n_8092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355909 (
-	.A1(n_7815),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]),
-	.B1(n_7659),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [6]),
-	.C1(n_8060),
-	.Y(n_8091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g355910 (
-	.A1_N(n_7987),
-	.A2_N(n_16627),
-	.B1(n_7537),
-	.B2(n_7991),
-	.Y(n_8090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355911 (
-	.A1(n_8046),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
-	.B1(n_7816),
-	.B2(n_16096),
-	.X(n_15753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355912 (
-	.A1(n_8046),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
-	.B1(n_7816),
-	.B2(n_16097),
-	.X(n_15754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355913 (
-	.A1(n_8046),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
-	.B1(n_7816),
-	.B2(n_16095),
-	.X(n_15752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355914 (
-	.A(n_7929),
-	.B(n_7860),
-	.C(n_7631),
-	.D(n_8008),
-	.Y(n_8089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355915 (
-	.A1(n_15073),
-	.A2(n_15067),
-	.B1(soc_top_u_top_u_core_out_valid_fpu2c),
-	.Y(soc_top_u_top_u_core_fpu_busy_idu), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355916 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[28]),
-	.B1(n_7740),
-	.B2(soc_top_u_top_u_core_csr_depc[28]),
-	.C1(n_8056),
-	.X(n_8088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355917 (
-	.A1(n_7758),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[27]),
-	.B1(n_7738),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[27]),
-	.C1(n_8055),
-	.X(n_8087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355918 (
-	.A(n_7877),
-	.B(n_7908),
-	.C(n_7876),
-	.D(n_8012),
-	.Y(n_8086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355919 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[25]),
-	.B1(n_7740),
-	.B2(soc_top_u_top_u_core_csr_depc[25]),
-	.C1(n_8053),
-	.X(n_8085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355920 (
-	.A1(n_7758),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[20]),
-	.B1(n_7738),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[20]),
-	.C1(n_8052),
-	.X(n_8084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355921 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[16]),
-	.B1(n_7740),
-	.B2(soc_top_u_top_u_core_csr_depc[16]),
-	.C1(n_8051),
-	.X(n_8083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355922 (
-	.A1(n_7740),
-	.A2(soc_top_u_top_u_core_csr_depc[15]),
-	.B1(n_7767),
-	.B2(soc_top_u_top_u_core_debug_ebreakm),
-	.C1(n_8050),
-	.X(n_8082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g355923 (
-	.A1(n_14989),
-	.A2(n_14985),
-	.B1(n_7701),
-	.Y(\soc_top_iccm_to_xbar[d_data] [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g355924 (
-	.A1(n_14989),
-	.A2(n_14984),
-	.B1(n_7713),
-	.Y(\soc_top_iccm_to_xbar[d_data] [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g355925 (
-	.A1(n_14989),
-	.A2(n_14986),
-	.B1(n_7702),
-	.Y(\soc_top_iccm_to_xbar[d_data] [16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g355926 (
-	.A1(n_14989),
-	.A2(n_14990),
-	.B1(n_7714),
-	.Y(\soc_top_iccm_to_xbar[d_data] [17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355927 (
-	.A(n_8078),
-	.B(n_8076),
-	.Y(n_8095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355928 (
-	.A(n_8074),
-	.B(n_8045),
-	.Y(n_16568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355931 (
-	.A(n_8000),
-	.B(n_8044),
-	.Y(n_8078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355932 (
-	.A(n_7973),
-	.B(n_7896),
-	.C(n_7871),
-	.D(n_7798),
-	.Y(n_8077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355933 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[0][is_subnormal] ),
-	.B(n_8042),
-	.Y(n_8076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355934 (
-	.A1(n_7566),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[23]),
-	.B1(n_7640),
-	.B2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[7]),
-	.C1(n_8039),
-	.X(n_8075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355935 (
-	.A1(n_8004),
-	.A2(n_6902),
-	.B1(n_8021),
-	.Y(n_8074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355936 (
-	.A1(n_7741),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]),
-	.B1(n_7739),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]),
-	.C1(n_8036),
-	.X(n_8073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355937 (
-	.A(n_7956),
-	.B(n_7903),
-	.C(n_7862),
-	.D(n_7795),
-	.Y(n_8072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355938 (
-	.A(n_7957),
-	.B(n_7820),
-	.C(n_7654),
-	.D(n_7859),
-	.Y(n_8071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355939 (
-	.A(n_7958),
-	.B(n_7715),
-	.C(n_7736),
-	.D(n_7791),
-	.Y(n_8070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g355940 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[0]),
-	.B1(n_7660),
-	.B2(soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q[0]),
-	.C1(n_8028),
-	.X(n_8069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355941 (
-	.A(n_7967),
-	.B(n_7900),
-	.C(n_7655),
-	.D(n_7788),
-	.Y(n_8068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355942 (
-	.A(n_7970),
-	.B(n_7899),
-	.C(n_7786),
-	.D(n_7852),
-	.Y(n_8067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355943 (
-	.A(n_7972),
-	.B(n_7907),
-	.C(n_7847),
-	.D(n_7782),
-	.Y(n_8066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211o_1 g355944 (
-	.A1(n_15059),
-	.A2(n_7097),
-	.B1(n_8038),
-	.C1(n_8002),
-	.X(n_17132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g355945 (
-	.A(n_16212),
-	.B(n_15198),
-	.C_N(n_7992),
-	.Y(n_8081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g355946 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [7]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [6]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [8]),
-	.D(n_7971),
-	.Y(n_8080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g355949 (
-	.A(n_15136),
-	.B(n_15126),
-	.X(soc_top_u_top_u_core_out_valid_fpu2c), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355950 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
-	.A2(n_15301),
-	.B1(n_8046),
-	.Y(n_8079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g355951 (
-	.A(n_18411),
-	.Y(n_8065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355952 (
-	.A1(n_8023),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
-	.B1(n_7816),
-	.B2(n_16100),
-	.X(n_15757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355953 (
-	.A(n_7974),
-	.B(n_7895),
-	.C(n_7839),
-	.D(n_7809),
-	.Y(n_8064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355954 (
-	.A(n_7976),
-	.B(n_7893),
-	.C(n_7837),
-	.D(n_7810),
-	.Y(n_8063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355955 (
-	.A1(n_7741),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]),
-	.B1(n_7826),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]),
-	.C1(n_8033),
-	.Y(n_8062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355956 (
-	.A1(n_15083),
-	.A2(n_7429),
-	.B1(n_7986),
-	.B2(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
-	.C1(n_7808),
-	.Y(n_8061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355957 (
-	.A(n_7865),
-	.B(n_7930),
-	.C(n_7864),
-	.D(n_7796),
-	.Y(n_8060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355958 (
-	.A1(n_7815),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]),
-	.B1(n_8043),
-	.Y(n_8059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355959 (
-	.A(n_7880),
-	.B(n_7934),
-	.C(n_7698),
-	.D(n_7947),
-	.Y(n_8058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355960 (
-	.A(n_7932),
-	.B(n_7944),
-	.C(n_7719),
-	.D(n_7870),
-	.Y(n_8057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355961 (
-	.A(n_7850),
-	.B(n_7925),
-	.C(n_7851),
-	.D(n_7941),
-	.Y(n_8056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355962 (
-	.A(n_7923),
-	.B(n_7940),
-	.C(n_7844),
-	.D(n_7784),
-	.Y(n_8055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355963 (
-	.A(n_7922),
-	.B(n_7939),
-	.C(n_7849),
-	.D(n_7783),
-	.Y(n_8054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355964 (
-	.A(n_7846),
-	.B(n_7921),
-	.C(n_7853),
-	.D(n_7943),
-	.Y(n_8053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355965 (
-	.A(n_7919),
-	.B(n_7938),
-	.C(n_7841),
-	.D(n_7794),
-	.Y(n_8052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355966 (
-	.A(n_7848),
-	.B(n_7924),
-	.C(n_7836),
-	.D(n_7937),
-	.Y(n_8051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355967 (
-	.A(n_7882),
-	.B(n_7950),
-	.C(n_7697),
-	.D(n_7936),
-	.Y(n_8050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355968 (
-	.A1(n_7815),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]),
-	.B1(n_6826),
-	.B2(soc_top_u_top_u_core_csr_mstatus_tw),
-	.C1(n_8034),
-	.Y(n_8049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355969 (
-	.A1(n_8023),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
-	.B1(n_7816),
-	.B2(n_16098),
-	.X(n_15755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355970 (
-	.A1(n_8023),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
-	.B1(n_7816),
-	.B2(n_16099),
-	.X(n_15756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g355971 (
-	.A(n_8024),
-	.B(n_8022),
-	.X(n_6907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355975 (
-	.A(n_8005),
-	.B(n_7912),
-	.Y(n_8045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355976 (
-	.A(n_8018),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[0][is_subnormal] ),
-	.Y(n_8044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355977 (
-	.A(n_7949),
-	.B(n_7902),
-	.C(n_7793),
-	.D(n_7717),
-	.Y(n_8043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g355978 (
-	.A(n_8016),
-	.B(n_6945),
-	.Y(n_8042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355979 (
-	.A(n_8007),
-	.B(n_7827),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355981 (
-	.A(n_7952),
-	.B(n_8026),
-	.Y(n_8048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355982 (
-	.A(n_18412),
-	.B(n_17200),
-	.Y(n_14990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355983 (
-	.A(n_18415),
-	.B(n_17199),
-	.Y(n_14985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355984 (
-	.A(n_18413),
-	.B(n_17200),
-	.Y(n_14986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355985 (
-	.A(n_18414),
-	.B(n_17199),
-	.Y(n_14984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g355986 (
-	.A1(n_7986),
-	.A2(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
-	.B1(n_15083),
-	.B2(n_7356),
-	.X(soc_top_u_top_u_core_load_store_unit_i_n_937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355987 (
-	.A(n_8011),
-	.B(n_15082),
-	.Y(soc_top_u_top_u_core_load_store_unit_i_n_935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g355988 (
-	.A1(n_17130),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [0]),
-	.B1(n_15071),
-	.Y(n_8047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355989 (
-	.A(n_15070),
-	.B(n_15069),
-	.Y(n_15136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g355990 (
-	.A_N(n_17130),
-	.B(n_15071),
-	.Y(n_15126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g355991 (
-	.A(n_8022),
-	.B_N(n_8024),
-	.Y(n_6906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g355992 (
-	.A(n_7995),
-	.B(n_7765),
-	.Y(n_15198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g355993 (
-	.A1(n_15098),
-	.A2(n_6987),
-	.B1_N(n_8023),
-	.Y(n_8046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355994 (
-	.A1(n_7759),
-	.A2(soc_top_u_top_u_core_csr_mtvec[10]),
-	.B1(n_7566),
-	.B2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[10]),
-	.C1(n_8014),
-	.Y(n_8040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355995 (
-	.A(n_7845),
-	.B(n_7897),
-	.C(n_7863),
-	.D(n_7891),
-	.Y(n_8039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g355996 (
-	.A1_N(n_7672),
-	.A2_N(n_7951),
-	.B1(n_7748),
-	.B2(n_7951),
-	.Y(n_8038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355997 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[11]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[11]),
-	.C1(n_8010),
-	.Y(n_8037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g355998 (
-	.A(n_7867),
-	.B(n_7869),
-	.C(n_7718),
-	.D(n_7868),
-	.Y(n_8036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g355999 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[30]),
-	.B1(n_7740),
-	.B2(soc_top_u_top_u_core_csr_depc[30]),
-	.C1(n_8009),
-	.Y(n_8035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g356000 (
-	.A(n_7842),
-	.B(n_7789),
-	.C(n_7843),
-	.D(n_7680),
-	.Y(n_8034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g356001 (
-	.A(n_7833),
-	.B(n_7811),
-	.C(n_7834),
-	.D(n_7691),
-	.Y(n_8033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g356002 (
-	.A1(n_7759),
-	.A2(soc_top_u_top_u_core_csr_mtvec[14]),
-	.B1(n_7566),
-	.B2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[14]),
-	.C1(n_8015),
-	.Y(n_8032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g356003 (
-	.A(n_7607),
-	.B(n_7738),
-	.C(n_6829),
-	.D(n_7758),
-	.Y(n_8031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g356004 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[9]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[9]),
-	.C1(n_8013),
-	.Y(n_8030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g356005 (
-	.A1(n_7917),
-	.A2(n_16640),
-	.B1(n_8019),
-	.X(n_8029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g356006 (
-	.A(n_7856),
-	.B(n_7926),
-	.C(n_7885),
-	.D(n_7857),
-	.Y(n_8028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g356007 (
-	.A1(n_7987),
-	.A2(n_7780),
-	.B1(n_7751),
-	.B2(n_7954),
-	.Y(n_8027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356008 (
-	.A1(n_7977),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
-	.B1(n_7816),
-	.B2(n_15409),
-	.X(n_15759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g356009 (
-	.A0(n_16964),
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_n_12 ),
-	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.X(n_15166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356010 (
-	.A1(n_7977),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
-	.B1(n_7816),
-	.B2(n_16101),
-	.X(n_15758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356011 (
-	.A1(n_7977),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
-	.B1(n_7816),
-	.B2(n_15410),
-	.X(n_15760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356012 (
-	.A1(n_7993),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[1][is_inf] ),
-	.B1(n_7916),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[1][is_zero] ),
-	.X(n_15197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g356014 (
-	.A(n_8021),
-	.Y(n_8020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356015 (
-	.A1(n_16932),
-	.A2(n_6831),
-	.B1(n_7823),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [18]),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g356016 (
-	.A_N(n_7987),
-	.B(n_7779),
-	.Y(n_8019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356017 (
-	.A(n_6945),
-	.B(n_7989),
-	.Y(n_8018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356018 (
-	.A1(n_16933),
-	.A2(n_6831),
-	.B1(n_7823),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [19]),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g356019 (
-	.A1(n_7826),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]),
-	.B1(n_7640),
-	.B2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[14]),
-	.C1(n_7953),
-	.Y(n_8017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356020 (
-	.A1(n_16934),
-	.A2(n_6831),
-	.B1(n_7823),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [20]),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356021 (
-	.A(n_7989),
-	.B(n_7825),
-	.Y(n_8016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g356022 (
-	.A(n_7948),
-	.B(n_7805),
-	.C(n_7734),
-	.Y(n_8015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g356023 (
-	.A(n_7946),
-	.B(n_7801),
-	.C(n_7720),
-	.Y(n_8014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g356024 (
-	.A(n_7945),
-	.B(n_7799),
-	.C(n_7872),
-	.Y(n_8013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356025 (
-	.A1(n_16935),
-	.A2(n_6831),
-	.B1(n_7823),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [21]),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g356026 (
-	.A1(n_7741),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [12]),
-	.B1(n_7826),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]),
-	.C1(n_7804),
-	.Y(n_8012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356027 (
-	.A1(n_16936),
-	.A2(n_6831),
-	.B1(n_7823),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [22]),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356028 (
-	.A(n_7986),
-	.B(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
-	.Y(n_8011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g356029 (
-	.A(n_7873),
-	.B(n_7803),
-	.C(n_7874),
-	.Y(n_8010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g356030 (
-	.A(n_7854),
-	.B(n_7855),
-	.C(n_7787),
-	.Y(n_8009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356031 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]),
-	.A2(n_7826),
-	.B1(n_7566),
-	.B2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[4]),
-	.C1(n_7741),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [4]),
-	.Y(n_8008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356032 (
-	.A1(n_16943),
-	.A2(n_6831),
-	.B1(n_7829),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][6] ),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356033 (
-	.A1(n_16942),
-	.A2(n_6831),
-	.B1(n_7829),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][5] ),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356034 (
-	.A1(n_16941),
-	.A2(n_6831),
-	.B1(n_7829),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][4] ),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356035 (
-	.A1(n_16940),
-	.A2(n_6831),
-	.B1(n_7829),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [26]),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356036 (
-	.A1(n_16939),
-	.A2(n_6831),
-	.B1(n_7829),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][2] ),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356037 (
-	.A1(n_16938),
-	.A2(n_6831),
-	.B1(n_7829),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][1] ),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356038 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][0] ),
-	.A2(n_7829),
-	.B1(n_16937),
-	.B2(n_6831),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_info[0][is_normal] ),
-	.C2(n_15170),
-	.Y(n_8007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356039 (
-	.A(n_7990),
-	.B(n_6834),
-	.Y(n_15067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356040 (
-	.A(n_7959),
-	.B(n_7343),
-	.Y(n_8026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g356041 (
-	.A1(\soc_top_u_top_u_core_fp_operands[2] [0]),
-	.A2(n_7887),
-	.B1(n_7766),
-	.Y(n_8025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356042 (
-	.A(n_6836),
-	.B(n_7888),
-	.Y(n_15070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356043 (
-	.A(n_6836),
-	.B(n_6834),
-	.Y(n_15069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356044 (
-	.A(n_7969),
-	.B(n_7765),
-	.Y(n_8024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356045 (
-	.A(n_7990),
-	.B(n_7888),
-	.Y(n_15071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g356046 (
-	.A1(n_15096),
-	.A2(n_6987),
-	.B1_N(n_7977),
-	.Y(n_8023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356047 (
-	.A(n_7968),
-	.B(n_7765),
-	.Y(n_8022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g356048 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[1][is_zero] ),
-	.B(n_7993),
-	.X(n_8021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g356049 (
-	.A(n_8004),
-	.Y(n_8005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356050 (
-	.A1(n_16930),
-	.A2(n_6831),
-	.B1(n_7823),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [16]),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356051 (
-	.A1(n_16929),
-	.A2(n_6831),
-	.B1(n_7823),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [15]),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356052 (
-	.A1(n_16928),
-	.A2(n_6831),
-	.B1(n_7823),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [14]),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356053 (
-	.A1(n_16926),
-	.A2(n_6831),
-	.B1(n_7823),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [12]),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356054 (
-	.A1(n_16927),
-	.A2(n_6831),
-	.B1(n_7823),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [13]),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356055 (
-	.A1(n_16925),
-	.A2(n_6831),
-	.B1(n_7823),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [11]),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356056 (
-	.A1(n_16924),
-	.A2(n_6831),
-	.B1(n_7823),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [10]),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356057 (
-	.A1(n_16923),
-	.A2(n_6831),
-	.B1(n_7823),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [9]),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356058 (
-	.A1(n_16922),
-	.A2(n_6831),
-	.B1(n_7823),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [8]),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356059 (
-	.A1(n_16921),
-	.A2(n_6831),
-	.B1(n_7823),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [7]),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356060 (
-	.A1(n_16920),
-	.A2(n_6831),
-	.B1(n_7823),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [6]),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356061 (
-	.A1(n_16919),
-	.A2(n_6831),
-	.B1(n_7823),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [5]),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356062 (
-	.A1(n_6831),
-	.A2(n_16918),
-	.B1(n_7823),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [4]),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356063 (
-	.A1(n_6831),
-	.A2(n_16917),
-	.B1(n_7823),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [3]),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356064 (
-	.A1(n_6831),
-	.A2(n_16916),
-	.B1(n_7823),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [2]),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356065 (
-	.A1(n_6831),
-	.A2(n_16915),
-	.B1(n_7823),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [1]),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4b_1 g356066 (
-	.A(n_7097),
-	.B(n_7672),
-	.C(n_15059),
-	.D_N(n_7748),
-	.Y(n_8002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a311oi_1 g356067 (
-	.A1(n_7601),
-	.A2(soc_top_u_top_u_core_alu_operand_b_ex[10]),
-	.A3(soc_top_u_top_u_core_alu_operand_b_ex[4]),
-	.B1(n_7597),
-	.C1(n_7898),
-	.Y(n_8001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356068 (
-	.A1(n_16945),
-	.A2(n_6831),
-	.B1(n_7829),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [31]),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356069 (
-	.A1(n_16944),
-	.A2(n_6831),
-	.B1(n_7829),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [30]),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g356070 (
-	.A1(FE_DBTN14_soc_top_u_top_u_core_lsu_wdata_23),
-	.A2(n_6902),
-	.B1(n_7825),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[1][is_subnormal] ),
-	.Y(n_8000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356075 (
-	.A1(n_7913),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
-	.B1(n_7816),
-	.B2(n_15412),
-	.X(n_15762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g356076 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[0][is_nan] ),
-	.A2(n_7825),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[2][is_nan] ),
-	.B2(n_7887),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[1][is_nan] ),
-	.Y(n_7995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g356077 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[0][is_signalling] ),
-	.A2(n_7825),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[2][is_signalling] ),
-	.B2(n_7887),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[1][is_signalling] ),
-	.Y(n_7994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356078 (
-	.A1(n_7913),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
-	.B1(n_7816),
-	.B2(n_15411),
-	.X(n_15761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356079 (
-	.A1(n_7913),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
-	.B1(n_7816),
-	.B2(n_15413),
-	.X(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356080 (
-	.A1(n_16931),
-	.A2(n_6831),
-	.B1(n_7823),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [17]),
-	.C1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g356081 (
-	.A1(n_7884),
-	.A2(n_7770),
-	.B1(n_7807),
-	.Y(n_8006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g356082 (
-	.A1_N(n_7193),
-	.A2_N(n_6899),
-	.B1(n_7193),
-	.B2(n_6899),
-	.Y(n_8004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g356083 (
-	.A1(FE_DBTN19_soc_top_u_top_u_core_lsu_wdata_30),
-	.A2(n_7915),
-	.B1(n_6904),
-	.Y(n_8003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g356084 (
-	.A(n_7955),
-	.B(n_7765),
-	.C(n_7340),
-	.Y(n_16621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g356086 (
-	.A(n_7984),
-	.Y(n_7983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g356089 (
-	.A(n_6903),
-	.Y(n_7981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g356090 (
-	.A(n_7978),
-	.Y(n_7979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g356091 (
-	.A1(n_7741),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]),
-	.B1(n_7739),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]),
-	.C1(n_7835),
-	.Y(n_7976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g356093 (
-	.A1(n_7741),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]),
-	.B1(n_7739),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]),
-	.C1(n_7838),
-	.Y(n_7974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g356095 (
-	.A1(n_7741),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]),
-	.B1(n_7739),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]),
-	.C1(n_7879),
-	.Y(n_7973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g356096 (
-	.A1(n_7741),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [24]),
-	.B1(n_7739),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [24]),
-	.C1(n_7832),
-	.Y(n_7972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356097 (
-	.A(n_7773),
-	.B(n_7230),
-	.Y(n_7971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g356098 (
-	.A1(n_7758),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[29]),
-	.B1(n_7738),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[29]),
-	.C1(n_7785),
-	.Y(n_7970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356099 (
-	.A(\soc_top_u_top_u_core_fp_operands[2] [23]),
-	.B(n_7887),
-	.Y(n_7969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356100 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[2][is_normal] ),
-	.B(n_7887),
-	.Y(n_7968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g356101 (
-	.A1(n_7741),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]),
-	.B1(n_7739),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]),
-	.C1(n_7886),
-	.Y(n_7967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356109 (
-	.A(n_6899),
-	.B(n_6945),
-	.Y(n_7959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g356110 (
-	.A_N(n_16655),
-	.B(n_16961),
-	.Y(n_15021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g356111 (
-	.A1(n_7740),
-	.A2(soc_top_u_top_u_core_csr_depc[1]),
-	.B1(n_7767),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]),
-	.C1(n_7858),
-	.Y(n_7958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g356112 (
-	.A1(n_7660),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q[2]),
-	.B1(n_7758),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[2]),
-	.C1(n_7792),
-	.Y(n_7957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g356113 (
-	.A1(n_7741),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [5]),
-	.B1(n_7739),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [5]),
-	.C1(n_7861),
-	.Y(n_7956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356114 (
-	.A(n_7812),
-	.B(n_7887),
-	.Y(n_7955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356115 (
-	.A(n_16638),
-	.B(n_7817),
-	.Y(n_7954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356116 (
-	.A(n_7901),
-	.B(n_7765),
-	.Y(n_7993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356118 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[2][is_inf] ),
-	.B(n_7887),
-	.Y(n_7992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356119 (
-	.A(n_7751),
-	.B(n_7817),
-	.Y(n_7991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356120 (
-	.A(n_7377),
-	.B(n_6835),
-	.Y(n_7990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356121 (
-	.A(n_6902),
-	.B(FE_DBTN14_soc_top_u_top_u_core_lsu_wdata_23),
-	.Y(n_7989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356122 (
-	.A(\soc_top_u_top_u_core_fp_operands[2] [27]),
-	.B(n_7887),
-	.Y(n_7988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356123 (
-	.A(n_7917),
-	.B(n_7751),
-	.Y(n_7987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g356124 (
-	.A(n_7105),
-	.B(n_7892),
-	.X(n_7986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356125 (
-	.A(\soc_top_u_top_u_core_fp_operands[2] [30]),
-	.B(n_7887),
-	.Y(n_7985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356126 (
-	.A(\soc_top_u_top_u_core_fp_operands[2] [24]),
-	.B(n_7887),
-	.Y(n_7984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356127 (
-	.A(\soc_top_u_top_u_core_fp_operands[2] [25]),
-	.B(n_7887),
-	.Y(n_7982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356128 (
-	.A(n_7915),
-	.B(FE_DBTN19_soc_top_u_top_u_core_lsu_wdata_30),
-	.Y(n_6904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356129 (
-	.A(\soc_top_u_top_u_core_fp_operands[2] [26]),
-	.B(n_7887),
-	.Y(n_6903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356130 (
-	.A(\soc_top_u_top_u_core_fp_operands[2] [29]),
-	.B(n_7887),
-	.Y(n_7980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g356131 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[1][is_inf] ),
-	.B(n_7916),
-	.X(n_16212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356132 (
-	.A(\soc_top_u_top_u_core_fp_operands[2] [28]),
-	.B(n_7887),
-	.Y(n_7978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g356133 (
-	.A1(\soc_top_u_top_u_core_fp_operands[0] [31]),
-	.A2(n_7819),
-	.B1(n_7828),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g356134 (
-	.A1(n_15094),
-	.A2(n_6987),
-	.B1_N(n_7913),
-	.Y(n_7977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g356135 (
-	.A(n_7942),
-	.Y(n_7953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356136 (
-	.A1(n_7815),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]),
-	.B1(n_7659),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [15]),
-	.Y(n_7950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g356137 (
-	.A1(n_7759),
-	.A2(soc_top_u_top_u_core_csr_mtvec[3]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[3]),
-	.C1(n_7890),
-	.Y(n_7949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356138 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]),
-	.A2(n_7739),
-	.B1(n_7758),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[14]),
-	.C1(n_7826),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]),
-	.Y(n_7948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356139 (
-	.A1(soc_top_u_top_u_core_csr_mtvec[13]),
-	.A2(n_7759),
-	.B1(n_7566),
-	.B2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[13]),
-	.C1(n_7826),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]),
-	.Y(n_7947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356140 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]),
-	.A2(n_7739),
-	.B1(n_7758),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[10]),
-	.C1(n_7826),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]),
-	.Y(n_7946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356141 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]),
-	.A2(n_7739),
-	.B1(n_7758),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[9]),
-	.C1(n_7826),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]),
-	.Y(n_7945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356142 (
-	.A1(soc_top_u_top_u_core_cs_registers_i_mscratch_q[8]),
-	.A2(n_7566),
-	.B1(n_7659),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [8]),
-	.C1(n_7815),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]),
-	.Y(n_7944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356143 (
-	.A1(soc_top_u_top_u_core_cs_registers_i_mscratch_q[25]),
-	.A2(n_7566),
-	.B1(n_7640),
-	.B2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[9]),
-	.C1(n_7826),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [57]),
-	.Y(n_7943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356144 (
-	.A1(soc_top_u_top_u_core_cs_registers_i_mscratch_q[30]),
-	.A2(n_7566),
-	.B1(n_7659),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [30]),
-	.C1(n_7815),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]),
-	.Y(n_7942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356145 (
-	.A1(soc_top_u_top_u_core_cs_registers_i_mscratch_q[28]),
-	.A2(n_7566),
-	.B1(n_7659),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [28]),
-	.C1(n_7815),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [60]),
-	.Y(n_7941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356146 (
-	.A1(soc_top_u_top_u_core_cs_registers_i_mscratch_q[27]),
-	.A2(n_7566),
-	.B1(n_7640),
-	.B2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[11]),
-	.C1(n_7826),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]),
-	.Y(n_7940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356147 (
-	.A1(soc_top_u_top_u_core_cs_registers_i_mscratch_q[26]),
-	.A2(n_7566),
-	.B1(n_7659),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [26]),
-	.C1(n_7815),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]),
-	.Y(n_7939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356148 (
-	.A1(soc_top_u_top_u_core_cs_registers_i_mscratch_q[20]),
-	.A2(n_7566),
-	.B1(n_7640),
-	.B2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[4]),
-	.C1(n_7826),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]),
-	.Y(n_7938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356149 (
-	.A1(soc_top_u_top_u_core_cs_registers_i_mscratch_q[16]),
-	.A2(n_7566),
-	.B1(n_7640),
-	.B2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[0]),
-	.C1(n_7826),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]),
-	.Y(n_7937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356150 (
-	.A1(soc_top_u_top_u_core_csr_mtvec[15]),
-	.A2(n_7759),
-	.B1(n_7566),
-	.B2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[15]),
-	.C1(n_7826),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]),
-	.Y(n_7936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356151 (
-	.A(n_7822),
-	.B(n_7817),
-	.Y(n_7935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356152 (
-	.A1(n_7815),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]),
-	.B1(n_7659),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [13]),
-	.Y(n_7934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356153 (
-	.A1(n_7741),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]),
-	.B1(n_7826),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]),
-	.Y(n_7933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356154 (
-	.A1(n_7826),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]),
-	.B1(n_7759),
-	.B2(soc_top_u_top_u_core_csr_mtvec[8]),
-	.Y(n_7932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356155 (
-	.A1(n_7815),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]),
-	.B1(n_7826),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]),
-	.Y(n_7931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356156 (
-	.A1(n_7741),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]),
-	.B1(n_7826),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]),
-	.Y(n_7930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356157 (
-	.A1(n_7815),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]),
-	.B1(n_7664),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_fflags_q[NV] ),
-	.Y(n_7929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356158 (
-	.A1(n_7826),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]),
-	.B1(n_7659),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [3]),
-	.Y(n_7928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356159 (
-	.A1(n_7826),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]),
-	.B1(n_7659),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [2]),
-	.Y(n_7927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356160 (
-	.A1(n_7826),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]),
-	.B1(n_7744),
-	.B2(soc_top_u_top_u_core_fp_frm_csr[0]),
-	.Y(n_7926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356161 (
-	.A1(n_7826),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [60]),
-	.B1(n_7640),
-	.B2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[12]),
-	.Y(n_7925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356162 (
-	.A1(n_7815),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]),
-	.B1(n_7659),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [16]),
-	.Y(n_7924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356163 (
-	.A1(n_6830),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
-	.B1(n_7816),
-	.B2(n_15420),
-	.X(n_15770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g356164 (
-	.A1_N(n_15418),
-	.A2_N(n_7816),
-	.B1(n_7003),
-	.B2(n_7771),
-	.Y(n_15768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g356165 (
-	.A1_N(n_15419),
-	.A2_N(n_7816),
-	.B1(n_6998),
-	.B2(n_7771),
-	.Y(n_15769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356166 (
-	.A1(n_7815),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]),
-	.B1(n_7659),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [27]),
-	.Y(n_7923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g356167 (
-	.A1_N(n_15417),
-	.A2_N(n_7816),
-	.B1(n_7002),
-	.B2(n_7771),
-	.Y(n_15767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356168 (
-	.A1(n_7818),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
-	.B1(n_7816),
-	.B2(n_15416),
-	.X(n_15766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356169 (
-	.A1(n_7826),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]),
-	.B1(n_7640),
-	.B2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[10]),
-	.Y(n_7922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356170 (
-	.A1(n_7818),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
-	.B1(n_7816),
-	.B2(n_15415),
-	.X(n_15765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356171 (
-	.A1(n_7815),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [57]),
-	.B1(n_7659),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [25]),
-	.Y(n_7921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356172 (
-	.A1(n_6830),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
-	.B1(n_7816),
-	.B2(n_15421),
-	.X(n_15771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356173 (
-	.A1(n_7818),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
-	.B1(n_7816),
-	.B2(n_15414),
-	.X(n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356174 (
-	.A1(n_7741),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]),
-	.B1(n_7826),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]),
-	.Y(n_7920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356175 (
-	.A1(n_6830),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
-	.B1(n_7816),
-	.B2(n_15422),
-	.X(n_15772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356176 (
-	.A1(n_7815),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]),
-	.B1(n_7659),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [20]),
-	.Y(n_7919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356177 (
-	.A1(n_7815),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]),
-	.B1(n_6826),
-	.B2(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[17]),
-	.Y(n_7918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356178 (
-	.A(n_6899),
-	.B(n_7499),
-	.Y(n_7952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g356179 (
-	.A1_N(n_7790),
-	.A2_N(n_17133),
-	.B1(n_17133),
-	.B2(\soc_top_lsu_to_xbar[a_address] [31]),
-	.Y(n_7951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g356180 (
-	.A(n_7817),
-	.Y(n_7917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g356184 (
-	.A(n_6902),
-	.Y(n_7912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g356186 (
-	.A(n_14995),
-	.Y(n_7911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g356187 (
-	.A(n_7910),
-	.Y(n_14996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356188 (
-	.A(n_7815),
-	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]),
-	.Y(n_7909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356189 (
-	.A(n_7815),
-	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]),
-	.Y(n_7908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356190 (
-	.A(n_7826),
-	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [56]),
-	.Y(n_7907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356191 (
-	.A(n_7815),
-	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]),
-	.Y(n_7906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356192 (
-	.A(n_7815),
-	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]),
-	.Y(n_7905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356193 (
-	.A(n_7815),
-	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]),
-	.Y(n_7904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356194 (
-	.A(n_7826),
-	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]),
-	.Y(n_7903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g356195 (
-	.A(n_7824),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[0][is_normal] ),
-	.X(n_16620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356197 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]),
-	.A2(n_7739),
-	.B1(n_6826),
-	.B2(soc_top_u_top_u_core_csr_mstatus_mie),
-	.C1(n_7758),
-	.C2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[3]),
-	.Y(n_7902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g356198 (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [0]),
-	.B(n_7827),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356199 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[0][is_zero] ),
-	.B(n_7825),
-	.Y(n_7901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356200 (
-	.A(n_7815),
-	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]),
-	.Y(n_7900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356201 (
-	.A(n_7826),
-	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]),
-	.Y(n_7899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g356202 (
-	.A1(n_7265),
-	.A2(n_7578),
-	.B1(n_7730),
-	.C1(n_7733),
-	.D1(n_7700),
-	.Y(n_7898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356203 (
-	.A(n_7815),
-	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]),
-	.Y(n_7897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356204 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [22]),
-	.B(n_7825),
-	.X(n_16619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356205 (
-	.A(n_7815),
-	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]),
-	.Y(n_7896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356206 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [21]),
-	.B(n_7825),
-	.X(n_16618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356207 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [20]),
-	.B(n_7825),
-	.X(n_16617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356208 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [19]),
-	.B(n_7825),
-	.X(n_16616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356209 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [17]),
-	.B(n_7825),
-	.X(n_16614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356210 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [18]),
-	.B(n_7825),
-	.X(n_16615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356211 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [16]),
-	.B(n_7825),
-	.X(n_16613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356212 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [15]),
-	.B(n_7825),
-	.X(n_16612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356213 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [14]),
-	.B(n_7825),
-	.X(n_16611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356214 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [13]),
-	.B(n_7825),
-	.X(n_16610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356215 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [12]),
-	.B(n_7825),
-	.X(n_16609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356216 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [11]),
-	.B(n_7825),
-	.X(n_16608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356217 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [10]),
-	.B(n_7825),
-	.X(n_16607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356218 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [9]),
-	.B(n_7825),
-	.X(n_16606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356219 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [8]),
-	.B(n_7825),
-	.X(n_16605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356220 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [7]),
-	.B(n_7825),
-	.X(n_16604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356221 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [6]),
-	.B(n_7825),
-	.X(n_16603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356222 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [5]),
-	.B(n_7825),
-	.X(n_16602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356223 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [4]),
-	.B(n_7825),
-	.X(n_16601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g356224 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [3]),
-	.B(n_7825),
-	.X(n_16600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356226 (
-	.A(n_7815),
-	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]),
-	.Y(n_7895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356229 (
-	.A(n_7826),
-	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]),
-	.Y(n_7893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g356230 (
-	.A1(n_14869),
-	.A2(\soc_top_xbar_to_lsu[d_valid] ),
-	.B1(n_7813),
-	.Y(n_7892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g356232 (
-	.A1(n_7741),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]),
-	.B1(n_7739),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]),
-	.C1(n_7781),
-	.Y(n_7891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356233 (
-	.A1(n_7738),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[3]),
-	.B1(n_7740),
-	.B2(soc_top_u_top_u_core_csr_depc[3]),
-	.C1(n_7716),
-	.X(n_7890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356235 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[0][is_inf] ),
-	.B(n_7825),
-	.X(n_7916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356236 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [30]),
-	.B(n_7825),
-	.Y(n_7915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g356237 (
-	.A1(n_7633),
-	.A2(n_7416),
-	.B1(n_7695),
-	.C1(n_7694),
-	.D1(n_7752),
-	.Y(n_7914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356238 (
-	.A(n_6833),
-	.B(n_7770),
-	.Y(n_16967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g356239 (
-	.A(soc_top_u_top_u_core_load_store_unit_i_n_753),
-	.B(n_15062),
-	.C(n_15061),
-	.D(n_18215),
-	.X(n_15059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356242 (
-	.A(n_7830),
-	.B(n_7666),
-	.Y(n_15044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g356243 (
-	.A1(n_15097),
-	.A2(n_6987),
-	.B1_N(n_7818),
-	.Y(n_7913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356244 (
-	.A(n_7824),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][0] ),
-	.Y(n_6902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356245 (
-	.A(soc_top_instr_addr[10]),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(n_14995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356246 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_instr_addr[10]),
-	.Y(n_7910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g356247 (
-	.A(n_6834),
-	.Y(n_7888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356248 (
-	.A1(n_7758),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[31]),
-	.B1(n_7738),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[31]),
-	.X(n_7886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356249 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [0]),
-	.A2(n_7739),
-	.B1(n_7664),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_fflags_q[NX] ),
-	.C1(n_7741),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [0]),
-	.Y(n_7885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g356250 (
-	.A(n_17131),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [31]),
-	.X(n_7884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g356251 (
-	.A(n_7724),
-	.B(n_7722),
-	.C(n_7723),
-	.D(n_7761),
-	.Y(n_7883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356252 (
-	.A1(n_7758),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[15]),
-	.B1(n_7738),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[15]),
-	.Y(n_7882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g356253 (
-	.A1(n_7751),
-	.A2(n_7772),
-	.B1(n_16647),
-	.B2(n_7762),
-	.Y(n_7881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356254 (
-	.A1(n_7758),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[13]),
-	.B1(n_7738),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[13]),
-	.Y(n_7880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356255 (
-	.A1(n_7758),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[22]),
-	.B1(n_7738),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[22]),
-	.X(n_7879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356256 (
-	.A1(n_7759),
-	.A2(soc_top_u_top_u_core_csr_mtvec[12]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[12]),
-	.Y(n_7878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356257 (
-	.A1(n_7739),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [12]),
-	.B1(n_7758),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[12]),
-	.Y(n_7877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356258 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[12]),
-	.B1(n_7767),
-	.B2(soc_top_u_top_u_core_debug_ebreaku),
-	.Y(n_7876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356259 (
-	.A1(n_7759),
-	.A2(soc_top_u_top_u_core_csr_mtvec[11]),
-	.B1(n_7566),
-	.B2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[11]),
-	.Y(n_7875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356260 (
-	.A1(n_7610),
-	.A2(soc_top_intr_req),
-	.B1(n_7767),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[stepie] ),
-	.Y(n_7874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356261 (
-	.A1(n_7739),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]),
-	.B1(n_7758),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[11]),
-	.Y(n_7873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356262 (
-	.A1(n_7759),
-	.A2(soc_top_u_top_u_core_csr_mtvec[9]),
-	.B1(n_7566),
-	.B2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[9]),
-	.Y(n_7872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356263 (
-	.A1(n_7759),
-	.A2(soc_top_u_top_u_core_csr_mtvec[22]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[22]),
-	.Y(n_7871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356264 (
-	.A1(n_7740),
-	.A2(soc_top_u_top_u_core_csr_depc[8]),
-	.B1(n_7767),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [2]),
-	.Y(n_7870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356265 (
-	.A1(n_7759),
-	.A2(soc_top_u_top_u_core_csr_mtvec[7]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[7]),
-	.Y(n_7869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356266 (
-	.A1(n_7740),
-	.A2(soc_top_u_top_u_core_csr_depc[7]),
-	.B1(n_7767),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [1]),
-	.Y(n_7868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356267 (
-	.A1(n_7758),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[7]),
-	.B1(n_7738),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[7]),
-	.Y(n_7867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356268 (
-	.A1(n_7759),
-	.A2(soc_top_u_top_u_core_csr_mtvec[6]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[6]),
-	.Y(n_7866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356269 (
-	.A1(n_7739),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]),
-	.B1(n_7758),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[6]),
-	.Y(n_7865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356270 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[6]),
-	.B1(n_7767),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [0]),
-	.Y(n_7864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356271 (
-	.A1(n_7759),
-	.A2(soc_top_u_top_u_core_csr_mtvec[23]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[23]),
-	.Y(n_7863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356272 (
-	.A1(n_7759),
-	.A2(soc_top_u_top_u_core_csr_mtvec[5]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[5]),
-	.Y(n_7862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356273 (
-	.A1(n_7758),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[5]),
-	.B1(n_7738),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[5]),
-	.X(n_7861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356274 (
-	.A1(n_7759),
-	.A2(soc_top_u_top_u_core_csr_mtvec[4]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[4]),
-	.Y(n_7860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356275 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[2]),
-	.B1(n_7767),
-	.B2(soc_top_u_top_u_core_debug_single_step),
-	.Y(n_7859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356276 (
-	.A1(n_7758),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[1]),
-	.B1(n_7738),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[1]),
-	.X(n_7858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356277 (
-	.A1(n_7738),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[0]),
-	.B1(n_7767),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]),
-	.Y(n_7857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356278 (
-	.A1(soc_top_u_top_u_core_cs_registers_i_mcause_q[0]),
-	.A2(n_7568),
-	.B1(n_7566),
-	.B2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[0]),
-	.C1(n_7758),
-	.C2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[0]),
-	.Y(n_7856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356279 (
-	.A1(n_7759),
-	.A2(soc_top_u_top_u_core_csr_mtvec[30]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[30]),
-	.Y(n_7855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356280 (
-	.A1(n_7758),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[30]),
-	.B1(n_7738),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[30]),
-	.Y(n_7854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356281 (
-	.A1(n_7759),
-	.A2(soc_top_u_top_u_core_csr_mtvec[25]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[25]),
-	.Y(n_7853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356282 (
-	.A1(n_7759),
-	.A2(soc_top_u_top_u_core_csr_mtvec[29]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[29]),
-	.Y(n_7852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356283 (
-	.A1(n_7759),
-	.A2(soc_top_u_top_u_core_csr_mtvec[28]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[28]),
-	.Y(n_7851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356284 (
-	.A1(n_7758),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[28]),
-	.B1(n_7738),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[28]),
-	.Y(n_7850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356285 (
-	.A1(n_7759),
-	.A2(soc_top_u_top_u_core_csr_mtvec[26]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[26]),
-	.Y(n_7849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356286 (
-	.A1(n_7758),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[16]),
-	.B1(n_7738),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[16]),
-	.Y(n_7848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356287 (
-	.A1(n_7759),
-	.A2(soc_top_u_top_u_core_csr_mtvec[24]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[24]),
-	.Y(n_7847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356288 (
-	.A1(n_7758),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[25]),
-	.B1(n_7738),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[25]),
-	.Y(n_7846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356289 (
-	.A1(n_7758),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[23]),
-	.B1(n_7738),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[23]),
-	.Y(n_7845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356290 (
-	.A1(n_7759),
-	.A2(soc_top_u_top_u_core_csr_mtvec[27]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[27]),
-	.Y(n_7844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356291 (
-	.A1(n_7759),
-	.A2(soc_top_u_top_u_core_csr_mtvec[21]),
-	.B1(n_7566),
-	.B2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[21]),
-	.Y(n_7843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356292 (
-	.A1(n_7739),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]),
-	.B1(n_7758),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[21]),
-	.Y(n_7842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356293 (
-	.A1(n_7759),
-	.A2(soc_top_u_top_u_core_csr_mtvec[20]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[20]),
-	.Y(n_7841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356294 (
-	.A1(n_16633),
-	.A2(n_7661),
-	.B1(n_7724),
-	.B2(n_7751),
-	.X(n_7840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356295 (
-	.A1(n_7759),
-	.A2(soc_top_u_top_u_core_csr_mtvec[19]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[19]),
-	.Y(n_7839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356296 (
-	.A1(n_7758),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[19]),
-	.B1(n_7738),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[19]),
-	.X(n_7838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356297 (
-	.A1(n_7759),
-	.A2(soc_top_u_top_u_core_csr_mtvec[18]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[18]),
-	.Y(n_7837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356298 (
-	.A1(n_7759),
-	.A2(soc_top_u_top_u_core_csr_mtvec[16]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[16]),
-	.Y(n_7836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356299 (
-	.A1(n_7758),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[18]),
-	.B1(n_7738),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[18]),
-	.X(n_7835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356300 (
-	.A1(n_7759),
-	.A2(soc_top_u_top_u_core_csr_mtvec[17]),
-	.B1(n_7566),
-	.B2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[17]),
-	.Y(n_7834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356301 (
-	.A1(n_7739),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]),
-	.B1(n_7758),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[17]),
-	.Y(n_7833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356302 (
-	.A1(n_7758),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[24]),
-	.B1(n_7738),
-	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[24]),
-	.X(n_7832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g356303 (
-	.A1(n_7751),
-	.A2(n_7778),
-	.B1(n_7661),
-	.B2(n_15025),
-	.Y(n_16629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g356304 (
-	.A1(n_7751),
-	.A2(n_7776),
-	.B1(n_7661),
-	.B2(n_15143),
-	.Y(n_16630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g356305 (
-	.A(n_16635),
-	.B(n_16636),
-	.C(n_16637),
-	.X(n_7889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g356307 (
-	.A1(n_7765),
-	.A2(n_7770),
-	.B1(n_6988),
-	.X(n_7887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 g356308 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_114),
-	.Y(n_16593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g356311 (
-	.A(n_7828),
-	.Y(n_7827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g356313 (
-	.A(n_7825),
-	.Y(n_7824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g356314 (
-	.A(n_6831),
-	.Y(n_7823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g356316 (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[1][is_normal] ),
-	.B(n_7765),
-	.Y(n_16596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356317 (
-	.A(n_7754),
-	.B(soc_top_u_spi_host_spi_host_ctrl[14]),
-	.X(soc_top_u_spi_host_spi_host_n_186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356318 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [16]),
-	.B(n_7765),
-	.X(n_16589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g356319 (
-	.A_N(soc_top_u_top_u_core_lsu_wdata[0]),
-	.B(n_7765),
-	.Y(n_16573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356320 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [8]),
-	.B(n_7765),
-	.X(n_16581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356321 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [10]),
-	.B(n_7765),
-	.X(n_16583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g356322 (
-	.A_N(n_15050),
-	.B(n_16643),
-	.Y(n_7822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356323 (
-	.A(n_7766),
-	.B(FE_DBTN13_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_mantissa_22),
-	.Y(n_16595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356324 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [6]),
-	.B(n_7765),
-	.X(n_16579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g356325 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [2]),
-	.B(n_7765),
-	.X(n_16575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356326 (
-	.A(n_7766),
-	.B(n_531),
-	.Y(n_16594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356327 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [20]),
-	.B(n_7765),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356328 (
-	.A(n_7766),
-	.B(n_7018),
-	.Y(n_16592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356329 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [18]),
-	.B(n_7765),
-	.X(n_16591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356330 (
-	.A(soc_top_u_top_u_core_lsu_wdata[17]),
-	.B(n_7765),
-	.X(n_16590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356331 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [15]),
-	.B(n_7765),
-	.X(n_16588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g356332 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [14]),
-	.B(n_7765),
-	.X(n_16587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g356333 (
-	.A(soc_top_u_top_u_core_lsu_wdata[13]),
-	.B(n_7765),
-	.X(n_16586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356334 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [12]),
-	.B(n_7765),
-	.X(n_16585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356335 (
-	.A(n_7759),
-	.B(soc_top_u_top_u_core_csr_mtvec[2]),
-	.Y(n_7820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356336 (
-	.A(n_7766),
-	.B(n_18263),
-	.Y(n_16582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356337 (
-	.A(n_7766),
-	.B(n_437),
-	.Y(n_16578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356338 (
-	.A(n_7766),
-	.B(n_18281),
-	.Y(n_16577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356339 (
-	.A(n_7766),
-	.B(n_6954),
-	.Y(n_16576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356340 (
-	.A(n_7766),
-	.B(n_532),
-	.Y(n_16574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356341 (
-	.A(n_7766),
-	.B(n_18278),
-	.Y(n_16580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356342 (
-	.A(n_0),
-	.B(n_7749),
-	.Y(n_15257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356343 (
-	.A(n_0),
-	.B(soc_top_u_top_u_core_fp_alu_op_mod),
-	.Y(n_7819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356344 (
-	.A(n_15090),
-	.B(n_15099),
-	.Y(n_15235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g356345 (
-	.A(n_15081),
-	.B(n_15083),
-	.X(n_15079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356348 (
-	.A(n_7330),
-	.B(n_7765),
-	.Y(n_7831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356349 (
-	.A(n_7742),
-	.B(n_7770),
-	.Y(n_7830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356350 (
-	.A(n_15170),
-	.B(n_7678),
-	.Y(n_7829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356351 (
-	.A(n_7662),
-	.B(n_0),
-	.Y(n_7828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356352 (
-	.A(n_7265),
-	.B(n_7775),
-	.Y(n_7826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356353 (
-	.A(n_6988),
-	.B(n_7766),
-	.Y(n_7825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356356 (
-	.A1(n_7738),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[4]),
-	.B1(n_7740),
-	.B2(soc_top_u_top_u_core_csr_depc[4]),
-	.Y(n_7814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g356357 (
-	.A1(n_7280),
-	.A2(n_15251),
-	.A3(n_7283),
-	.B1(n_15083),
-	.Y(n_7813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g356358 (
-	.A(soc_top_u_top_u_core_fp_alu_op_mod),
-	.B(n_7725),
-	.Y(n_7812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356359 (
-	.A1(n_7738),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[17]),
-	.B1(n_7740),
-	.B2(soc_top_u_top_u_core_csr_depc[17]),
-	.Y(n_7811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356360 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[18]),
-	.B1(n_7740),
-	.B2(soc_top_u_top_u_core_csr_depc[18]),
-	.Y(n_7810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356361 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[19]),
-	.B1(n_7740),
-	.B2(soc_top_u_top_u_core_csr_depc[19]),
-	.Y(n_7809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g356362 (
-	.A(n_15125),
-	.B(n_15083),
-	.C_N(soc_top_u_top_u_core_load_store_unit_i_split_misaligned_access),
-	.Y(n_7808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g356363 (
-	.A1(n_7340),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_26 ),
-	.B1(n_7765),
-	.Y(n_7807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356364 (
-	.A1(n_7741),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]),
-	.B1(n_7659),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [14]),
-	.Y(n_7806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356365 (
-	.A1(n_7738),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[14]),
-	.B1(n_7740),
-	.B2(soc_top_u_top_u_core_csr_depc[14]),
-	.Y(n_7805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356366 (
-	.A1(n_7738),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[12]),
-	.B1(n_7740),
-	.B2(soc_top_u_top_u_core_csr_depc[12]),
-	.X(n_7804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356367 (
-	.A1(n_7738),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[11]),
-	.B1(n_7740),
-	.B2(soc_top_u_top_u_core_csr_depc[11]),
-	.Y(n_7803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356368 (
-	.A1(n_7741),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]),
-	.B1(n_7659),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [10]),
-	.Y(n_7802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356369 (
-	.A1(n_7738),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[10]),
-	.B1(n_7740),
-	.B2(soc_top_u_top_u_core_csr_depc[10]),
-	.Y(n_7801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356370 (
-	.A1(n_7741),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]),
-	.B1(n_7659),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [9]),
-	.Y(n_7800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356371 (
-	.A1(n_7738),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[9]),
-	.B1(n_7740),
-	.B2(soc_top_u_top_u_core_csr_depc[9]),
-	.Y(n_7799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356372 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[22]),
-	.B1(n_7740),
-	.B2(soc_top_u_top_u_core_csr_depc[22]),
-	.Y(n_7798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356373 (
-	.A1(n_7745),
-	.A2(soc_top_u_top_u_core_fp_frm_csr[1]),
-	.B1(n_7566),
-	.B2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[6]),
-	.Y(n_7797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356374 (
-	.A1(n_7738),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[6]),
-	.B1(n_7740),
-	.B2(soc_top_u_top_u_core_csr_depc[6]),
-	.Y(n_7796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356375 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[5]),
-	.B1(n_7740),
-	.B2(soc_top_u_top_u_core_csr_depc[5]),
-	.Y(n_7795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356376 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[20]),
-	.B1(n_7740),
-	.B2(soc_top_u_top_u_core_csr_depc[20]),
-	.Y(n_7794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356377 (
-	.A1(n_7741),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]),
-	.B1(n_7664),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_fflags_q[DZ] ),
-	.Y(n_7793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356378 (
-	.A1(n_7738),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[2]),
-	.B1(n_7740),
-	.B2(soc_top_u_top_u_core_csr_depc[2]),
-	.X(n_7792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356379 (
-	.A1(n_7741),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [1]),
-	.B1(n_7739),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [1]),
-	.Y(n_7791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g356380 (
-	.A1_N(n_7747),
-	.A2_N(n_15397),
-	.B1(n_7747),
-	.B2(n_15397),
-	.Y(n_7790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356381 (
-	.A1(n_7738),
-	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[21]),
-	.B1(n_7740),
-	.B2(soc_top_u_top_u_core_csr_depc[21]),
-	.Y(n_7789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356382 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[31]),
-	.B1(n_7740),
-	.B2(soc_top_u_top_u_core_csr_depc[31]),
-	.Y(n_7788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356383 (
-	.A1(n_7741),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]),
-	.B1(n_7739),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]),
-	.Y(n_7787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356384 (
-	.A1(n_7741),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [29]),
-	.B1(n_7739),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [29]),
-	.Y(n_7786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356385 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[29]),
-	.B1(n_7740),
-	.B2(soc_top_u_top_u_core_csr_depc[29]),
-	.X(n_7785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356386 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[27]),
-	.B1(n_7740),
-	.B2(soc_top_u_top_u_core_csr_depc[27]),
-	.Y(n_7784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356387 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[26]),
-	.B1(n_7740),
-	.B2(soc_top_u_top_u_core_csr_depc[26]),
-	.Y(n_7783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356388 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[24]),
-	.B1(n_7740),
-	.B2(soc_top_u_top_u_core_csr_depc[24]),
-	.Y(n_7782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356389 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[23]),
-	.B1(n_7740),
-	.B2(soc_top_u_top_u_core_csr_depc[23]),
-	.X(n_7781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356390 (
-	.A1(\soc_top_ifu_to_xbar[a_address] [12]),
-	.A2(n_7572),
-	.B1(FE_DBTN3_soc_top_prog_rst_ni),
-	.B2(soc_top_iccm_ctrl_addr_o[10]),
-	.X(soc_top_instr_addr[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g356391 (
-	.A1(n_16656),
-	.A2(n_15041),
-	.B1(n_15170),
-	.Y(n_16655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g356392 (
-	.A1(n_15095),
-	.A2(n_6987),
-	.B1(n_7771),
-	.Y(n_7818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g356393 (
-	.A1(n_7648),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [5]),
-	.B1(n_7773),
-	.Y(n_7817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356394 (
-	.A(n_7763),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
-	.Y(n_7816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356395 (
-	.A(n_7332),
-	.B(n_7775),
-	.Y(n_7815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g356396 (
-	.A(n_7772),
-	.Y(n_16628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g356399 (
-	.A(n_0),
-	.Y(n_15170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g356400 (
-	.A(n_7768),
-	.Y(n_7767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g356401 (
-	.A(n_7766),
-	.Y(n_7765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356402 (
-	.A(n_7741),
-	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]),
-	.Y(n_7764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356403 (
-	.A(n_15299),
-	.B(n_7727),
-	.Y(n_7763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356404 (
-	.A(n_7751),
-	.B(n_16644),
-	.Y(n_7762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g356405 (
-	.A1(n_7642),
-	.A2(n_7417),
-	.B1(n_7438),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
-	.C1(n_7686),
-	.Y(n_7780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356406 (
-	.A(n_7684),
-	.B(n_7685),
-	.Y(n_7779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356407 (
-	.A(n_7687),
-	.B(n_7699),
-	.Y(n_7778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g356408 (
-	.A1(n_7637),
-	.A2(n_7407),
-	.B1(n_7696),
-	.Y(n_7777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 g356409 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [30]),
-	.B(n_15091),
-	.X(n_15090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356410 (
-	.A(n_7688),
-	.B(n_7681),
-	.Y(n_7776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g356411 (
-	.A_N(n_7750),
-	.B(n_7291),
-	.Y(n_7775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g356412 (
-	.A1(n_7418),
-	.A2(n_7641),
-	.B1(n_7419),
-	.B2(n_7638),
-	.C1(n_7682),
-	.Y(n_16626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g356413 (
-	.A1(n_7406),
-	.A2(n_7641),
-	.B1(n_7408),
-	.B2(n_7638),
-	.C1(n_7679),
-	.Y(n_16627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g356414 (
-	.A1(n_7412),
-	.A2(n_7641),
-	.B1(n_7410),
-	.B2(n_7638),
-	.C1(n_7683),
-	.Y(n_16625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356415 (
-	.A(n_18417),
-	.B(n_7742),
-	.Y(n_7774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g356416 (
-	.A1(n_7412),
-	.A2(n_7636),
-	.B1_N(n_7693),
-	.Y(n_16637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g356417 (
-	.A1(n_7433),
-	.A2(n_7641),
-	.B1(n_7414),
-	.B2(n_7638),
-	.C1(n_7690),
-	.Y(n_16632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356418 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [5]),
-	.B(n_7648),
-	.Y(n_7773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g356419 (
-	.A1(n_7422),
-	.A2(n_7638),
-	.B1_N(n_7721),
-	.Y(n_16638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g356420 (
-	.A1(n_7633),
-	.A2(n_7413),
-	.B1(n_7635),
-	.B2(n_7416),
-	.C1(n_7692),
-	.Y(n_7772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g356421 (
-	.A1(n_7421),
-	.A2(n_7641),
-	.B1(n_7432),
-	.B2(n_7638),
-	.C1(n_7689),
-	.Y(n_16631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g356422 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
-	.A2(n_15300),
-	.B1(n_6830),
-	.Y(n_7771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356423 (
-	.A(n_7661),
-	.B(n_7582),
-	.Y(n_15050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4b_1 g356424 (
-	.A(n_7580),
-	.B(n_7560),
-	.C(n_7625),
-	.D_N(n_7340),
-	.Y(n_7770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356425 (
-	.A(n_7731),
-	.B(\soc_top_lsu_to_xbar[a_valid] ),
-	.Y(n_15083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356426 (
-	.A(n_7742),
-	.B(n_7666),
-	.Y(n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g356427 (
-	.A(n_7628),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[10]),
-	.C(soc_top_u_top_u_core_csr_addr[5]),
-	.D(soc_top_u_top_u_core_alu_operand_b_ex[4]),
-	.Y(n_7768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356428 (
-	.A(n_7666),
-	.B(n_7742),
-	.Y(n_7766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g356429 (
-	.A(n_16639),
-	.Y(n_7760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356431 (
-	.A1(n_7640),
-	.A2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[1]),
-	.B1(n_7659),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [17]),
-	.Y(n_7757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356432 (
-	.A1(n_7640),
-	.A2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[5]),
-	.B1(n_7659),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [21]),
-	.Y(n_7756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356433 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[4]),
-	.B1(n_7659),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [4]),
-	.Y(n_7755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356434 (
-	.A1(n_7644),
-	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[11]),
-	.B1(FE_DBTN3_soc_top_prog_rst_ni),
-	.B2(soc_top_iccm_ctrl_addr_o[9]),
-	.C1(n_7712),
-	.X(soc_top_instr_addr[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356435 (
-	.A1(n_7644),
-	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[10]),
-	.B1(FE_DBTN3_soc_top_prog_rst_ni),
-	.B2(soc_top_iccm_ctrl_addr_o[8]),
-	.C1(n_7711),
-	.X(soc_top_instr_addr[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356436 (
-	.A1(n_7644),
-	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[9]),
-	.B1(FE_DBTN3_soc_top_prog_rst_ni),
-	.B2(soc_top_iccm_ctrl_addr_o[7]),
-	.C1(n_7710),
-	.X(soc_top_instr_addr[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356437 (
-	.A1(n_7644),
-	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[8]),
-	.B1(FE_DBTN3_soc_top_prog_rst_ni),
-	.B2(soc_top_iccm_ctrl_addr_o[6]),
-	.C1(n_7709),
-	.X(soc_top_instr_addr[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356438 (
-	.A1(n_7644),
-	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[7]),
-	.B1(FE_DBTN3_soc_top_prog_rst_ni),
-	.B2(soc_top_iccm_ctrl_addr_o[5]),
-	.C1(n_7708),
-	.X(soc_top_instr_addr[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356439 (
-	.A1(n_7644),
-	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[6]),
-	.B1(FE_DBTN3_soc_top_prog_rst_ni),
-	.B2(soc_top_iccm_ctrl_addr_o[4]),
-	.C1(n_7707),
-	.X(soc_top_instr_addr[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356440 (
-	.A1(n_7644),
-	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[5]),
-	.B1(FE_DBTN3_soc_top_prog_rst_ni),
-	.B2(soc_top_iccm_ctrl_addr_o[3]),
-	.C1(n_7706),
-	.X(soc_top_instr_addr[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356441 (
-	.A1(n_7644),
-	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[4]),
-	.B1(FE_DBTN3_soc_top_prog_rst_ni),
-	.B2(soc_top_iccm_ctrl_addr_o[2]),
-	.C1(n_7705),
-	.X(soc_top_instr_addr[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356442 (
-	.A1(n_7644),
-	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[3]),
-	.B1(FE_DBTN3_soc_top_prog_rst_ni),
-	.B2(soc_top_iccm_ctrl_addr_o[1]),
-	.C1(n_7704),
-	.X(soc_top_instr_addr[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356443 (
-	.A1(n_7644),
-	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[2]),
-	.B1(FE_DBTN3_soc_top_prog_rst_ni),
-	.B2(soc_top_iccm_ctrl_addr_o[0]),
-	.C1(n_7703),
-	.X(soc_top_instr_addr[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356444 (
-	.A1(n_7085),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [30]),
-	.B1(n_7646),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [32]),
-	.C1(n_7196),
-	.X(n_15778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356445 (
-	.A1(n_7085),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [29]),
-	.B1(n_7646),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [31]),
-	.C1(n_7202),
-	.X(n_15777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g356446 (
-	.A(\soc_top_xbar_to_timer[a_address] [3]),
-	.B(\soc_top_xbar_to_timer[a_address] [4]),
-	.C(n_15190),
-	.D(n_14982),
-	.Y(n_7754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g356447 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
-	.C(n_7735),
-	.Y(n_7753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g356448 (
-	.A1(n_16647),
-	.A2(n_7537),
-	.B1(n_7408),
-	.B2(n_7641),
-	.C1(n_7657),
-	.Y(n_7752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g356449 (
-	.A1(n_7406),
-	.A2(n_7638),
-	.B1(n_7432),
-	.B2(n_7636),
-	.C1(n_7630),
-	.Y(n_16635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g356450 (
-	.A1(n_7415),
-	.A2(n_7638),
-	.B1(n_7414),
-	.B2(n_7636),
-	.C1(n_7629),
-	.Y(n_16636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356451 (
-	.A1(n_7637),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
-	.B1(n_7642),
-	.B2(n_7407),
-	.C1(n_7673),
-	.X(n_7761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356452 (
-	.A1(n_16642),
-	.A2(n_16647),
-	.B1(n_7635),
-	.B2(n_7417),
-	.C1(n_7674),
-	.X(n_16634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g356453 (
-	.A1(n_7412),
-	.A2(n_7638),
-	.B1(n_7411),
-	.B2(n_7636),
-	.C1(n_7626),
-	.Y(n_16633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g356454 (
-	.A1(n_7406),
-	.A2(n_7636),
-	.B1(n_7421),
-	.B2(n_7638),
-	.C1(n_7627),
-	.Y(n_16639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g356455 (
-	.A1(n_7415),
-	.A2(n_7636),
-	.B1(n_7433),
-	.B2(n_7638),
-	.C1(n_7658),
-	.Y(n_16640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g356456 (
-	.A(n_7670),
-	.B(n_7496),
-	.C(n_7340),
-	.Y(n_17131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356457 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[4]),
-	.B(n_7728),
-	.Y(n_7759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356458 (
-	.A(n_7263),
-	.B(n_7732),
-	.Y(n_7758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g356459 (
-	.A(n_7661),
-	.Y(n_7751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g356460 (
-	.A(n_7749),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mid_pipe_info_q[0][is_inf] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356464 (
-	.A1(n_7085),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [33]),
-	.B1(n_7570),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [35]),
-	.C1(n_7205),
-	.X(n_15781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356465 (
-	.A(n_7659),
-	.B(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [7]),
-	.Y(n_7737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356466 (
-	.A(n_7659),
-	.B(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [1]),
-	.Y(n_7736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356467 (
-	.A1(n_7085),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [31]),
-	.B1(n_7570),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [33]),
-	.C1(n_7199),
-	.X(n_15779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356468 (
-	.A(n_7667),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [29]),
-	.X(n_15775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356469 (
-	.A(n_7667),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [28]),
-	.X(n_15774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356470 (
-	.A(n_7667),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [27]),
-	.X(n_15773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g356471 (
-	.A(n_7605),
-	.B(n_7065),
-	.C(n_7002),
-	.D(n_7003),
-	.Y(n_7735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g356472 (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][5] ),
-	.B(n_7662),
-	.Y(n_16959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g356474 (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][1] ),
-	.B(n_7662),
-	.Y(n_16955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356475 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[14]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[14]),
-	.Y(n_7734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356476 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_22 ),
-	.B(n_7662),
-	.Y(n_16957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g356477 (
-	.A(n_6820),
-	.B(n_7274),
-	.C(n_7265),
-	.D(n_7262),
-	.Y(n_7733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356478 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [26]),
-	.B(n_7662),
-	.Y(n_15236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g356479 (
-	.A(n_16960),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][0] ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_info[0][is_subnormal] ),
-	.X(n_15237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g356480 (
-	.A_N(n_7668),
-	.B(n_7265),
-	.Y(n_7732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g356481 (
-	.A1(n_7565),
-	.A2(n_7350),
-	.B1(n_7320),
-	.C1(n_7653),
-	.Y(n_7731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g356482 (
-	.A(n_7573),
-	.B(n_7263),
-	.C(n_6989),
-	.D(n_7092),
-	.Y(n_7730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g356483 (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][4] ),
-	.B(n_7662),
-	.Y(n_16958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356484 (
-	.A1(n_7085),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [32]),
-	.B1(n_7570),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [34]),
-	.C1(n_7201),
-	.X(n_15780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356485 (
-	.A(n_7659),
-	.B(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [11]),
-	.Y(n_7729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g356486 (
-	.A(n_7263),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[10]),
-	.C(n_7594),
-	.X(n_7728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g356487 (
-	.A1(n_7646),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [30]),
-	.B1(n_15801),
-	.X(n_15776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356488 (
-	.A(n_7652),
-	.B(n_15096),
-	.Y(n_7727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356490 (
-	.A(n_7191),
-	.B(n_7662),
-	.Y(n_15234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g356491 (
-	.A(n_7558),
-	.B(n_6935),
-	.C(n_6943),
-	.D(soc_top_u_top_u_core_csr_addr[7]),
-	.Y(n_7750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356492 (
-	.A(n_16960),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_info[0][is_inf]_2237 ),
-	.Y(n_7749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g356493 (
-	.A1(n_7577),
-	.A2(n_18154),
-	.B1(n_7222),
-	.C1(n_6818),
-	.Y(n_7748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g356494 (
-	.A1(n_17438),
-	.A2(n_7028),
-	.B1(\soc_top_u_top_u_core_fp_operands[0] [31]),
-	.B2(n_6988),
-	.C1(n_7596),
-	.Y(n_7725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356495 (
-	.A1(n_7093),
-	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[12]),
-	.B1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_valid_req_q),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[12]),
-	.C1(n_7624),
-	.X(\soc_top_ifu_to_xbar[a_address] [12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g356496 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [30]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][6] ),
-	.C(n_7662),
-	.Y(n_15099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g356497 (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_info[0][is_nan]_2238 ),
-	.B(n_7662),
-	.Y(n_15041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356499 (
-	.A(n_7651),
-	.B(soc_top_u_top_u_core_alu_operator_ex[0]),
-	.Y(n_7747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356500 (
-	.A(n_15065),
-	.B(n_6822),
-	.X(n_7746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356501 (
-	.A(n_7263),
-	.B(n_7669),
-	.Y(n_7745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356503 (
-	.A(n_7262),
-	.B(n_7669),
-	.Y(n_7744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356504 (
-	.A(n_7671),
-	.B(n_7660),
-	.Y(n_7743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4_1 g356505 (
-	.A(n_7670),
-	.B(n_7538),
-	.C(n_7379),
-	.D(n_15119),
-	.X(n_7742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356506 (
-	.A(n_7332),
-	.B(n_7677),
-	.Y(n_7741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356507 (
-	.A(n_7334),
-	.B(n_7668),
-	.Y(n_7740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356508 (
-	.A(n_7265),
-	.B(n_7677),
-	.Y(n_7739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356509 (
-	.A(n_7332),
-	.B(n_7668),
-	.Y(n_7738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g356510 (
-	.A1(n_7418),
-	.A2(n_7636),
-	.B1(n_7420),
-	.B2(n_7634),
-	.Y(n_7721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356511 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[10]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[10]),
-	.Y(n_7720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356512 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[8]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[8]),
-	.Y(n_7719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356513 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[7]),
-	.B1(n_7610),
-	.B2(soc_top_intr_timer),
-	.Y(n_7718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356514 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[3]),
-	.B1(n_7568),
-	.B2(soc_top_u_top_u_core_cs_registers_i_mcause_q[3]),
-	.Y(n_7717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356515 (
-	.A1(n_7566),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[3]),
-	.B1(n_7640),
-	.B2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[17]),
-	.X(n_7716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356516 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[1]),
-	.B1(n_7568),
-	.B2(soc_top_u_top_u_core_cs_registers_i_mcause_q[1]),
-	.Y(n_7715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356517 (
-	.A1(n_7609),
-	.A2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
-	.B1(n_7608),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
-	.Y(n_7714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356518 (
-	.A1(n_7609),
-	.A2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
-	.B1(n_7608),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
-	.Y(n_7713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356519 (
-	.A1(n_15407),
-	.A2(n_7643),
-	.B1(n_7645),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[11]),
-	.X(n_7712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356520 (
-	.A1(n_15406),
-	.A2(n_7643),
-	.B1(n_7645),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[10]),
-	.X(n_7711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356521 (
-	.A1(n_15405),
-	.A2(n_7643),
-	.B1(n_7645),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[9]),
-	.X(n_7710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356522 (
-	.A1(n_15404),
-	.A2(n_7643),
-	.B1(n_7645),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[8]),
-	.X(n_7709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356523 (
-	.A1(n_15403),
-	.A2(n_7643),
-	.B1(n_7645),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[7]),
-	.X(n_7708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356524 (
-	.A1(n_15402),
-	.A2(n_7643),
-	.B1(n_7645),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[6]),
-	.X(n_7707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356525 (
-	.A1(n_15401),
-	.A2(n_7643),
-	.B1(n_7645),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[5]),
-	.X(n_7706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356526 (
-	.A1(n_7643),
-	.A2(n_15400),
-	.B1(n_7645),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[4]),
-	.X(n_7705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356527 (
-	.A1(n_7643),
-	.A2(n_15399),
-	.B1(n_7645),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[3]),
-	.X(n_7704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356528 (
-	.A1(n_7643),
-	.A2(n_15398),
-	.B1(n_7645),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[2]),
-	.X(n_7703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356529 (
-	.A1(n_7609),
-	.A2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
-	.B1(n_7608),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
-	.Y(n_7702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356530 (
-	.A1(n_7609),
-	.A2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
-	.B1(n_7608),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
-	.Y(n_7701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32a_1 g356531 (
-	.A1(n_7265),
-	.A2(n_6935),
-	.A3(n_7555),
-	.B1(n_6934),
-	.B2(n_7650),
-	.X(n_7700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g356532 (
-	.A1(n_7412),
-	.A2(n_7634),
-	.B1(n_7410),
-	.B2(n_7636),
-	.Y(n_7699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356533 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[13]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[13]),
-	.Y(n_7698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356534 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[15]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[15]),
-	.Y(n_7697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g356535 (
-	.A1(n_7432),
-	.A2(n_7641),
-	.B1(n_7408),
-	.B2(n_7634),
-	.Y(n_7696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g356536 (
-	.A1(n_7409),
-	.A2(n_7641),
-	.B1(n_7383),
-	.B2(n_7423),
-	.Y(n_7695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g356537 (
-	.A1(n_7411),
-	.A2(n_7641),
-	.B1(n_7410),
-	.B2(n_7634),
-	.Y(n_7694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g356538 (
-	.A1(n_7437),
-	.A2(n_7638),
-	.B1(n_7424),
-	.B2(n_7634),
-	.Y(n_7693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g356539 (
-	.A1(n_7415),
-	.A2(n_7641),
-	.B1(n_7409),
-	.B2(n_7638),
-	.Y(n_7692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356540 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[17]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[17]),
-	.Y(n_7691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g356541 (
-	.A1(n_7415),
-	.A2(n_7634),
-	.B1(n_7409),
-	.B2(n_7636),
-	.X(n_7690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g356542 (
-	.A1(n_7406),
-	.A2(n_7634),
-	.B1(n_7408),
-	.B2(n_7636),
-	.X(n_7689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g356543 (
-	.A1_N(n_7417),
-	.A2_N(n_7637),
-	.B1(n_7422),
-	.B2(n_7641),
-	.Y(n_7688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g356544 (
-	.A1(n_7437),
-	.A2(n_7641),
-	.B1(n_7411),
-	.B2(n_7638),
-	.Y(n_7687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g356545 (
-	.A1(n_7419),
-	.A2(n_7634),
-	.B1(n_7383),
-	.B2(n_7434),
-	.Y(n_7686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g356546 (
-	.A1(n_7409),
-	.A2(n_7634),
-	.B1(n_7423),
-	.B2(n_7636),
-	.Y(n_7685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356547 (
-	.A1(n_7642),
-	.A2(n_7413),
-	.B1(n_7637),
-	.B2(n_7416),
-	.X(n_7684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g356548 (
-	.A1(n_7411),
-	.A2(n_7634),
-	.B1(n_7425),
-	.B2(n_7636),
-	.X(n_7683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g356549 (
-	.A1_N(n_7434),
-	.A2_N(n_7636),
-	.B1(n_7417),
-	.B2(n_7633),
-	.Y(n_7682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g356550 (
-	.A1(n_7418),
-	.A2(n_7634),
-	.B1(n_7419),
-	.B2(n_7636),
-	.Y(n_7681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356551 (
-	.A1(n_7607),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[21]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[21]),
-	.Y(n_7680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g356552 (
-	.A1(n_7635),
-	.A2(n_7407),
-	.B1(n_7656),
-	.Y(n_7679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g356553 (
-	.A1(n_7410),
-	.A2(n_7641),
-	.B1(n_7425),
-	.B2(n_7634),
-	.Y(n_7724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g356554 (
-	.A1_N(n_7416),
-	.A2_N(n_7642),
-	.B1(n_7423),
-	.B2(n_7634),
-	.Y(n_7723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g356555 (
-	.A1(n_7419),
-	.A2(n_7641),
-	.B1(n_7434),
-	.B2(n_7634),
-	.Y(n_7722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g356557 (
-	.A(n_7676),
-	.Y(n_15143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g356558 (
-	.A(n_7675),
-	.Y(n_15025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356575 (
-	.A(n_7418),
-	.B(n_7638),
-	.Y(n_7674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356576 (
-	.A(n_7349),
-	.B(n_7634),
-	.Y(n_7673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356577 (
-	.A(n_7635),
-	.B(n_7277),
-	.Y(n_16646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356578 (
-	.A(soc_top_u_top_u_core_fp_alu_op_mod),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_26 ),
-	.Y(n_7678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g356579 (
-	.A_N(n_7649),
-	.B(n_7291),
-	.Y(n_7677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356580 (
-	.A(n_7420),
-	.B(n_7636),
-	.Y(n_7676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356581 (
-	.A(n_7424),
-	.B(n_7636),
-	.Y(n_7675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g356583 (
-	.A(n_18417),
-	.Y(n_7666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g356584 (
-	.A(n_7663),
-	.Y(n_7664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g356585 (
-	.A(n_7662),
-	.Y(n_16960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g356586 (
-	.A(n_15065),
-	.Y(n_7660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356587 (
-	.A(n_7633),
-	.B(n_7277),
-	.Y(n_7658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356588 (
-	.A(n_7633),
-	.B(n_7407),
-	.Y(n_7657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356589 (
-	.A(n_7432),
-	.B(n_7634),
-	.Y(n_7656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356590 (
-	.A1(n_7568),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mcause_q[5]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[31]),
-	.Y(n_7655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356591 (
-	.A1(n_7568),
-	.A2(soc_top_u_top_u_core_cs_registers_i_mcause_q[2]),
-	.B1(n_7567),
-	.B2(soc_top_u_top_u_core_csr_mepc[2]),
-	.Y(n_7654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356592 (
-	.A1(n_7085),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [34]),
-	.B1(n_7529),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [36]),
-	.C1(n_7206),
-	.X(n_15782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356593 (
-	.A1(n_7085),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [35]),
-	.B1(n_7529),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [37]),
-	.C1(n_7204),
-	.X(n_15783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356594 (
-	.A1(n_7085),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [36]),
-	.B1(n_7529),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [38]),
-	.C1(n_7207),
-	.X(n_15784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g356595 (
-	.A1(n_7549),
-	.A2(n_14977),
-	.B1(n_7393),
-	.Y(n_7653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g356596 (
-	.A(n_15121),
-	.B(n_15122),
-	.C(n_7546),
-	.Y(n_7652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g356597 (
-	.A(n_7097),
-	.B(n_7556),
-	.C(n_6818),
-	.X(n_7651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g356599 (
-	.A1(soc_top_u_top_u_core_alu_operator_ex[1]),
-	.A2(soc_top_u_top_u_core_alu_operator_ex[0]),
-	.B1(n_18154),
-	.C1(n_7576),
-	.Y(n_7672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356600 (
-	.A(n_7334),
-	.B(n_7647),
-	.Y(n_7671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g356601 (
-	.A1(n_6828),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
-	.B1(n_7580),
-	.Y(n_7670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g356602 (
-	.A(n_18418),
-	.B(n_7265),
-	.C(n_6989),
-	.D(n_7272),
-	.Y(n_7669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g356603 (
-	.A(n_7573),
-	.B(n_7274),
-	.C(soc_top_u_top_u_core_alu_operand_b_ex[4]),
-	.Y(n_7668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g356604 (
-	.A1(n_15103),
-	.A2(n_6987),
-	.B1_N(n_7646),
-	.Y(n_7667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g356606 (
-	.A(n_7547),
-	.B(n_7262),
-	.C(n_7272),
-	.Y(n_7663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g356607 (
-	.A1(n_7118),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[12]),
-	.B1(n_7583),
-	.Y(n_7662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g356608 (
-	.A1(n_7019),
-	.A2(n_7439),
-	.B1(n_7648),
-	.Y(n_7661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g356609 (
-	.A(n_6820),
-	.B(n_7337),
-	.C(n_7375),
-	.Y(n_15065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356610 (
-	.A(n_7332),
-	.B(n_7647),
-	.Y(n_7659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g356611 (
-	.A(n_7642),
-	.Y(n_7641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g356612 (
-	.A(n_7640),
-	.Y(n_7639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g356613 (
-	.A(n_7638),
-	.Y(n_7637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g356614 (
-	.A(n_7636),
-	.Y(n_7635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g356615 (
-	.A(n_7634),
-	.Y(n_7633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356617 (
-	.A(n_16642),
-	.B(n_16641),
-	.Y(n_7632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356618 (
-	.A(n_7568),
-	.B(soc_top_u_top_u_core_cs_registers_i_mcause_q[4]),
-	.Y(n_7631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356619 (
-	.A(n_16643),
-	.B(n_16647),
-	.Y(n_7630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356620 (
-	.A(n_16644),
-	.B(n_16647),
-	.Y(n_7629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356621 (
-	.A(n_7581),
-	.B_N(n_15366),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_n_879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g356622 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[2]),
-	.B(n_7272),
-	.C(n_7509),
-	.Y(n_7628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g356623 (
-	.A_N(n_16645),
-	.B(n_16647),
-	.Y(n_7627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356624 (
-	.A(n_16641),
-	.B(n_16647),
-	.Y(n_7626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g356625 (
-	.A1(soc_top_u_top_u_core_id_stage_i_decoder_i_n_9),
-	.A2(n_7500),
-	.B1(n_7538),
-	.Y(n_7625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356626 (
-	.A(n_7268),
-	.B_N(\soc_top_xbar_to_timer[a_data] [31]),
-	.Y(soc_top_data_wdata[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356627 (
-	.A(n_7271),
-	.B_N(\soc_top_xbar_to_timer[a_data] [23]),
-	.Y(soc_top_data_wdata[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356628 (
-	.A(n_7269),
-	.B_N(\soc_top_xbar_to_timer[a_data] [15]),
-	.Y(soc_top_data_wdata[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356629 (
-	.A(n_7270),
-	.B_N(\soc_top_xbar_to_timer[a_data] [7]),
-	.Y(soc_top_data_wdata[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356630 (
-	.A(n_7581),
-	.B(n_6941),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_n_803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356631 (
-	.A(n_15002),
-	.B_N(n_15408),
-	.Y(n_7624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g356634 (
-	.A1(n_15043),
-	.A2(n_7281),
-	.B1(n_7200),
-	.C1(n_16652),
-	.D1(n_15048),
-	.Y(n_16624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356635 (
-	.A(n_6820),
-	.B(n_6989),
-	.Y(n_7650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356636 (
-	.A(n_7558),
-	.B(n_7275),
-	.Y(n_7649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 g356637 (
-	.A(\soc_top_xbar_to_timer[a_address] [2]),
-	.B(n_14992),
-	.X(n_14982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356638 (
-	.A(n_7439),
-	.B(n_7019),
-	.Y(n_7648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356639 (
-	.A(n_7573),
-	.B(n_7337),
-	.Y(n_7647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g356640 (
-	.A1(n_15104),
-	.A2(n_6987),
-	.B1_N(n_7570),
-	.Y(n_7646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356641 (
-	.A(n_7571),
-	.B(n_7338),
-	.Y(n_14989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356642 (
-	.A(n_7572),
-	.B(n_7093),
-	.X(n_7645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356643 (
-	.A(n_7572),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_valid_req_q),
-	.X(n_7644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356644 (
-	.A(n_15002),
-	.B_N(n_7572),
-	.Y(n_7643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356645 (
-	.A(n_7383),
-	.B(n_7582),
-	.Y(n_7642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356646 (
-	.A(n_7436),
-	.B(n_7578),
-	.Y(n_7640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356647 (
-	.A(n_7582),
-	.B(n_7260),
-	.Y(n_7638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356648 (
-	.A(n_7582),
-	.B(n_7383),
-	.Y(n_7636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356649 (
-	.A(n_16647),
-	.B(n_7383),
-	.Y(n_7634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g356651 (
-	.A(n_7600),
-	.Y(n_7622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g356652 (
-	.A(n_7598),
-	.Y(n_7621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g356653 (
-	.A(n_7593),
-	.Y(n_7620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g356654 (
-	.A(n_7592),
-	.Y(n_7619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g356655 (
-	.A(n_7591),
-	.Y(n_7618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g356656 (
-	.A(n_7590),
-	.Y(n_7617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g356657 (
-	.A(n_7589),
-	.Y(n_7616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g356658 (
-	.A(n_7588),
-	.Y(n_7615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g356659 (
-	.A(n_7587),
-	.Y(n_7614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g356660 (
-	.A(n_7586),
-	.Y(n_7613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g356661 (
-	.A(n_7585),
-	.Y(n_7612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g356662 (
-	.A(n_7584),
-	.Y(n_7611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356665 (
-	.A1(n_7085),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [37]),
-	.B1(n_7431),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [39]),
-	.C1(n_7210),
-	.X(n_15785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356666 (
-	.A1(n_7372),
-	.A2(soc_top_u_spi_host_spi_host_divider[1]),
-	.B1(n_7374),
-	.B2(soc_top_u_spi_host_spi_host_ss[1]),
-	.C1(n_7543),
-	.X(n_7606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g356667 (
-	.A(n_7545),
-	.B(n_7000),
-	.C(n_7001),
-	.X(n_7605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356668 (
-	.A1(n_7372),
-	.A2(soc_top_u_spi_host_spi_host_divider[0]),
-	.B1(n_7374),
-	.B2(soc_top_u_spi_host_spi_host_ss[0]),
-	.C1(n_7542),
-	.X(n_7604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356669 (
-	.A1(n_7372),
-	.A2(soc_top_u_spi_host_spi_host_divider[2]),
-	.B1(n_7374),
-	.B2(soc_top_u_spi_host_spi_host_ss[2]),
-	.C1(n_7540),
-	.X(n_7603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356670 (
-	.A1(n_7372),
-	.A2(soc_top_u_spi_host_spi_host_divider[3]),
-	.B1(n_7374),
-	.B2(soc_top_u_spi_host_spi_host_ss[3]),
-	.C1(n_7544),
-	.X(n_7602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g356671 (
-	.A(soc_top_u_top_u_core_lsu_wdata[23]),
-	.B(soc_top_u_top_u_core_lsu_wdata[26]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][2] ),
-	.D(n_7388),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Hb_b_D ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356672 (
-	.A1(n_7085),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [38]),
-	.B1(n_7431),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [40]),
-	.C1(n_7212),
-	.X(n_15786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4b_1 g356673 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[3]),
-	.B(n_7436),
-	.C(n_7445),
-	.D_N(n_7087),
-	.Y(n_7601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356675 (
-	.A1(soc_top_u_spi_host_spi_host_rx[4]),
-	.A2(n_7404),
-	.B1(n_7372),
-	.B2(soc_top_u_spi_host_spi_host_divider[4]),
-	.C1(n_7371),
-	.C2(soc_top_u_spi_host_spi_host_ctrl[4]),
-	.Y(n_7600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356676 (
-	.A(n_7564),
-	.B(n_7108),
-	.Y(n_7599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356677 (
-	.A1(n_7085),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [39]),
-	.B1(n_7431),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [41]),
-	.C1(n_7213),
-	.X(n_15787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356678 (
-	.A1(soc_top_u_spi_host_spi_host_rx[11]),
-	.A2(n_7404),
-	.B1(n_7372),
-	.B2(soc_top_u_spi_host_spi_host_divider[11]),
-	.C1(n_7371),
-	.C2(soc_top_u_spi_host_spi_host_ctrl[11]),
-	.Y(n_7598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g356679 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[10]),
-	.B(n_7299),
-	.C(n_7493),
-	.Y(n_7597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g356680 (
-	.A(n_7511),
-	.B(n_7510),
-	.C(n_7522),
-	.D(n_7491),
-	.Y(n_7596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g356682 (
-	.A(n_7494),
-	.B(n_7110),
-	.C(soc_top_u_top_u_core_alu_operand_b_ex[2]),
-	.Y(n_7594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356683 (
-	.A1(soc_top_u_spi_host_spi_host_rx[5]),
-	.A2(n_7404),
-	.B1(n_7372),
-	.B2(soc_top_u_spi_host_spi_host_divider[5]),
-	.C1(n_7371),
-	.C2(soc_top_u_spi_host_spi_host_ctrl[5]),
-	.Y(n_7593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356684 (
-	.A1(soc_top_u_spi_host_spi_host_rx[6]),
-	.A2(n_7404),
-	.B1(n_7372),
-	.B2(soc_top_u_spi_host_spi_host_divider[6]),
-	.C1(n_7371),
-	.C2(soc_top_u_spi_host_spi_host_ctrl[6]),
-	.Y(n_7592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356685 (
-	.A1(soc_top_u_spi_host_spi_host_rx[8]),
-	.A2(n_7404),
-	.B1(n_7372),
-	.B2(soc_top_u_spi_host_spi_host_divider[8]),
-	.C1(n_7371),
-	.C2(soc_top_u_spi_host_spi_host_ctrl[8]),
-	.Y(n_7591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356686 (
-	.A1(soc_top_u_spi_host_spi_host_rx[12]),
-	.A2(n_7404),
-	.B1(n_7372),
-	.B2(soc_top_u_spi_host_spi_host_divider[12]),
-	.C1(n_7371),
-	.C2(soc_top_u_spi_host_spi_host_ctrl[12]),
-	.Y(n_7590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356687 (
-	.A1(soc_top_u_spi_host_spi_host_rx[13]),
-	.A2(n_7404),
-	.B1(n_7372),
-	.B2(soc_top_u_spi_host_spi_host_divider[13]),
-	.C1(n_7371),
-	.C2(soc_top_u_spi_host_spi_host_ctrl[13]),
-	.Y(n_7589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356688 (
-	.A1(soc_top_u_spi_host_spi_host_rx[15]),
-	.A2(n_7404),
-	.B1(n_7372),
-	.B2(soc_top_u_spi_host_spi_host_divider[15]),
-	.C1(n_7371),
-	.C2(soc_top_u_spi_host_spi_host_ctrl[15]),
-	.Y(n_7588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356689 (
-	.A1(soc_top_u_spi_host_spi_host_rx[9]),
-	.A2(n_7404),
-	.B1(n_7372),
-	.B2(soc_top_u_spi_host_spi_host_divider[9]),
-	.C1(n_7371),
-	.C2(soc_top_u_spi_host_spi_host_ctrl[9]),
-	.Y(n_7587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356690 (
-	.A1(soc_top_u_spi_host_spi_host_rx[7]),
-	.A2(n_7404),
-	.B1(n_7372),
-	.B2(soc_top_u_spi_host_spi_host_divider[7]),
-	.C1(n_7371),
-	.C2(soc_top_u_spi_host_spi_host_ctrl[7]),
-	.Y(n_7586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356691 (
-	.A1(soc_top_u_spi_host_spi_host_rx[10]),
-	.A2(n_7404),
-	.B1(n_7372),
-	.B2(soc_top_u_spi_host_spi_host_divider[10]),
-	.C1(n_7371),
-	.C2(soc_top_u_spi_host_spi_host_ctrl[10]),
-	.Y(n_7585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356692 (
-	.A1(soc_top_u_spi_host_spi_host_rx[14]),
-	.A2(n_7404),
-	.B1(n_7372),
-	.B2(soc_top_u_spi_host_spi_host_divider[14]),
-	.C1(n_7371),
-	.C2(soc_top_u_spi_host_spi_host_ctrl[14]),
-	.Y(n_7584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g356693 (
-	.A1(n_14878),
-	.A2(n_7497),
-	.B1(n_7102),
-	.B2(n_14893),
-	.Y(n_7583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g356694 (
-	.A(n_6816),
-	.B(n_7436),
-	.C(n_7492),
-	.Y(n_7610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g356695 (
-	.A(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.B(n_7338),
-	.C(n_15258),
-	.Y(n_7609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g356696 (
-	.A1(soc_top_u_top_u_core_id_stage_i_decoder_i_n_281),
-	.A2(n_7284),
-	.B1_N(n_7541),
-	.Y(soc_top_u_top_u_core_fp_alu_op_mod), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_2 g356697 (
-	.A(n_7338),
-	.B(n_15258),
-	.C_N(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(n_7608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g356699 (
-	.A(n_7528),
-	.B(n_7262),
-	.C(n_7265),
-	.X(n_7607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g356700 (
-	.A(n_16647),
-	.Y(n_7582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g356702 (
-	.A(n_7576),
-	.Y(n_7577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g356704 (
-	.A(n_7571),
-	.Y(n_15258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g356705 (
-	.A(n_7569),
-	.Y(n_7568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g356726 (
-	.A1(n_7226),
-	.A2(n_7428),
-	.B1(n_7378),
-	.Y(n_7565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356727 (
-	.A(n_16966),
-	.B_N(n_16965),
-	.Y(n_7564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356728 (
-	.A(n_7531),
-	.B(n_7530),
-	.Y(n_7563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356729 (
-	.A(n_7525),
-	.B(n_6823),
-	.Y(n_7562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g356731 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[2] [0]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[2] [1]),
-	.X(n_15010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356732 (
-	.A(n_7536),
-	.B(n_6992),
-	.Y(n_15119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356733 (
-	.A(n_7462),
-	.B(n_7392),
-	.Y(n_15405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356734 (
-	.A(n_7464),
-	.B(n_7401),
-	.Y(n_15407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 g356735 (
-	.A(n_14994),
-	.B(n_14993),
-	.X(n_14992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g356737 (
-	.A(n_18154),
-	.B(n_7523),
-	.C(n_14912),
-	.D(soc_top_u_top_u_core_alu_operator_ex[3]),
-	.Y(n_7581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g356738 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
-	.B(n_7225),
-	.C(n_7405),
-	.Y(n_7580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356740 (
-	.A(n_7531),
-	.B_N(n_7535),
-	.Y(n_7579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g356741 (
-	.A_N(n_7492),
-	.B(n_7336),
-	.Y(n_7578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356742 (
-	.A(n_7282),
-	.B(soc_top_u_top_u_core_alu_operator_ex[2]),
-	.Y(n_7576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356744 (
-	.A(n_7524),
-	.B_N(n_7527),
-	.Y(n_7575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356745 (
-	.A(n_7530),
-	.B_N(n_7539),
-	.Y(n_7574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356747 (
-	.A(n_6935),
-	.B(n_7493),
-	.Y(n_7573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356748 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(n_7533),
-	.Y(n_7572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356749 (
-	.A(n_7192),
-	.B(n_15424),
-	.Y(n_7571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g356750 (
-	.A1(n_15108),
-	.A2(n_6987),
-	.B1_N(n_7529),
-	.Y(n_7570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356751 (
-	.A(n_7528),
-	.B(n_7331),
-	.Y(n_7569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356752 (
-	.A(n_7334),
-	.B_N(n_7528),
-	.Y(n_7567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356753 (
-	.A(n_7528),
-	.B(n_7375),
-	.X(n_7566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g356754 (
-	.A_N(soc_top_u_top_u_core_rf_raddr_b[2]),
-	.B(n_7351),
-	.C(soc_top_u_top_u_core_rf_raddr_b[4]),
-	.D(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
-	.Y(n_7557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g356755 (
-	.A(soc_top_u_top_u_core_alu_operator_ex[1]),
-	.B(n_7523),
-	.C_N(n_14910),
-	.Y(n_7556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g356756 (
-	.A_N(n_6816),
-	.B(n_7337),
-	.C(soc_top_u_top_u_core_csr_addr[7]),
-	.D(n_6943),
-	.Y(n_7555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356757 (
-	.A1(soc_top_u_top_u_core_pc_id[31]),
-	.A2(n_7327),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[31]),
-	.C1(n_7370),
-	.C2(soc_top_u_top_u_core_pc_wb[31]),
-	.Y(n_7554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356758 (
-	.A1(soc_top_u_top_u_core_pc_id[4]),
-	.A2(n_7327),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[4]),
-	.C1(n_7370),
-	.C2(soc_top_u_top_u_core_pc_wb[4]),
-	.Y(n_7553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356759 (
-	.A1(soc_top_u_top_u_core_pc_wb[3]),
-	.A2(n_7370),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[3]),
-	.C1(n_7327),
-	.C2(soc_top_u_top_u_core_pc_id[3]),
-	.Y(n_7552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356760 (
-	.A1(soc_top_u_top_u_core_pc_wb[2]),
-	.A2(n_7370),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[2]),
-	.C1(n_7327),
-	.C2(soc_top_u_top_u_core_pc_id[2]),
-	.Y(n_7551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g356761 (
-	.A1(soc_top_u_top_u_core_pc_id[1]),
-	.A2(n_7327),
-	.B1(n_7369),
-	.B2(soc_top_u_top_u_core_pc_if[1]),
-	.C1(n_7370),
-	.C2(soc_top_u_top_u_core_pc_wb[1]),
-	.Y(n_7550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g356762 (
-	.A(n_15085),
-	.B(n_15248),
-	.C(n_7350),
-	.Y(n_7549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g356763 (
-	.A(\soc_top_lsu_to_xbar[a_address] [10]),
-	.B(\soc_top_lsu_to_xbar[a_address] [11]),
-	.C(\soc_top_lsu_to_xbar[a_address] [12]),
-	.D(n_7314),
-	.X(n_7548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g356764 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[6]),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[8]),
-	.C(n_7441),
-	.Y(n_7547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g356765 (
-	.A(n_7300),
-	.B(n_15107),
-	.C(n_15106),
-	.D(n_15105),
-	.Y(n_7546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g356766 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
-	.C(n_7498),
-	.Y(n_7545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356767 (
-	.A1(n_7404),
-	.A2(soc_top_u_spi_host_spi_host_rx[3]),
-	.B1(n_7371),
-	.B2(soc_top_u_spi_host_spi_host_ctrl[3]),
-	.X(n_7544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356768 (
-	.A1(n_7404),
-	.A2(soc_top_u_spi_host_spi_host_rx[1]),
-	.B1(n_7371),
-	.B2(soc_top_u_spi_host_spi_host_ctrl[1]),
-	.X(n_7543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356769 (
-	.A1(n_7404),
-	.A2(soc_top_u_spi_host_spi_host_rx[0]),
-	.B1(n_7371),
-	.B2(soc_top_u_spi_host_spi_host_ctrl[0]),
-	.X(n_7542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2o_2 g356770 (
-	.A1_N(soc_top_u_top_u_core_alu_operand_b_ex[4]),
-	.A2_N(n_7442),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[4]),
-	.B2(n_7442),
-	.X(n_15544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g356771 (
-	.A1(n_14901),
-	.A2(n_7363),
-	.B1(soc_top_u_top_u_core_id_stage_i_decoder_i_n_9),
-	.B2(n_7405),
-	.Y(n_7541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356772 (
-	.A1(n_7085),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [41]),
-	.B1(n_7339),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [43]),
-	.C1(n_7217),
-	.X(n_15789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356773 (
-	.A1(n_7085),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [40]),
-	.B1(n_7339),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [42]),
-	.C1(n_7216),
-	.X(n_15788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356774 (
-	.A1(n_7085),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [42]),
-	.B1(n_7339),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [44]),
-	.C1(n_7218),
-	.X(n_15790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356775 (
-	.A1(n_7404),
-	.A2(soc_top_u_spi_host_spi_host_rx[2]),
-	.B1(n_7371),
-	.B2(soc_top_u_spi_host_spi_host_ctrl[2]),
-	.X(n_7540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g356776 (
-	.A1(soc_top_u_top_u_core_load_store_unit_i_n_753),
-	.A2(n_7330),
-	.B1(n_15046),
-	.B2(FE_DBTN14_soc_top_u_top_u_core_lsu_wdata_23),
-	.C1(n_7181),
-	.Y(\soc_top_xbar_to_timer[a_data] [31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g356777 (
-	.A1(n_15184),
-	.A2(n_7330),
-	.B1(n_15047),
-	.B2(n_18278),
-	.C1(n_7183),
-	.Y(\soc_top_xbar_to_timer[a_data] [23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356778 (
-	.A(n_7463),
-	.B(n_7390),
-	.Y(n_15406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g356779 (
-	.A1(n_15047),
-	.A2(n_7330),
-	.B1(n_15184),
-	.B2(FE_DBTN14_soc_top_u_top_u_core_lsu_wdata_23),
-	.C1(n_7173),
-	.Y(\soc_top_xbar_to_timer[a_data] [15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g356780 (
-	.A1(n_15046),
-	.A2(n_7330),
-	.B1(soc_top_u_top_u_core_load_store_unit_i_n_753),
-	.B2(n_18278),
-	.C1(n_7184),
-	.Y(\soc_top_xbar_to_timer[a_data] [7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g356781 (
-	.A_N(n_7312),
-	.B(n_7138),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [6]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [7]),
-	.Y(n_7561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g356782 (
-	.A1(n_7443),
-	.A2(n_7281),
-	.B1(n_7095),
-	.X(n_15048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356783 (
-	.A(n_7457),
-	.B(n_7398),
-	.Y(n_15400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356784 (
-	.A(n_7461),
-	.B(n_7394),
-	.Y(n_15404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356785 (
-	.A(n_7460),
-	.B(n_7395),
-	.Y(n_15403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g356786 (
-	.A1(n_14878),
-	.A2(n_7389),
-	.B1(n_7381),
-	.Y(n_7560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g356787 (
-	.A1_N(n_7082),
-	.A2_N(n_7438),
-	.B1(n_7383),
-	.B2(n_7425),
-	.Y(n_7559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356788 (
-	.A(n_7459),
-	.B(n_7396),
-	.Y(n_15402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356789 (
-	.A(n_7458),
-	.B(n_7397),
-	.Y(n_15401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356790 (
-	.A(n_7456),
-	.B(n_7399),
-	.Y(n_15399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356791 (
-	.A(n_7455),
-	.B(n_7400),
-	.Y(n_15398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356792 (
-	.A(n_7454),
-	.B(n_7391),
-	.Y(n_15408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g356793 (
-	.A1(n_7098),
-	.A2(n_7333),
-	.A3(n_6934),
-	.B1(n_7445),
-	.Y(n_7558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g356794 (
-	.A1(n_7260),
-	.A2(n_7422),
-	.B1(n_7383),
-	.B2(n_7420),
-	.Y(n_16642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g356795 (
-	.A1_N(n_7382),
-	.A2_N(n_7260),
-	.B1(n_7260),
-	.B2(n_7421),
-	.Y(n_16643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g356797 (
-	.A1(n_7048),
-	.A2(n_14991),
-	.B1(n_7513),
-	.Y(n_17200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g356798 (
-	.A1(n_6981),
-	.A2(n_14991),
-	.B1(n_7512),
-	.Y(n_17199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g356799 (
-	.A1(n_7260),
-	.A2(n_7437),
-	.B1(n_7383),
-	.B2(n_7424),
-	.Y(n_16641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g356800 (
-	.A1(n_7260),
-	.A2(n_7433),
-	.B1(n_7383),
-	.B2(n_7276),
-	.Y(n_16644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g356804 (
-	.A(\soc_top_ifu_to_xbar[a_valid] ),
-	.Y(n_7533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g356806 (
-	.A(n_7524),
-	.Y(n_7525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g356808 (
-	.A(soc_top_u_top_u_core_alu_operator_ex[2]),
-	.Y(n_7523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g356809 (
-	.A(n_7245),
-	.B(n_7243),
-	.C(n_7246),
-	.D(n_7241),
-	.Y(n_7522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356810 (
-	.A(n_7404),
-	.B(soc_top_u_spi_host_spi_host_rx[29]),
-	.X(n_7521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356811 (
-	.A(n_7404),
-	.B(soc_top_u_spi_host_spi_host_rx[26]),
-	.X(n_7520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356812 (
-	.A(n_7404),
-	.B(soc_top_u_spi_host_spi_host_rx[20]),
-	.X(n_7519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356813 (
-	.A(n_7404),
-	.B(soc_top_u_spi_host_spi_host_rx[21]),
-	.X(n_7518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356814 (
-	.A(n_7404),
-	.B(soc_top_u_spi_host_spi_host_rx[22]),
-	.X(n_7517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356815 (
-	.A(n_7404),
-	.B(soc_top_u_spi_host_spi_host_rx[25]),
-	.X(n_7516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356816 (
-	.A(n_7404),
-	.B(soc_top_u_spi_host_spi_host_rx[23]),
-	.X(n_7515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356817 (
-	.A(n_7404),
-	.B(soc_top_u_spi_host_spi_host_rx[27]),
-	.X(n_7514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356818 (
-	.A(n_7440),
-	.B(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [3]),
-	.Y(n_7513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356819 (
-	.A(n_7440),
-	.B(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
-	.Y(n_7512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356820 (
-	.A(n_7444),
-	.B(soc_top_iccm_adapter_rvalid),
-	.Y(n_15001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g356821 (
-	.A(n_7239),
-	.B(n_7238),
-	.C(n_7237),
-	.D(n_7240),
-	.Y(n_7511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g356822 (
-	.A(n_7244),
-	.B(n_7235),
-	.C(n_7234),
-	.D(n_7236),
-	.Y(n_7510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356823 (
-	.A(n_7430),
-	.B(soc_top_u_top_u_core_csr_addr[7]),
-	.Y(n_7509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356824 (
-	.A(n_7404),
-	.B(soc_top_u_spi_host_spi_host_rx[31]),
-	.X(n_7508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356825 (
-	.A(n_7404),
-	.B(soc_top_u_spi_host_spi_host_rx[19]),
-	.X(n_7507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356826 (
-	.A(n_7404),
-	.B(soc_top_u_spi_host_spi_host_rx[16]),
-	.X(n_7506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356827 (
-	.A(n_7404),
-	.B(soc_top_u_spi_host_spi_host_rx[17]),
-	.X(n_7505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356828 (
-	.A(n_7404),
-	.B(soc_top_u_spi_host_spi_host_rx[18]),
-	.X(n_7504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356829 (
-	.A(n_7404),
-	.B(soc_top_u_spi_host_spi_host_rx[24]),
-	.X(n_7503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356830 (
-	.A(n_7404),
-	.B(soc_top_u_spi_host_spi_host_rx[28]),
-	.X(n_7502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356831 (
-	.A(n_7404),
-	.B(soc_top_u_spi_host_spi_host_rx[30]),
-	.X(n_7501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356832 (
-	.A(n_7278),
-	.B_N(n_7405),
-	.Y(n_7500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g356833 (
-	.A1(io_oeb[3]),
-	.A2(soc_top_u_spi_host_spi_host_ss[2]),
-	.B1(n_7362),
-	.C1(n_7341),
-	.Y(io_out[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g356834 (
-	.A1(io_oeb[3]),
-	.A2(soc_top_u_spi_host_spi_host_ss[1]),
-	.B1(n_7359),
-	.C1(n_7341),
-	.Y(io_out[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356835 (
-	.A(n_7343),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[1][is_subnormal] ),
-	.Y(n_7499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g356836 (
-	.A(n_6819),
-	.B(soc_top_u_top_u_core_fp_frm_fpnew[2]),
-	.X(n_16780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g356837 (
-	.A1(io_oeb[3]),
-	.A2(soc_top_u_spi_host_spi_host_ss[3]),
-	.B1(n_7358),
-	.C1(n_7341),
-	.Y(io_out[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g356838 (
-	.A(n_7189),
-	.B(n_7112),
-	.C(n_7133),
-	.D(n_7116),
-	.Y(n_7498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356839 (
-	.A(n_7329),
-	.B(n_6819),
-	.Y(n_15199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g356840 (
-	.A1(n_6958),
-	.A2(n_15245),
-	.B1(n_7211),
-	.C1(n_7115),
-	.D1(n_7188),
-	.Y(n_7497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356841 (
-	.A(n_7354),
-	.B_N(n_7427),
-	.Y(n_7496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356843 (
-	.A(n_7435),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_a_S ),
-	.Y(n_16652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356846 (
-	.A(n_7345),
-	.B(n_7293),
-	.Y(n_7539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g356847 (
-	.A(n_7278),
-	.B(n_7054),
-	.C(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
-	.D(n_6992),
-	.Y(n_7538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356849 (
-	.A(n_7438),
-	.B_N(n_7349),
-	.Y(n_7537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356850 (
-	.A(n_7403),
-	.B(n_7444),
-	.Y(n_15424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356852 (
-	.A(soc_top_u_top_u_core_fp_frm_fpnew[2]),
-	.B(n_6819),
-	.Y(n_16966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356853 (
-	.A(n_7405),
-	.B_N(n_7103),
-	.Y(n_7536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356854 (
-	.A(n_7344),
-	.B(n_6871),
-	.Y(n_7535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356855 (
-	.A(n_7347),
-	.B(n_6811),
-	.Y(n_7534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356857 (
-	.A(n_14997),
-	.B(n_7015),
-	.Y(\soc_top_ifu_to_xbar[a_valid] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356858 (
-	.A(n_6871),
-	.B(n_7344),
-	.Y(n_7531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356859 (
-	.A(n_7293),
-	.B(n_7345),
-	.Y(n_7530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g356860 (
-	.A1(n_15105),
-	.A2(n_6987),
-	.B1_N(n_7431),
-	.Y(n_7529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356861 (
-	.A(n_6816),
-	.B(n_7441),
-	.Y(n_7528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356862 (
-	.A(n_7348),
-	.B(n_6872),
-	.Y(n_7527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g356863 (
-	.A_N(n_7443),
-	.B(n_7095),
-	.Y(n_7526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356864 (
-	.A(n_6872),
-	.B(n_7348),
-	.Y(n_7524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g356865 (
-	.A1(n_7139),
-	.A2(n_14888),
-	.B1(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2522),
-	.B2(n_15130),
-	.C1(n_18208),
-	.Y(soc_top_u_top_u_core_alu_operator_ex[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g356866 (
-	.A1(n_7101),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [3]),
-	.B1(n_7439),
-	.Y(n_16647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g356868 (
-	.A(n_7248),
-	.B(n_7252),
-	.C(n_7247),
-	.D(n_7249),
-	.Y(n_7491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356869 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[15]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[15]),
-	.X(n_7490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356870 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[16]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[16]),
-	.X(n_7489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356871 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[17]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[17]),
-	.X(n_7488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356872 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[18]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[18]),
-	.X(n_7487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356873 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[19]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[19]),
-	.X(n_7486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4b_1 g356874 (
-	.A_N(soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q[2]),
-	.B(n_7232),
-	.C(n_7290),
-	.D(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
-	.X(n_17034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g356875 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][6] ),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [30]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][2] ),
-	.D(n_7233),
-	.Y(n_7485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g356876 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_7376),
-	.C_N(soc_top_u_spi_host_spi_host_ctrl[14]),
-	.Y(n_7484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356877 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[20]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[20]),
-	.X(n_7483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356878 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[21]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[21]),
-	.X(n_7482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356879 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[24]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[24]),
-	.X(n_7481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356880 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[25]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[25]),
-	.X(n_7480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356881 (
-	.A1(n_7085),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [45]),
-	.B1(n_7273),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [47]),
-	.C1(n_7214),
-	.X(n_15793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356882 (
-	.A1(n_7085),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [44]),
-	.B1(n_7273),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [46]),
-	.C1(n_7220),
-	.X(n_15792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g356883 (
-	.A1(n_7085),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [43]),
-	.B1(n_7273),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [45]),
-	.C1(n_7219),
-	.X(n_15791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356884 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[14]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[14]),
-	.X(n_7479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356885 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[13]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[13]),
-	.X(n_7478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356886 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[12]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[12]),
-	.X(n_7477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356887 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[11]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[11]),
-	.X(n_7476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356888 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[10]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[10]),
-	.X(n_7475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356889 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[1]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[1]),
-	.X(n_7474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356890 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[9]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[9]),
-	.X(n_7473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356891 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[22]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[22]),
-	.X(n_7472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356892 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[8]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[8]),
-	.X(n_7471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356893 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[7]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[7]),
-	.X(n_7470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356894 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[6]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[6]),
-	.X(n_7469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356895 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[5]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[5]),
-	.X(n_7468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356896 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[4]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[4]),
-	.X(n_7467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356897 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[3]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[3]),
-	.X(n_7466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356898 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[2]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[2]),
-	.X(n_7465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2o_1 g356899 (
-	.A1_N(soc_top_u_top_u_core_alu_operand_b_ex[3]),
-	.A2_N(n_7342),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[3]),
-	.B2(n_7342),
-	.X(n_15543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356900 (
-	.A1(n_7373),
-	.A2(soc_top_u_top_u_core_csr_mtvec[11]),
-	.B1(\soc_top_lsu_to_xbar[a_address] [11]),
-	.B2(n_7261),
-	.Y(n_7464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356901 (
-	.A1(n_7373),
-	.A2(soc_top_u_top_u_core_csr_mtvec[10]),
-	.B1(\soc_top_lsu_to_xbar[a_address] [10]),
-	.B2(n_7261),
-	.Y(n_7463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356902 (
-	.A1(n_7373),
-	.A2(soc_top_u_top_u_core_csr_mtvec[9]),
-	.B1(\soc_top_lsu_to_xbar[a_address] [9]),
-	.B2(n_7261),
-	.Y(n_7462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356903 (
-	.A1(n_7373),
-	.A2(soc_top_u_top_u_core_csr_mtvec[8]),
-	.B1(\soc_top_xbar_to_timer[a_address] [8]),
-	.B2(n_7261),
-	.Y(n_7461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356904 (
-	.A1(n_7373),
-	.A2(soc_top_u_top_u_core_csr_mtvec[7]),
-	.B1(\soc_top_xbar_to_timer[a_address] [7]),
-	.B2(n_7261),
-	.Y(n_7460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356905 (
-	.A1(n_7373),
-	.A2(soc_top_u_top_u_core_csr_mtvec[6]),
-	.B1(\soc_top_xbar_to_timer[a_address] [6]),
-	.B2(n_7261),
-	.Y(n_7459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356906 (
-	.A1(n_7373),
-	.A2(soc_top_u_top_u_core_csr_mtvec[5]),
-	.B1(\soc_top_xbar_to_timer[a_address] [5]),
-	.B2(n_7261),
-	.Y(n_7458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356907 (
-	.A1(n_7373),
-	.A2(soc_top_u_top_u_core_csr_mtvec[4]),
-	.B1(\soc_top_xbar_to_timer[a_address] [4]),
-	.B2(n_7261),
-	.Y(n_7457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356908 (
-	.A1(n_7373),
-	.A2(soc_top_u_top_u_core_csr_mtvec[3]),
-	.B1(\soc_top_xbar_to_timer[a_address] [3]),
-	.B2(n_7261),
-	.Y(n_7456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356909 (
-	.A1(n_7373),
-	.A2(soc_top_u_top_u_core_csr_mtvec[2]),
-	.B1(\soc_top_xbar_to_timer[a_address] [2]),
-	.B2(n_7261),
-	.Y(n_7455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356910 (
-	.A1(n_7373),
-	.A2(soc_top_u_top_u_core_csr_mtvec[12]),
-	.B1(\soc_top_lsu_to_xbar[a_address] [12]),
-	.B2(n_7261),
-	.Y(n_7454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356911 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[26]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[26]),
-	.X(n_7453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356912 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[31]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[31]),
-	.X(n_7452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356913 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[30]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[30]),
-	.X(n_7451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356914 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[29]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[29]),
-	.X(n_7450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356915 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[28]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[28]),
-	.X(n_7449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356916 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[27]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[27]),
-	.X(n_7448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g356917 (
-	.A1(n_7328),
-	.A2(soc_top_u_top_u_core_pc_id[23]),
-	.B1(n_7259),
-	.B2(soc_top_u_top_u_core_pc_if[23]),
-	.X(n_7447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g356918 (
-	.A1(n_7024),
-	.A2(n_15115),
-	.B1(n_6978),
-	.B2(n_15116),
-	.C1(n_7387),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[2] [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g356919 (
-	.A(n_7329),
-	.B(n_7335),
-	.C(soc_top_u_top_u_core_fp_frm_fpnew[0]),
-	.Y(n_16965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g356920 (
-	.A(n_7272),
-	.B(n_7380),
-	.C_N(n_7087),
-	.Y(n_7494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g356921 (
-	.A(n_7329),
-	.B(soc_top_u_top_u_core_fp_frm_fpnew[1]),
-	.C(soc_top_u_top_u_core_fp_frm_fpnew[0]),
-	.X(n_16964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g356922 (
-	.A(n_7336),
-	.B(soc_top_u_top_u_core_csr_addr[7]),
-	.C(soc_top_u_top_u_core_csr_addr[5]),
-	.Y(n_7493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 g356923 (
-	.A(n_11212),
-	.B(n_7378),
-	.C(\soc_top_lsu_to_xbar[a_valid] ),
-	.Y(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g356924 (
-	.A(n_7275),
-	.B(n_6936),
-	.C(n_6934),
-	.D(n_6989),
-	.Y(n_7492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g356925 (
-	.A1(n_7038),
-	.A2(n_15115),
-	.B1(n_7024),
-	.B2(n_15116),
-	.C1(n_7386),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[2] [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g356926 (
-	.A(soc_top_u_top_u_core_fp_frm_fpnew[0]),
-	.B(n_7335),
-	.C(soc_top_u_top_u_core_fp_frm_fpnew[2]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_n_12 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g356935 (
-	.A(n_7440),
-	.Y(n_15006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g356936 (
-	.A(n_7435),
-	.Y(n_15034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356937 (
-	.A(n_7269),
-	.B_N(\soc_top_xbar_to_timer[a_data] [10]),
-	.Y(soc_top_data_wdata[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356938 (
-	.A(n_7268),
-	.B_N(\soc_top_xbar_to_timer[a_data] [30]),
-	.Y(soc_top_data_wdata[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356939 (
-	.A(n_7268),
-	.B_N(\soc_top_xbar_to_timer[a_data] [29]),
-	.Y(soc_top_data_wdata[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356940 (
-	.A(n_7268),
-	.B_N(\soc_top_xbar_to_timer[a_data] [27]),
-	.Y(soc_top_data_wdata[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356941 (
-	.A(n_7268),
-	.B_N(\soc_top_xbar_to_timer[a_data] [26]),
-	.Y(soc_top_data_wdata[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356942 (
-	.A(n_7268),
-	.B_N(\soc_top_xbar_to_timer[a_data] [25]),
-	.Y(soc_top_data_wdata[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356943 (
-	.A(n_7271),
-	.B_N(\soc_top_xbar_to_timer[a_data] [22]),
-	.Y(soc_top_data_wdata[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356944 (
-	.A(n_7271),
-	.B_N(\soc_top_xbar_to_timer[a_data] [21]),
-	.Y(soc_top_data_wdata[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356945 (
-	.A(n_7271),
-	.B_N(\soc_top_xbar_to_timer[a_data] [20]),
-	.Y(soc_top_data_wdata[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356946 (
-	.A(n_7271),
-	.B_N(\soc_top_xbar_to_timer[a_data] [19]),
-	.Y(soc_top_data_wdata[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356947 (
-	.A(n_7271),
-	.B_N(\soc_top_xbar_to_timer[a_data] [17]),
-	.Y(soc_top_data_wdata[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356948 (
-	.A(n_7271),
-	.B_N(\soc_top_xbar_to_timer[a_data] [16]),
-	.Y(soc_top_data_wdata[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356949 (
-	.A(n_7269),
-	.B_N(\soc_top_xbar_to_timer[a_data] [14]),
-	.Y(soc_top_data_wdata[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356950 (
-	.A(n_7269),
-	.B_N(\soc_top_xbar_to_timer[a_data] [13]),
-	.Y(soc_top_data_wdata[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356951 (
-	.A(n_7269),
-	.B_N(\soc_top_xbar_to_timer[a_data] [12]),
-	.Y(soc_top_data_wdata[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356952 (
-	.A(n_7269),
-	.B_N(\soc_top_xbar_to_timer[a_data] [11]),
-	.Y(soc_top_data_wdata[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356953 (
-	.A(n_7269),
-	.B_N(\soc_top_xbar_to_timer[a_data] [8]),
-	.Y(soc_top_data_wdata[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356954 (
-	.A(n_7269),
-	.B_N(\soc_top_xbar_to_timer[a_data] [9]),
-	.Y(soc_top_data_wdata[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356955 (
-	.A(n_7270),
-	.B_N(\soc_top_xbar_to_timer[a_data] [6]),
-	.Y(soc_top_data_wdata[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356956 (
-	.A(n_7270),
-	.B_N(\soc_top_xbar_to_timer[a_data] [5]),
-	.Y(soc_top_data_wdata[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356957 (
-	.A(n_7270),
-	.B_N(\soc_top_xbar_to_timer[a_data] [4]),
-	.Y(soc_top_data_wdata[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356958 (
-	.A(n_7270),
-	.B_N(\soc_top_xbar_to_timer[a_data] [3]),
-	.Y(soc_top_data_wdata[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356959 (
-	.A(n_7270),
-	.B_N(\soc_top_xbar_to_timer[a_data] [1]),
-	.Y(soc_top_data_wdata[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356960 (
-	.A(n_7268),
-	.B_N(\soc_top_xbar_to_timer[a_data] [28]),
-	.Y(soc_top_data_wdata[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356961 (
-	.A(n_7271),
-	.B_N(\soc_top_xbar_to_timer[a_data] [18]),
-	.Y(soc_top_data_wdata[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356962 (
-	.A(n_7270),
-	.B_N(\soc_top_xbar_to_timer[a_data] [2]),
-	.Y(soc_top_data_wdata[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356963 (
-	.A(n_7268),
-	.B_N(\soc_top_xbar_to_timer[a_data] [24]),
-	.Y(soc_top_data_wdata[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356964 (
-	.A(n_7380),
-	.B(n_7352),
-	.Y(n_7430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g356965 (
-	.A1(n_15125),
-	.A2(soc_top_u_top_u_core_load_store_unit_i_split_misaligned_access),
-	.B1(n_15080),
-	.Y(n_7429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g356966 (
-	.A1(\soc_top_uart_to_xbar[d_valid] ),
-	.A2(n_7288),
-	.B1_N(n_14977),
-	.Y(n_7428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g356967 (
-	.A1(n_7284),
-	.A2(n_7223),
-	.B1(n_7381),
-	.X(n_7427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g356968 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_7376),
-	.C_N(soc_top_u_spi_host_spi_host_ctrl[15]),
-	.Y(n_7426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356969 (
-	.A(n_7336),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[11]),
-	.Y(n_7445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g356970 (
-	.A1(n_15005),
-	.A2(soc_top_iccm_adapter_inst_mem_u_reqfifo_n_68),
-	.B1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
-	.Y(n_7444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g356971 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_a_S ),
-	.B(n_16654),
-	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_fpu_norm_U0_n_22 ),
-	.X(n_7443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g356972 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[3]),
-	.A2(n_14883),
-	.B1_N(n_7342),
-	.Y(n_7442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g356973 (
-	.A(n_15087),
-	.B(n_15086),
-	.X(n_15085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356975 (
-	.A(n_7383),
-	.B(n_7382),
-	.Y(n_16645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356976 (
-	.A(n_7337),
-	.B(n_7275),
-	.Y(n_7441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g356977 (
-	.A(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.B_N(n_15133),
-	.Y(n_7440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356978 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [3]),
-	.B(n_7101),
-	.Y(n_7439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356979 (
-	.A(n_15133),
-	.B(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(n_14991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356980 (
-	.A(n_6996),
-	.B(n_7260),
-	.Y(n_7438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g356981 (
-	.A1(n_7086),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
-	.B1(n_7138),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
-	.C1(n_7311),
-	.Y(n_7437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356982 (
-	.A(n_7375),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[2]),
-	.Y(n_7436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g356983 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_fpu_norm_U0_n_22 ),
-	.B(n_16654),
-	.Y(n_7435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g356984 (
-	.A1(n_7086),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
-	.B1(n_7138),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
-	.C1(n_7318),
-	.Y(n_7434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g356985 (
-	.A1(n_7086),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
-	.B1(n_7138),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
-	.C1(n_7315),
-	.Y(n_7433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356986 (
-	.A(n_15072),
-	.B(n_15066),
-	.Y(n_17130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g356987 (
-	.A1(n_7086),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
-	.B1(n_7138),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
-	.C1(n_7325),
-	.Y(n_7432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g356988 (
-	.A1(n_15107),
-	.A2(n_6987),
-	.B1_N(n_7339),
-	.Y(n_7431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g356989 (
-	.A(n_7414),
-	.Y(n_7413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g356990 (
-	.A(n_7338),
-	.B(n_7361),
-	.Y(n_7403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356992 (
-	.A1(n_7267),
-	.A2(soc_top_u_top_u_core_csr_depc[11]),
-	.B1(n_7264),
-	.B2(soc_top_u_top_u_core_csr_mepc[11]),
-	.Y(n_7401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356993 (
-	.A1(n_7267),
-	.A2(soc_top_u_top_u_core_csr_depc[2]),
-	.B1(n_7264),
-	.B2(soc_top_u_top_u_core_csr_mepc[2]),
-	.Y(n_7400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356994 (
-	.A1(n_7267),
-	.A2(soc_top_u_top_u_core_csr_depc[3]),
-	.B1(n_7264),
-	.B2(soc_top_u_top_u_core_csr_mepc[3]),
-	.Y(n_7399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356995 (
-	.A1(n_7267),
-	.A2(soc_top_u_top_u_core_csr_depc[4]),
-	.B1(n_7264),
-	.B2(soc_top_u_top_u_core_csr_mepc[4]),
-	.Y(n_7398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356996 (
-	.A1(n_7267),
-	.A2(soc_top_u_top_u_core_csr_depc[5]),
-	.B1(n_7264),
-	.B2(soc_top_u_top_u_core_csr_mepc[5]),
-	.Y(n_7397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356997 (
-	.A1(n_7267),
-	.A2(soc_top_u_top_u_core_csr_depc[6]),
-	.B1(n_7264),
-	.B2(soc_top_u_top_u_core_csr_mepc[6]),
-	.Y(n_7396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356998 (
-	.A1(n_7267),
-	.A2(soc_top_u_top_u_core_csr_depc[7]),
-	.B1(n_7264),
-	.B2(soc_top_u_top_u_core_csr_mepc[7]),
-	.Y(n_7395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g356999 (
-	.A1(n_7267),
-	.A2(soc_top_u_top_u_core_csr_depc[8]),
-	.B1(n_7264),
-	.B2(soc_top_u_top_u_core_csr_mepc[8]),
-	.Y(n_7394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 g357000 (
-	.A1(\soc_top_gpio_to_xbarp[d_valid] ),
-	.A2(n_10199),
-	.A3(n_7096),
-	.B1(\soc_top_plic_resp[d_valid] ),
-	.B2(n_15084),
-	.Y(n_7393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g357001 (
-	.A1(n_7267),
-	.A2(soc_top_u_top_u_core_csr_depc[9]),
-	.B1(n_7264),
-	.B2(soc_top_u_top_u_core_csr_mepc[9]),
-	.Y(n_7392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g357002 (
-	.A1(n_7267),
-	.A2(soc_top_u_top_u_core_csr_depc[12]),
-	.B1(n_7264),
-	.B2(soc_top_u_top_u_core_csr_mepc[12]),
-	.Y(n_7391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2o_1 g357003 (
-	.A1_N(soc_top_u_top_u_core_alu_operand_b_ex[2]),
-	.A2_N(n_7294),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[2]),
-	.B2(n_7294),
-	.X(n_15542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g357004 (
-	.A1(n_7267),
-	.A2(soc_top_u_top_u_core_csr_depc[10]),
-	.B1(n_7264),
-	.B2(soc_top_u_top_u_core_csr_mepc[10]),
-	.Y(n_7390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357005 (
-	.A1(gpio_o[31]),
-	.A2(io_oeb[3]),
-	.B1(sd_o),
-	.B2(sd_oe),
-	.X(io_out[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g357006 (
-	.A1_N(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2518),
-	.A2_N(n_14962),
-	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
-	.B2(n_7231),
-	.Y(n_7389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g357007 (
-	.A1(n_7085),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [46]),
-	.B1(n_7089),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [48]),
-	.C1(n_7208),
-	.X(n_15794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g357008 (
-	.A1(n_7085),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [49]),
-	.B1(n_7099),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [51]),
-	.C1(n_7209),
-	.X(n_15797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g357009 (
-	.A(soc_top_u_top_u_core_lsu_wdata[27]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][5] ),
-	.C(soc_top_u_top_u_core_lsu_wdata[24]),
-	.D(n_15124),
-	.X(n_7388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g357010 (
-	.A1(n_7085),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [47]),
-	.B1(n_7089),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [49]),
-	.C1(n_7215),
-	.X(n_15795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g357011 (
-	.A1(n_6955),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [48]),
-	.B1(n_7242),
-	.C1(n_7251),
-	.D1(n_18216),
-	.Y(n_7387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g357012 (
-	.A1(n_7025),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [48]),
-	.B1(n_7253),
-	.C1(n_7190),
-	.D1(n_7071),
-	.Y(n_7386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g357013 (
-	.A1(n_7085),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [48]),
-	.B1(n_7089),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [50]),
-	.C1(n_7203),
-	.X(n_15796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g357014 (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][qe] ),
-	.B(\soc_top_timer0_reg2hw[compare_upper0_0][qe] ),
-	.C(soc_top_timer0_intr_timer_state_de[0]),
-	.X(\soc_top_timer0_hw2reg[intr_state0][0][de] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g357015 (
-	.A1(n_7085),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [51]),
-	.B1(n_7099),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [53]),
-	.C1(n_7195),
-	.X(n_15799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g357016 (
-	.A_N(n_7281),
-	.B(n_7095),
-	.C(n_15043),
-	.Y(n_7385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g357018 (
-	.A1(n_7085),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [50]),
-	.B1(n_7099),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [52]),
-	.C1(n_7198),
-	.X(n_15798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g357019 (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][qe] ),
-	.B(\soc_top_timer0_reg2hw[compare_lower0_0][qe] ),
-	.C_N(soc_top_timer0_intr_timer_state_d[0]),
-	.Y(\soc_top_timer0_hw2reg[intr_state0][0][d] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g357020 (
-	.A1(n_7227),
-	.A2(soc_top_u_top_u_core_pc_set),
-	.B1(n_14832),
-	.C1(soc_top_u_top_u_core_instr_req_int),
-	.Y(n_14997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g357022 (
-	.A1(n_7086),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
-	.B1(n_7138),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
-	.C1(n_7323),
-	.Y(n_7425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g357023 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [1]),
-	.A2(n_7194),
-	.B1(n_7082),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
-	.C1(n_7084),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
-	.Y(n_7424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g357024 (
-	.A1(n_7086),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
-	.B1(n_7138),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
-	.C1(n_7306),
-	.Y(n_7423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g357025 (
-	.A1(n_7086),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
-	.B1(n_7138),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
-	.C1(n_7322),
-	.Y(n_7422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g357026 (
-	.A1(n_7086),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
-	.B1(n_7138),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
-	.C1(n_7296),
-	.Y(n_7421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g357027 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
-	.A2(n_7138),
-	.B1(n_7082),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
-	.C1(n_7084),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
-	.Y(n_7420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g357028 (
-	.A1(n_7086),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
-	.B1(n_7138),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
-	.C1(n_7319),
-	.Y(n_7419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g357029 (
-	.A1(n_7086),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
-	.B1(n_7138),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
-	.C1(n_7309),
-	.Y(n_7418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g357030 (
-	.A1(n_7086),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
-	.B1(n_7138),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
-	.C1(n_7321),
-	.X(n_7417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g357031 (
-	.A1(n_7086),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
-	.B1(n_7138),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
-	.C1(n_7324),
-	.X(n_7416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g357032 (
-	.A1(n_7086),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
-	.B1(n_7138),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
-	.C1(n_7317),
-	.Y(n_7415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g357033 (
-	.A1(n_7086),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
-	.B1(n_7138),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
-	.C1(n_7316),
-	.Y(n_7414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g357034 (
-	.A1(n_7086),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
-	.B1(n_7138),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
-	.C1(n_7326),
-	.Y(n_7412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g357035 (
-	.A1(n_7086),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
-	.B1(n_7138),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
-	.C1(n_7308),
-	.Y(n_7411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g357036 (
-	.A1(n_7086),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
-	.B1(n_7138),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
-	.C1(n_7307),
-	.Y(n_7410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g357037 (
-	.A1(n_7086),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
-	.B1(n_7138),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
-	.C1(n_7297),
-	.Y(n_7409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g357038 (
-	.A1(n_7086),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
-	.B1(n_7138),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
-	.C1(n_7304),
-	.Y(n_7408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g357039 (
-	.A1(n_7086),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
-	.B1(n_7138),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
-	.C1(n_7301),
-	.X(n_7407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g357040 (
-	.A1(n_7086),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
-	.B1(n_7138),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
-	.C1(n_7298),
-	.Y(n_7406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g357041 (
-	.A(n_6939),
-	.B(n_7197),
-	.C(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
-	.Y(n_7405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357042 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_7305),
-	.Y(n_7404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357043 (
-	.A(n_7260),
-	.Y(n_7383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357044 (
-	.A(n_7368),
-	.Y(n_7367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357045 (
-	.A(n_7366),
-	.Y(n_7365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357108 (
-	.A(n_7286),
-	.B(n_7285),
-	.Y(n_7363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357110 (
-	.A(gpio_o[20]),
-	.B(io_oeb[3]),
-	.Y(n_7362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357111 (
-	.A(soc_top_iccm_adapter_rvalid),
-	.B(n_7192),
-	.Y(n_7361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357112 (
-	.A(sd_oe),
-	.B(soc_top_GPIO_cio_gpio_en_q[20]),
-	.Y(io_oeb[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357113 (
-	.A(n_7256),
-	.B(n_7144),
-	.Y(n_7360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357114 (
-	.A(gpio_o[19]),
-	.B(io_oeb[3]),
-	.Y(n_7359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357115 (
-	.A(gpio_o[21]),
-	.B(io_oeb[3]),
-	.Y(n_7358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357116 (
-	.A(n_7255),
-	.B(soc_top_u_top_u_core_exc_cause[3]),
-	.Y(n_7357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357117 (
-	.A(sd_oe),
-	.B(soc_top_GPIO_cio_gpio_en_q[31]),
-	.Y(io_oeb[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357118 (
-	.A(sd_oe),
-	.B(soc_top_GPIO_cio_gpio_en_q[19]),
-	.Y(io_oeb[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357119 (
-	.A(n_15125),
-	.B(n_15080),
-	.Y(n_7356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357120 (
-	.A(sd_oe),
-	.B(soc_top_GPIO_cio_gpio_en_q[21]),
-	.Y(io_oeb[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g357121 (
-	.A(n_7270),
-	.B_N(\soc_top_xbar_to_timer[a_data] [0]),
-	.Y(soc_top_data_wdata[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g357123 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [1]),
-	.B_N(n_7194),
-	.Y(n_7382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g357124 (
-	.A_N(n_14873),
-	.B(n_7286),
-	.Y(n_7381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357125 (
-	.A(n_7109),
-	.B(n_7266),
-	.Y(n_7380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g357126 (
-	.A_N(n_14873),
-	.B(n_7285),
-	.Y(n_7379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31o_1 g357127 (
-	.A1(n_6963),
-	.A2(soc_top_dccm_adapter_data_mem_u_reqfifo_n_327),
-	.A3(soc_top_dccm_adapter_data_mem_u_reqfifo_n_326),
-	.B1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
-	.X(n_15087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g357128 (
-	.A(\soc_top_spi_to_xbar[d_valid] ),
-	.B_N(n_7288),
-	.Y(n_7378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g357129 (
-	.A_N(n_15073),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_done ),
-	.Y(n_15072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357130 (
-	.A(soc_top_u_top_u_core_is_fp_instr),
-	.B(soc_top_u_top_u_core_instr_valid_id),
-	.Y(n_7377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g357131 (
-	.A_N(n_15030),
-	.B(soc_top_u_spi_host_spi_host_ctrl[12]),
-	.Y(n_7376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357132 (
-	.A(n_7265),
-	.B(n_7262),
-	.Y(n_7375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357133 (
-	.A(n_7295),
-	.B(n_15043),
-	.Y(n_16654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357134 (
-	.A(soc_top_u_pwm_pwm_core_n_1288),
-	.B(n_7279),
-	.Y(n_7374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g357135 (
-	.A_N(n_7290),
-	.B(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
-	.Y(soc_top_u_top_u_core_ready_wb), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357136 (
-	.A(soc_top_u_top_u_core_exc_pc_mux_id[1]),
-	.B(n_15000),
-	.Y(n_7373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357137 (
-	.A(soc_top_u_pwm_pwm_core_n_1204),
-	.B(n_7279),
-	.Y(n_7372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357138 (
-	.A(n_15062),
-	.B(n_7279),
-	.Y(n_7371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357139 (
-	.A(n_7255),
-	.B(soc_top_u_top_u_core_csr_save_wb),
-	.X(n_7370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357140 (
-	.A(n_7255),
-	.B(soc_top_u_top_u_core_csr_save_if),
-	.X(n_7369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g357141 (
-	.A(n_14983),
-	.B_N(soc_top_u_top_u_core_pc_set),
-	.Y(n_7368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g357142 (
-	.A(soc_top_u_top_u_core_csr_restore_mret_id),
-	.B(n_7254),
-	.X(n_7366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g357143 (
-	.A(n_7144),
-	.B(n_7257),
-	.X(n_7364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357147 (
-	.A(soc_top_u_top_u_core_fp_frm_fpnew[1]),
-	.Y(n_7335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357148 (
-	.A(n_7334),
-	.Y(n_7333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357149 (
-	.A(n_7332),
-	.Y(n_7331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357150 (
-	.A(n_7330),
-	.Y(soc_top_u_top_u_core_lsu_wdata[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357151 (
-	.A(n_7329),
-	.Y(soc_top_u_top_u_core_fp_frm_fpnew[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357152 (
-	.A1(n_7082),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
-	.B1(n_7084),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
-	.X(n_7326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357153 (
-	.A1(n_7082),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
-	.B1(n_7084),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
-	.X(n_7325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357154 (
-	.A1(n_7082),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
-	.B1(n_7084),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
-	.X(n_7324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357155 (
-	.A1(n_7000),
-	.A2(n_7081),
-	.B1(n_6949),
-	.B2(n_7083),
-	.Y(n_7323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357156 (
-	.A1(n_7082),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
-	.B1(n_7084),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
-	.X(n_7322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357157 (
-	.A(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B(n_7185),
-	.Y(n_15745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357158 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.B(n_7167),
-	.Y(n_15800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357159 (
-	.A(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B(n_7152),
-	.Y(n_15803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357160 (
-	.A1(n_7082),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
-	.B1(n_7084),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
-	.X(n_7321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357161 (
-	.A(n_7229),
-	.B(n_10199),
-	.Y(n_7320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357162 (
-	.A1(n_7082),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
-	.B1(n_7084),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
-	.X(n_7319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357163 (
-	.A1(n_7001),
-	.A2(n_7081),
-	.B1(n_7000),
-	.B2(n_7083),
-	.Y(n_7318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357164 (
-	.A1(n_7082),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
-	.B1(n_7084),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
-	.X(n_7317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357165 (
-	.A1(n_7082),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
-	.B1(n_7084),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
-	.X(n_7316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357166 (
-	.A1(n_6998),
-	.A2(n_7081),
-	.B1(n_7003),
-	.B2(n_7083),
-	.Y(n_7315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g357167 (
-	.A(\soc_top_lsu_to_xbar[a_address] [13]),
-	.B(\soc_top_lsu_to_xbar[a_address] [16]),
-	.C(\soc_top_lsu_to_xbar[a_address] [19]),
-	.D(n_7187),
-	.X(n_7314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g357168 (
-	.A1(n_17294),
-	.A2(n_510),
-	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_n_1705 ),
-	.Y(n_7313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g357169 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [4]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [5]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [3]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [2]),
-	.Y(n_7312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357170 (
-	.A1(n_7082),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
-	.B1(n_7084),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
-	.X(n_7311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g357171 (
-	.A1(soc_top_u_top_u_core_priv_mode_id[1]),
-	.A2(soc_top_u_top_u_core_priv_mode_id[0]),
-	.B1(n_7272),
-	.Y(n_7310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357172 (
-	.A1(n_7082),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
-	.B1(n_7084),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
-	.X(n_7309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357173 (
-	.A1(n_7082),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
-	.B1(n_7084),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
-	.X(n_7308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357174 (
-	.A1(n_7082),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
-	.B1(n_7084),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
-	.X(n_7307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357175 (
-	.A1(n_7082),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
-	.B1(n_7084),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
-	.X(n_7306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g357176 (
-	.A(n_15062),
-	.B(n_17201),
-	.C(\soc_top_xbar_to_timer[a_address] [6]),
-	.X(n_7305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357177 (
-	.A1(n_7082),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
-	.B1(n_7084),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
-	.X(n_7304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g357178 (
-	.A(n_7151),
-	.B(n_17127),
-	.C_N(n_15191),
-	.Y(n_7303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g357179 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
-	.Y(n_7302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357180 (
-	.A1(n_7082),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
-	.B1(n_7084),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
-	.X(n_7301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4b_1 g357181 (
-	.A_N(n_15120),
-	.B(n_15097),
-	.C(n_15103),
-	.D(n_15108),
-	.X(n_7300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 g357182 (
-	.A1(soc_top_u_pwm_pwm_core_ctrl_2[4]),
-	.A2(pwm2_oe),
-	.A3(soc_top_u_pwm_pwm_core_pts_2),
-	.B1(gpio_o[26]),
-	.B2(n_7020),
-	.X(io_out[34]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 g357183 (
-	.A1(soc_top_u_pwm_pwm_core_ctrl[4]),
-	.A2(pwm1_oe),
-	.A3(soc_top_u_pwm_pwm_core_pts),
-	.B1(gpio_o[25]),
-	.B2(n_7023),
-	.X(io_out[33]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g357184 (
-	.A1(n_6989),
-	.A2(soc_top_u_top_u_core_alu_operand_b_ex[4]),
-	.B1(n_7274),
-	.Y(n_7299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357185 (
-	.A1(n_7136),
-	.A2(soc_top_u_top_u_core_debug_cause[2]),
-	.B1(n_7137),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [2]),
-	.X(soc_top_u_top_u_core_cs_registers_i_n_5940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357186 (
-	.A1(n_7136),
-	.A2(soc_top_u_top_u_core_debug_cause[1]),
-	.B1(n_7137),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [1]),
-	.X(soc_top_u_top_u_core_cs_registers_i_n_5939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357187 (
-	.A1(n_7136),
-	.A2(soc_top_u_top_u_core_debug_cause[0]),
-	.B1(n_7137),
-	.B2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [0]),
-	.X(soc_top_u_top_u_core_cs_registers_i_n_5938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2o_2 g357188 (
-	.A1_N(soc_top_u_top_u_core_alu_operand_b_ex[1]),
-	.A2_N(n_7149),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[1]),
-	.B2(n_7149),
-	.X(n_15541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357189 (
-	.A1(n_7082),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
-	.B1(n_7084),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
-	.X(n_7298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357190 (
-	.A1(n_7082),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
-	.B1(n_7084),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
-	.X(n_7297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357191 (
-	.A1(n_7003),
-	.A2(n_7081),
-	.B1(n_7002),
-	.B2(n_7083),
-	.Y(n_7296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g357192 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [9]),
-	.A2(n_6931),
-	.B1(soc_top_u_top_u_core_lsu_wdata[17]),
-	.B2(n_6932),
-	.C1(n_7162),
-	.X(\soc_top_xbar_to_timer[a_data] [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g357193 (
-	.A1(n_15184),
-	.A2(FE_DBTN18_soc_top_u_top_u_core_lsu_wdata_29),
-	.B1(n_15047),
-	.B2(n_437),
-	.C1(n_7155),
-	.Y(\soc_top_xbar_to_timer[a_data] [21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g357194 (
-	.A1(n_15184),
-	.A2(FE_DBTN5_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_exponent_5),
-	.B1(n_15047),
-	.B2(n_18281),
-	.C1(n_7176),
-	.Y(\soc_top_xbar_to_timer[a_data] [20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g357195 (
-	.A1(soc_top_u_top_u_core_load_store_unit_i_n_753),
-	.A2(n_7018),
-	.B1(n_15046),
-	.B2(n_6947),
-	.C1(n_7163),
-	.Y(\soc_top_xbar_to_timer[a_data] [19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g357196 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [18]),
-	.A2(n_6930),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [10]),
-	.B2(n_6933),
-	.C1(n_7164),
-	.X(\soc_top_xbar_to_timer[a_data] [18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g357197 (
-	.A1(n_15184),
-	.A2(FE_DBTN4_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_exponent_2),
-	.B1(n_15047),
-	.B2(n_532),
-	.C1(n_7175),
-	.Y(\soc_top_xbar_to_timer[a_data] [17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g357198 (
-	.A1(soc_top_u_top_u_core_load_store_unit_i_n_753),
-	.A2(n_533),
-	.B1(n_15046),
-	.B2(n_18281),
-	.C1(n_7182),
-	.Y(\soc_top_xbar_to_timer[a_data] [12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g357199 (
-	.A1(soc_top_u_top_u_core_load_store_unit_i_n_753),
-	.A2(n_6947),
-	.B1(n_15046),
-	.B2(n_6954),
-	.C1(n_7165),
-	.Y(\soc_top_xbar_to_timer[a_data] [11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g357200 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [10]),
-	.A2(n_6930),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [2]),
-	.B2(n_6933),
-	.C1(n_7166),
-	.X(\soc_top_xbar_to_timer[a_data] [10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31o_1 g357201 (
-	.A1(n_6973),
-	.A2(soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_207),
-	.A3(soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_206),
-	.B1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
-	.X(n_15086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g357202 (
-	.A1(n_15184),
-	.A2(n_531),
-	.B1(n_15047),
-	.B2(FE_DBTN18_soc_top_u_top_u_core_lsu_wdata_29),
-	.C1(n_7154),
-	.Y(\soc_top_xbar_to_timer[a_data] [13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g357203 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[10]),
-	.B(n_6936),
-	.C(soc_top_u_top_u_core_debug_mode),
-	.D(soc_top_u_top_u_core_csr_addr[5]),
-	.Y(n_7355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g357204 (
-	.A1(soc_top_u_top_u_core_load_store_unit_i_n_753),
-	.A2(FE_DBTN19_soc_top_u_top_u_core_lsu_wdata_30),
-	.B1(n_15046),
-	.B2(FE_DBTN13_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_mantissa_22),
-	.C1(n_7153),
-	.Y(\soc_top_xbar_to_timer[a_data] [30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g357205 (
-	.A1(soc_top_u_top_u_core_lsu_wdata[0]),
-	.A2(n_6931),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [8]),
-	.B2(n_6932),
-	.C1(n_7178),
-	.X(\soc_top_xbar_to_timer[a_data] [24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g357206 (
-	.A1(n_15184),
-	.A2(FE_DBTN19_soc_top_u_top_u_core_lsu_wdata_30),
-	.B1(n_15047),
-	.B2(n_7009),
-	.C1(n_7177),
-	.Y(\soc_top_xbar_to_timer[a_data] [22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g357207 (
-	.A1(n_14969),
-	.A2(n_14968),
-	.B1(n_14878),
-	.C1(n_7104),
-	.Y(n_7354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g357208 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [8]),
-	.A2(n_6930),
-	.B1(soc_top_u_top_u_core_lsu_wdata[0]),
-	.B2(n_6933),
-	.C1(n_7171),
-	.X(\soc_top_xbar_to_timer[a_data] [8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g357209 (
-	.A1(n_7022),
-	.A2(soc_top_u_top_u_core_csr_restore_mret_id),
-	.B1(n_7255),
-	.Y(n_7353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g357210 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [16]),
-	.A2(n_6930),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [8]),
-	.B2(n_6933),
-	.C1(n_7174),
-	.X(\soc_top_xbar_to_timer[a_data] [16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g357211 (
-	.A1(n_15184),
-	.A2(FE_DBTN13_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_mantissa_22),
-	.B1(n_15047),
-	.B2(FE_DBTN19_soc_top_u_top_u_core_lsu_wdata_30),
-	.C1(n_7172),
-	.Y(\soc_top_xbar_to_timer[a_data] [14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g357212 (
-	.A1(n_15184),
-	.A2(FE_DBTN11_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_mantissa_14),
-	.B1(n_15047),
-	.B2(FE_DBTN13_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_mantissa_22),
-	.C1(n_7170),
-	.Y(\soc_top_xbar_to_timer[a_data] [6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g357213 (
-	.A1(soc_top_u_top_u_core_load_store_unit_i_n_753),
-	.A2(n_18263),
-	.B1(n_15046),
-	.B2(n_532),
-	.C1(n_7179),
-	.Y(\soc_top_xbar_to_timer[a_data] [9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357214 (
-	.A(n_7110),
-	.B(n_7263),
-	.Y(n_7352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4bb_1 g357215 (
-	.A(soc_top_u_top_u_core_rf_raddr_b[3]),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[31]),
-	.C_N(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
-	.D_N(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
-	.Y(n_7351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g357216 (
-	.A_N(n_7096),
-	.B(n_10199),
-	.C(n_9961),
-	.Y(n_7350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g357217 (
-	.A1(soc_top_u_top_u_core_load_store_unit_i_n_753),
-	.A2(FE_DBTN18_soc_top_u_top_u_core_lsu_wdata_29),
-	.B1(n_15046),
-	.B2(n_531),
-	.C1(n_7180),
-	.Y(\soc_top_xbar_to_timer[a_data] [29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g357218 (
-	.A(n_7221),
-	.B(n_7112),
-	.C(n_6946),
-	.X(n_7349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g357219 (
-	.A1(n_15184),
-	.A2(n_18281),
-	.B1(n_15047),
-	.B2(n_533),
-	.C1(n_7157),
-	.Y(\soc_top_xbar_to_timer[a_data] [28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g357220 (
-	.A1(n_15184),
-	.A2(n_6954),
-	.B1(n_15047),
-	.B2(n_6947),
-	.C1(n_7156),
-	.Y(\soc_top_xbar_to_timer[a_data] [27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g357221 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [2]),
-	.A2(n_6931),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [10]),
-	.B2(n_6932),
-	.C1(n_7158),
-	.X(\soc_top_xbar_to_timer[a_data] [26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g357222 (
-	.A1(n_15184),
-	.A2(n_532),
-	.B1(n_15047),
-	.B2(n_18263),
-	.C1(n_7159),
-	.Y(\soc_top_xbar_to_timer[a_data] [25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g357223 (
-	.A1_N(soc_top_u_top_u_core_lsu_wdata[29]),
-	.A2_N(n_7091),
-	.B1(soc_top_u_top_u_core_lsu_wdata[29]),
-	.B2(n_7091),
-	.Y(n_7348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g357224 (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][5] ),
-	.A2_N(n_7146),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][5] ),
-	.B2(n_7146),
-	.Y(n_7347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g357225 (
-	.A1_N(soc_top_u_top_u_core_lsu_wdata[27]),
-	.A2_N(n_7147),
-	.B1(soc_top_u_top_u_core_lsu_wdata[27]),
-	.B2(n_7147),
-	.Y(n_7346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g357226 (
-	.A1_N(FE_DBTN16_soc_top_u_top_u_core_lsu_wdata_26),
-	.A2_N(n_7094),
-	.B1(FE_DBTN16_soc_top_u_top_u_core_lsu_wdata_26),
-	.B2(n_7094),
-	.Y(n_7345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g357227 (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][2] ),
-	.A2_N(n_7143),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][2] ),
-	.B2(n_7143),
-	.Y(n_7344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g357228 (
-	.A1_N(FE_DBTN15_soc_top_u_top_u_core_lsu_wdata_24),
-	.A2_N(n_6809),
-	.B1(FE_DBTN15_soc_top_u_top_u_core_lsu_wdata_24),
-	.B2(n_6809),
-	.Y(n_7343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g357229 (
-	.A1(soc_top_u_top_u_core_load_store_unit_i_n_753),
-	.A2(n_437),
-	.B1(n_15046),
-	.B2(FE_DBTN18_soc_top_u_top_u_core_lsu_wdata_29),
-	.C1(n_7169),
-	.Y(\soc_top_xbar_to_timer[a_data] [5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g357230 (
-	.A1(soc_top_u_top_u_core_load_store_unit_i_n_753),
-	.A2(n_18281),
-	.B1(n_15046),
-	.B2(FE_DBTN5_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_exponent_5),
-	.C1(n_7168),
-	.Y(\soc_top_xbar_to_timer[a_data] [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g357231 (
-	.A1(n_15184),
-	.A2(n_6947),
-	.B1(n_15047),
-	.B2(n_7018),
-	.C1(n_7160),
-	.Y(\soc_top_xbar_to_timer[a_data] [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g357232 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [10]),
-	.A2(n_6931),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [18]),
-	.B2(n_6932),
-	.C1(n_7161),
-	.X(\soc_top_xbar_to_timer[a_data] [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g357233 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[2]),
-	.A2(n_7111),
-	.B1(n_14883),
-	.Y(n_7342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357235 (
-	.A(n_7106),
-	.B(sd_oe),
-	.Y(n_7341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357236 (
-	.A(soc_top_iccm_adapter_inst_mem_u_sramreqfifo_n_136),
-	.B(soc_top_iccm_adapter_inst_mem_u_sramreqfifo_n_52),
-	.Y(n_15133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g357237 (
-	.A(n_7278),
-	.B(n_7103),
-	.C(n_6992),
-	.Y(n_7340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g357238 (
-	.A(n_7126),
-	.B(n_6939),
-	.C(n_15245),
-	.Y(n_15183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g357239 (
-	.A1(n_15106),
-	.A2(n_6987),
-	.B1_N(n_7273),
-	.Y(n_7339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357240 (
-	.A(soc_top_iccm_adapter_inst_mem_u_rspfifo_n_390),
-	.B(soc_top_iccm_adapter_inst_mem_u_rspfifo_n_108),
-	.X(n_7338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357241 (
-	.A(n_7274),
-	.B(n_6934),
-	.X(n_7337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g357242 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[6]),
-	.B(n_7272),
-	.C(n_7006),
-	.Y(n_7336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g357243 (
-	.A1_N(soc_top_u_top_u_core_instr_rdata_alu_id[12]),
-	.A2_N(n_7139),
-	.B1(n_6951),
-	.B2(n_7139),
-	.Y(soc_top_u_top_u_core_fp_frm_fpnew[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g357244 (
-	.A1_N(soc_top_u_top_u_core_instr_rdata_alu_id[13]),
-	.A2_N(n_7139),
-	.B1(n_7016),
-	.B2(n_7139),
-	.Y(soc_top_u_top_u_core_fp_frm_fpnew[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357245 (
-	.A(n_7266),
-	.B(n_7262),
-	.Y(n_7334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357246 (
-	.A(n_7263),
-	.B(n_7265),
-	.Y(n_7332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g357247 (
-	.A1(n_17438),
-	.A2(n_6970),
-	.B1(n_7250),
-	.Y(n_7330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g357248 (
-	.A1(n_7139),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[14]),
-	.B1_N(n_6815),
-	.Y(n_7329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357249 (
-	.A(n_7137),
-	.B(n_7287),
-	.Y(n_7328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357250 (
-	.A(n_7287),
-	.B(n_7254),
-	.Y(n_7327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357252 (
-	.A(n_7280),
-	.Y(n_15081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357253 (
-	.A(n_7276),
-	.Y(n_7277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357256 (
-	.A(n_14998),
-	.Y(n_7267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357257 (
-	.A(n_7266),
-	.Y(n_7265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357258 (
-	.A(n_15003),
-	.Y(n_7264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357259 (
-	.A(n_7263),
-	.Y(n_7262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357262 (
-	.A(n_7257),
-	.Y(n_7256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357263 (
-	.A(n_7255),
-	.Y(n_7254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357264 (
-	.A1(n_6966),
-	.A2(n_15110),
-	.B1(n_6961),
-	.B2(n_15109),
-	.Y(n_7253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357265 (
-	.A1(n_7034),
-	.A2(n_14929),
-	.B1(n_7027),
-	.B2(n_14859),
-	.Y(n_7252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357266 (
-	.A1(n_6962),
-	.A2(n_15111),
-	.B1(n_7036),
-	.B2(n_15112),
-	.Y(n_7251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357267 (
-	.A1(n_6980),
-	.A2(n_14917),
-	.B1(soc_top_u_top_u_core_use_fp_rs2),
-	.B2(n_7044),
-	.Y(n_7250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357268 (
-	.A1(n_7040),
-	.A2(n_14970),
-	.B1(n_7045),
-	.B2(n_14932),
-	.Y(n_7249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357269 (
-	.A1(n_7042),
-	.A2(n_14856),
-	.B1(n_7037),
-	.B2(n_14857),
-	.Y(n_7248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357270 (
-	.A1(n_6974),
-	.A2(n_14858),
-	.B1(n_7046),
-	.B2(n_14919),
-	.Y(n_7247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357271 (
-	.A1(n_7050),
-	.A2(n_14921),
-	.B1(n_7031),
-	.B2(n_14920),
-	.Y(n_7246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357272 (
-	.A1(n_6964),
-	.A2(n_14923),
-	.B1(n_7043),
-	.B2(n_14926),
-	.Y(n_7245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357273 (
-	.A1(n_6982),
-	.A2(n_14927),
-	.B1(n_7035),
-	.B2(n_14925),
-	.Y(n_7244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357274 (
-	.A1(n_6979),
-	.A2(n_14924),
-	.B1(n_7049),
-	.B2(n_14928),
-	.Y(n_7243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357275 (
-	.A1(n_6961),
-	.A2(n_15110),
-	.B1(n_7047),
-	.B2(n_15109),
-	.Y(n_7242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357276 (
-	.A1(n_7053),
-	.A2(n_14922),
-	.B1(n_6983),
-	.B2(n_14860),
-	.Y(n_7241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357277 (
-	.A1(n_6977),
-	.A2(n_14934),
-	.B1(n_6976),
-	.B2(n_14933),
-	.Y(n_7240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357278 (
-	.A1(n_6969),
-	.A2(n_14942),
-	.B1(n_7039),
-	.B2(n_14941),
-	.Y(n_7239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357279 (
-	.A1(n_7032),
-	.A2(n_14930),
-	.B1(n_7052),
-	.B2(n_14940),
-	.Y(n_7238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357280 (
-	.A1(n_6965),
-	.A2(n_14931),
-	.B1(n_6975),
-	.B2(n_14935),
-	.Y(n_7237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357281 (
-	.A1(n_6968),
-	.A2(n_14861),
-	.B1(n_7051),
-	.B2(n_14937),
-	.Y(n_7236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357282 (
-	.A1(n_6971),
-	.A2(n_14936),
-	.B1(n_6967),
-	.B2(n_14938),
-	.Y(n_7235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357283 (
-	.A1(n_7029),
-	.A2(n_14939),
-	.B1(n_7041),
-	.B2(n_14862),
-	.Y(n_7234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g357284 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][4] ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][5] ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][1] ),
-	.X(n_7233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g357285 (
-	.A(soc_top_u_top_u_core_lsu_load_err),
-	.B(soc_top_u_top_u_core_lsu_store_err),
-	.C_N(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ),
-	.Y(n_7232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g357286 (
-	.A(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2940),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_44),
-	.C(n_14901),
-	.Y(n_7231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g357287 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [10]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [9]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [11]),
-	.Y(n_7230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g357288 (
-	.A(\soc_top_pwm_to_xbar[d_valid] ),
-	.B(n_9961),
-	.C(n_7096),
-	.Y(n_7229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g357289 (
-	.A(soc_top_u_top_u_core_rf_raddr_b[0]),
-	.B(soc_top_u_top_u_core_rf_raddr_b[1]),
-	.C(soc_top_u_top_u_core_rf_raddr_b[4]),
-	.Y(n_7228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g357290 (
-	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[0]),
-	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[1]),
-	.B1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[0]),
-	.Y(n_7227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g357291 (
-	.A1(\soc_top_timer_to_xbar[d_valid] ),
-	.A2(n_17198),
-	.B1(n_14977),
-	.Y(n_7226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g357292 (
-	.A1(n_15888),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.B1(n_15801),
-	.X(n_15834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357293 (
-	.A(n_7114),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
-	.Y(n_7225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357294 (
-	.A(n_7123),
-	.B(n_15151),
-	.Y(n_7224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357295 (
-	.A(n_18209),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[3]),
-	.Y(n_7223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g357296 (
-	.A1(soc_top_u_top_u_core_alu_operator_ex[1]),
-	.A2(n_7021),
-	.B1(n_14911),
-	.Y(n_7222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357297 (
-	.A(n_7086),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
-	.Y(n_7221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357298 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [44]),
-	.B(n_7080),
-	.Y(n_7220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357299 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [43]),
-	.B(n_7080),
-	.Y(n_7219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357300 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [42]),
-	.B(n_7080),
-	.Y(n_7218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357301 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [41]),
-	.B(n_7080),
-	.Y(n_7217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357302 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [40]),
-	.B(n_7080),
-	.Y(n_7216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357303 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [47]),
-	.B(n_7080),
-	.Y(n_7215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357304 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [45]),
-	.B(n_7080),
-	.Y(n_7214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357305 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [39]),
-	.B(n_7080),
-	.Y(n_7213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357306 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [38]),
-	.B(n_7080),
-	.Y(n_7212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357307 (
-	.A(n_14968),
-	.B(n_7104),
-	.Y(n_7211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357308 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [37]),
-	.B(n_7080),
-	.Y(n_7210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357309 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [49]),
-	.B(n_7080),
-	.Y(n_7209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357310 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [46]),
-	.B(n_7080),
-	.Y(n_7208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357311 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [36]),
-	.B(n_7080),
-	.Y(n_7207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357312 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [34]),
-	.B(n_7080),
-	.Y(n_7206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357313 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [33]),
-	.B(n_7080),
-	.Y(n_7205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357314 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [35]),
-	.B(n_7080),
-	.Y(n_7204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357315 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [48]),
-	.B(n_7080),
-	.Y(n_7203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357316 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [29]),
-	.B(n_7080),
-	.Y(n_7202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g357317 (
-	.A_N(n_7106),
-	.B(soc_top_u_spi_host_spi_host_ss[0]),
-	.Y(io_out[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357318 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [32]),
-	.B(n_7080),
-	.Y(n_7201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357319 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_fpu_norm_U0_n_44 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_SNaN_S ),
-	.Y(n_7200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357320 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [31]),
-	.B(n_7080),
-	.Y(n_7199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357321 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [50]),
-	.B(n_7080),
-	.Y(n_7198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357322 (
-	.A(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2986),
-	.B(n_7102),
-	.Y(n_7197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357323 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [30]),
-	.B(n_7080),
-	.Y(n_7196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357324 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [51]),
-	.B(n_7080),
-	.Y(n_7195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357336 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_a_S ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_fpu_norm_U0_n_44 ),
-	.Y(n_7295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357338 (
-	.A(n_7111),
-	.B(n_14883),
-	.Y(n_7294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 g357340 (
-	.A(soc_top_u_top_u_core_pc_mux_id[2]),
-	.B(n_14999),
-	.X(n_14983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357345 (
-	.A(FE_DBTN4_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_exponent_2),
-	.B(n_7143),
-	.Y(n_7293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357349 (
-	.A(n_6935),
-	.B(n_7092),
-	.Y(n_7292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357350 (
-	.A(n_7092),
-	.B(n_6936),
-	.X(n_7291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g357352 (
-	.A1(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [0]),
-	.A2(n_7030),
-	.B1(n_14954),
-	.Y(n_7290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357353 (
-	.A(n_7094),
-	.B(soc_top_u_top_u_core_lsu_wdata[26]),
-	.Y(n_7289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g357358 (
-	.A(soc_top_main_swith_host_lsu_dev_select_t[2]),
-	.B(n_14914),
-	.C_N(soc_top_main_swith_host_lsu_dev_select_t[1]),
-	.Y(n_7288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357360 (
-	.A(n_7113),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [1]),
-	.Y(n_15066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357361 (
-	.A(n_7074),
-	.B(soc_top_u_top_u_core_csr_save_id),
-	.Y(n_7287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g357368 (
-	.A1(n_14965),
-	.A2(n_14964),
-	.B1(n_14878),
-	.Y(n_7286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g357369 (
-	.A1(n_14918),
-	.A2(n_14916),
-	.B1(n_14878),
-	.Y(n_7285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g357371 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[5]),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
-	.C(n_14866),
-	.X(n_7284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g357373 (
-	.A_N(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
-	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
-	.Y(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_n_1705 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g357374 (
-	.A(soc_top_u_spi_host_spi_host_last_bit),
-	.B(soc_top_u_spi_host_spi_host_tip),
-	.C(soc_top_u_spi_host_spi_host_pos_edge),
-	.Y(n_15030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g357377 (
-	.A(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
-	.B(n_15146),
-	.C(\soc_top_xbar_to_lsu[d_valid] ),
-	.Y(n_7283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g357380 (
-	.A(n_14912),
-	.B(soc_top_u_top_u_core_alu_operator_ex[3]),
-	.C(soc_top_u_top_u_core_alu_operator_ex[5]),
-	.Y(n_7282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g357382 (
-	.A(soc_top_u_top_u_core_pc_mux_id[0]),
-	.B(soc_top_u_top_u_core_pc_mux_id[2]),
-	.C_N(soc_top_u_top_u_core_pc_mux_id[1]),
-	.X(n_15000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_2 g357383 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [1]),
-	.B(soc_top_u_top_u_core_fp_flush),
-	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [0]),
-	.X(n_15073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357384 (
-	.A(n_7090),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_a_S ),
-	.Y(n_7281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g357385 (
-	.A(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
-	.B(n_15146),
-	.C_N(\soc_top_xbar_to_lsu[d_valid] ),
-	.X(n_15080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g357386 (
-	.A(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
-	.B_N(n_17128),
-	.Y(n_7280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g357387 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_15052),
-	.C(\soc_top_xbar_to_timer[a_address] [6]),
-	.X(n_7279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g357388 (
-	.A1(soc_top_u_top_u_core_id_stage_i_decoder_i_n_44),
-	.A2(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2749),
-	.B1(n_14878),
-	.Y(n_7278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357389 (
-	.A(n_7084),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
-	.Y(n_7276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357390 (
-	.A(n_6935),
-	.B(n_7087),
-	.X(n_7275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g357391 (
-	.A1(n_14886),
-	.A2(n_14892),
-	.B1(n_15068),
-	.X(n_15191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357392 (
-	.A(n_7098),
-	.B(n_6989),
-	.X(n_7274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357393 (
-	.A(n_7088),
-	.B(n_7069),
-	.Y(n_7273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g357394 (
-	.A1(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2801),
-	.A2(n_14868),
-	.B1(n_14893),
-	.Y(soc_top_u_top_u_core_is_fp_instr), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357395 (
-	.A(n_7140),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[9]),
-	.Y(n_7272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357396 (
-	.A(n_7141),
-	.B(\soc_top_xbar_to_timer[a_mask] [2]),
-	.Y(n_7271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357397 (
-	.A(n_7141),
-	.B(\soc_top_xbar_to_timer[a_mask] [0]),
-	.Y(n_7270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357398 (
-	.A(n_7141),
-	.B(\soc_top_xbar_to_timer[a_mask] [1]),
-	.Y(n_7269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357399 (
-	.A(n_7141),
-	.B(\soc_top_xbar_to_timer[a_mask] [3]),
-	.Y(n_7268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357400 (
-	.A(n_7145),
-	.B(soc_top_u_top_u_core_pc_mux_id[2]),
-	.Y(n_14998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357401 (
-	.A(n_7140),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[1]),
-	.Y(n_7266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357403 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B(n_7140),
-	.Y(n_7263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g357404 (
-	.A(soc_top_u_top_u_core_pc_mux_id[1]),
-	.B(soc_top_u_top_u_core_pc_mux_id[2]),
-	.C_N(soc_top_u_top_u_core_pc_mux_id[0]),
-	.Y(n_7261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g357406 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [1]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [2]),
-	.B1(n_7100),
-	.Y(n_7260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357407 (
-	.A(n_7136),
-	.B(soc_top_u_top_u_core_csr_save_if),
-	.X(n_7259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357408 (
-	.A(n_7136),
-	.B(soc_top_u_top_u_core_csr_save_wb),
-	.X(n_7258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g357409 (
-	.A_N(n_14909),
-	.B(n_12107),
-	.C(soc_top_u_top_u_core_instr_rdata_alu_id[13]),
-	.Y(n_7257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3b_1 g357410 (
-	.A_N(soc_top_u_top_u_core_debug_mode),
-	.B(soc_top_u_top_u_core_csr_save_cause),
-	.C(n_7026),
-	.X(n_7255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g357411 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][0] ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_info[0][is_subnormal] ),
-	.Y(n_7191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357412 (
-	.A1(n_7033),
-	.A2(n_15111),
-	.B1(n_6962),
-	.B2(n_15112),
-	.Y(n_7190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357413 (
-	.A1(n_6986),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [5]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [6]),
-	.X(n_15679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357414 (
-	.A1(n_6986),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [4]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [5]),
-	.X(n_15678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357415 (
-	.A1(n_6986),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [3]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [4]),
-	.X(n_15677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357416 (
-	.A1(n_6986),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [8]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [9]),
-	.X(n_15682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357417 (
-	.A1(n_6986),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [3]),
-	.X(n_15676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357418 (
-	.A1(n_6986),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [1]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [2]),
-	.X(n_15675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357419 (
-	.A1(n_6986),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [9]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [10]),
-	.X(n_15683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357420 (
-	.A1(n_6986),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [1]),
-	.X(n_15674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357421 (
-	.A1(n_6986),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [10]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [11]),
-	.X(n_15684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357422 (
-	.A1(n_6986),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [7]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [8]),
-	.X(n_15681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357423 (
-	.A1(n_6986),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [6]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [7]),
-	.X(n_15680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357424 (
-	.A1(n_15866),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15865),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357425 (
-	.A1(n_15870),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15869),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357426 (
-	.A1(n_15891),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15890),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357427 (
-	.A1(n_15867),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15866),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357428 (
-	.A1(n_15871),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15870),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357429 (
-	.A1(n_15914),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15863),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357430 (
-	.A1(n_15881),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15880),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357431 (
-	.A1(n_15912),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15911),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357432 (
-	.A1(n_15911),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15910),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357433 (
-	.A1(n_15910),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15909),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357434 (
-	.A1(n_15909),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15908),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357435 (
-	.A1(n_15908),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15907),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357436 (
-	.A1(n_15907),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15906),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357437 (
-	.A1(n_15906),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15905),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357438 (
-	.A1(n_15905),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15904),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357439 (
-	.A1(n_15904),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15903),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357440 (
-	.A1(n_15903),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15902),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357441 (
-	.A1(n_15892),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15891),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357442 (
-	.A1(n_15901),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15900),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357443 (
-	.A1(n_15900),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15899),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357444 (
-	.A1(n_15899),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15898),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357445 (
-	.A1(n_15875),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [15]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357446 (
-	.A1(n_15898),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15897),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357447 (
-	.A1(n_15897),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15896),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357448 (
-	.A1(n_15893),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15892),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357449 (
-	.A1(n_15896),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15895),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357450 (
-	.A1(n_15886),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15885),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357451 (
-	.A1(n_15888),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15887),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g357452 (
-	.A1_N(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.A2_N(n_15887),
-	.B1(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B2(n_6987),
-	.Y(n_15832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g357453 (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.A2_N(n_15886),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.B2(n_6987),
-	.Y(n_15831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357454 (
-	.A1(n_15885),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15884),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357455 (
-	.A1(n_15884),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15883),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357456 (
-	.A1(n_15883),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15882),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357457 (
-	.A1(n_15880),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15879),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357458 (
-	.A1(n_15878),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15877),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357459 (
-	.A1(n_15877),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15876),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357460 (
-	.A1(n_15876),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15875),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357461 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [15]),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15874),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357462 (
-	.A1(n_15874),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15873),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357463 (
-	.A1(n_15873),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [12]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357464 (
-	.A1(n_15913),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15912),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357465 (
-	.A1(n_15890),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15889),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357466 (
-	.A1(n_15869),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15868),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357467 (
-	.A1(n_15868),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15867),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357468 (
-	.A1(n_15879),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15878),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357469 (
-	.A1(n_15872),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15871),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357470 (
-	.A1(n_15865),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15864),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357471 (
-	.A1(n_15864),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15862),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357472 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [12]),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15872),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357473 (
-	.A1(n_15894),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15893),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357474 (
-	.A1(n_15882),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15881),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357475 (
-	.A1(n_15895),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15894),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g357476 (
-	.A1(n_15902),
-	.A2(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B1(n_15901),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_15847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g357477 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
-	.Y(n_7189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g357478 (
-	.A(n_15142),
-	.B(n_15138),
-	.C(n_14963),
-	.D(n_15139),
-	.Y(n_7188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g357479 (
-	.A(soc_top_u_top_u_core_alu_adder_result_ex[14]),
-	.B(soc_top_u_top_u_core_alu_adder_result_ex[15]),
-	.C(\soc_top_lsu_to_xbar[a_address] [17]),
-	.D(\soc_top_lsu_to_xbar[a_address] [18]),
-	.X(n_7187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g357481 (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [28]),
-	.A2_N(n_6987),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [28]),
-	.B2(n_6987),
-	.Y(n_7185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g357482 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [15]),
-	.A2(n_6931),
-	.B1(soc_top_u_top_u_core_lsu_wdata[23]),
-	.B2(n_6932),
-	.Y(n_7184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g357483 (
-	.A1(soc_top_u_top_u_core_lsu_wdata[23]),
-	.A2(n_6930),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [15]),
-	.B2(n_6933),
-	.Y(n_7183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g357484 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [20]),
-	.A2(n_6931),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][5] ),
-	.B2(n_6932),
-	.Y(n_7182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g357485 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [7]),
-	.A2(n_6931),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [15]),
-	.B2(n_6932),
-	.Y(n_7181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g357486 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [5]),
-	.A2(n_6931),
-	.B1(soc_top_u_top_u_core_lsu_wdata[13]),
-	.B2(n_6932),
-	.Y(n_7180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g357487 (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [0]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
-	.Y(n_15662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g357488 (
-	.A1(soc_top_u_top_u_core_lsu_wdata[17]),
-	.A2(n_6931),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][2] ),
-	.B2(n_6932),
-	.Y(n_7179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g357489 (
-	.A1_N(n_6933),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [16]),
-	.B1(soc_top_u_top_u_core_load_store_unit_i_n_753),
-	.B2(FE_DBTN15_soc_top_u_top_u_core_lsu_wdata_24),
-	.Y(n_7178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g357490 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [22]),
-	.A2(n_6930),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [14]),
-	.B2(n_6933),
-	.Y(n_7177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g357491 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [20]),
-	.A2(n_6930),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [12]),
-	.B2(n_6933),
-	.Y(n_7176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g357492 (
-	.A1(soc_top_u_top_u_core_lsu_wdata[17]),
-	.A2(n_6930),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [9]),
-	.B2(n_6933),
-	.Y(n_7175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g357493 (
-	.A1_N(n_6932),
-	.A2_N(soc_top_u_top_u_core_lsu_wdata[0]),
-	.B1(n_15184),
-	.B2(FE_DBTN15_soc_top_u_top_u_core_lsu_wdata_24),
-	.Y(n_7174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g357494 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [15]),
-	.A2(n_6930),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [7]),
-	.B2(n_6933),
-	.Y(n_7173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g357495 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [14]),
-	.A2(n_6930),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [6]),
-	.B2(n_6933),
-	.Y(n_7172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g357496 (
-	.A1_N(n_6931),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [16]),
-	.B1(n_15047),
-	.B2(FE_DBTN15_soc_top_u_top_u_core_lsu_wdata_24),
-	.Y(n_7171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g357497 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [6]),
-	.A2(n_6930),
-	.B1(soc_top_u_top_u_core_lsu_wdata[30]),
-	.B2(n_6933),
-	.Y(n_7170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g357498 (
-	.A1(soc_top_u_top_u_core_lsu_wdata[13]),
-	.A2(n_6931),
-	.B1(soc_top_u_top_u_core_lsu_wdata[21]),
-	.B2(n_6932),
-	.Y(n_7169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g357499 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [12]),
-	.A2(n_6931),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [20]),
-	.B2(n_6932),
-	.Y(n_7168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g357500 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [52]),
-	.B(n_15863),
-	.X(n_7167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357501 (
-	.A1(n_15184),
-	.A2(FE_DBTN12_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_mantissa_18),
-	.B1(n_15047),
-	.B2(FE_DBTN16_soc_top_u_top_u_core_lsu_wdata_26),
-	.Y(n_7166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g357502 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [19]),
-	.A2(n_6931),
-	.B1(soc_top_u_top_u_core_lsu_wdata[27]),
-	.B2(n_6932),
-	.Y(n_7165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357503 (
-	.A1(n_15184),
-	.A2(FE_DBTN16_soc_top_u_top_u_core_lsu_wdata_26),
-	.B1(n_15047),
-	.B2(n_6948),
-	.Y(n_7164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g357504 (
-	.A1(soc_top_u_top_u_core_lsu_wdata[27]),
-	.A2(n_6931),
-	.B1(soc_top_u_top_u_core_lsu_wdata[3]),
-	.B2(n_6932),
-	.Y(n_7163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357505 (
-	.A1(soc_top_u_top_u_core_load_store_unit_i_n_753),
-	.A2(n_532),
-	.B1(n_15046),
-	.B2(FE_DBTN4_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_exponent_2),
-	.Y(n_7162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357506 (
-	.A1(soc_top_u_top_u_core_load_store_unit_i_n_753),
-	.A2(n_6948),
-	.B1(n_15046),
-	.B2(FE_DBTN16_soc_top_u_top_u_core_lsu_wdata_26),
-	.Y(n_7161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g357507 (
-	.A1(soc_top_u_top_u_core_lsu_wdata[3]),
-	.A2(n_6930),
-	.B1(soc_top_u_top_u_core_lsu_wdata[27]),
-	.B2(n_6933),
-	.Y(n_7160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g357508 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][2] ),
-	.A2(n_6930),
-	.B1(soc_top_u_top_u_core_lsu_wdata[17]),
-	.B2(n_6933),
-	.Y(n_7159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357509 (
-	.A1(soc_top_u_top_u_core_load_store_unit_i_n_753),
-	.A2(FE_DBTN16_soc_top_u_top_u_core_lsu_wdata_26),
-	.B1(n_15046),
-	.B2(FE_DBTN12_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_mantissa_18),
-	.Y(n_7158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g357510 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][5] ),
-	.A2(n_6930),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [20]),
-	.B2(n_6933),
-	.Y(n_7157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g357511 (
-	.A1(soc_top_u_top_u_core_lsu_wdata[27]),
-	.A2(n_6930),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [19]),
-	.B2(n_6933),
-	.Y(n_7156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g357512 (
-	.A1(soc_top_u_top_u_core_lsu_wdata[21]),
-	.A2(n_6930),
-	.B1(soc_top_u_top_u_core_lsu_wdata[13]),
-	.B2(n_6933),
-	.Y(n_7155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g357513 (
-	.A1(soc_top_u_top_u_core_lsu_wdata[13]),
-	.A2(n_6930),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [5]),
-	.B2(n_6933),
-	.Y(n_7154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g357514 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [6]),
-	.A2(n_6931),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [14]),
-	.B2(n_6932),
-	.Y(n_7153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g357515 (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[1] [1]),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[1] [0]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[1] [1]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[1] [0]),
-	.Y(n_7152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g357516 (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
-	.B2(n_6994),
-	.Y(n_7194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357517 (
-	.A0(io_in[21]),
-	.A1(\soc_top_GPIO_gen_filter[13].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [13]),
-	.X(soc_top_GPIO_data_in_d[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357518 (
-	.A0(io_in[19]),
-	.A1(\soc_top_GPIO_gen_filter[11].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [11]),
-	.X(soc_top_GPIO_data_in_d[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357519 (
-	.A0(io_in[23]),
-	.A1(\soc_top_GPIO_gen_filter[15].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [15]),
-	.X(soc_top_GPIO_data_in_d[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357520 (
-	.A0(io_in[18]),
-	.A1(\soc_top_GPIO_gen_filter[10].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [10]),
-	.X(soc_top_GPIO_data_in_d[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357521 (
-	.A0(io_in[16]),
-	.A1(\soc_top_GPIO_gen_filter[8].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [8]),
-	.X(soc_top_GPIO_data_in_d[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357522 (
-	.A0(io_in[15]),
-	.A1(\soc_top_GPIO_gen_filter[7].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [7]),
-	.X(soc_top_GPIO_data_in_d[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357523 (
-	.A0(io_in[14]),
-	.A1(\soc_top_GPIO_gen_filter[6].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [6]),
-	.X(soc_top_GPIO_data_in_d[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357524 (
-	.A0(io_in[12]),
-	.A1(\soc_top_GPIO_gen_filter[4].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [4]),
-	.X(soc_top_GPIO_data_in_d[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357525 (
-	.A0(io_in[29]),
-	.A1(\soc_top_GPIO_gen_filter[21].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [21]),
-	.X(soc_top_GPIO_data_in_d[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357526 (
-	.A0(io_in[11]),
-	.A1(\soc_top_GPIO_gen_filter[3].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [3]),
-	.X(soc_top_GPIO_data_in_d[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357527 (
-	.A0(io_in[10]),
-	.A1(\soc_top_GPIO_gen_filter[2].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [2]),
-	.X(soc_top_GPIO_data_in_d[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357528 (
-	.A0(io_in[8]),
-	.A1(\soc_top_GPIO_gen_filter[0].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [0]),
-	.X(soc_top_GPIO_data_in_d[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357529 (
-	.A0(io_in[13]),
-	.A1(\soc_top_GPIO_gen_filter[5].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [5]),
-	.X(soc_top_GPIO_data_in_d[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357530 (
-	.A0(io_in[25]),
-	.A1(\soc_top_GPIO_gen_filter[17].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [17]),
-	.X(soc_top_GPIO_data_in_d[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357531 (
-	.A0(io_in[24]),
-	.A1(\soc_top_GPIO_gen_filter[16].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [16]),
-	.X(soc_top_GPIO_data_in_d[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357532 (
-	.A0(io_in[27]),
-	.A1(\soc_top_GPIO_gen_filter[19].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [19]),
-	.X(soc_top_GPIO_data_in_d[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357533 (
-	.A0(io_in[28]),
-	.A1(\soc_top_GPIO_gen_filter[20].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [20]),
-	.X(soc_top_GPIO_data_in_d[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357534 (
-	.A0(io_in[0]),
-	.A1(\soc_top_GPIO_gen_filter[30].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [30]),
-	.X(soc_top_GPIO_data_in_d[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357535 (
-	.A0(io_in[30]),
-	.A1(\soc_top_GPIO_gen_filter[22].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [22]),
-	.X(soc_top_GPIO_data_in_d[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357536 (
-	.A0(io_in[31]),
-	.A1(\soc_top_GPIO_gen_filter[23].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [23]),
-	.X(soc_top_GPIO_data_in_d[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357537 (
-	.A0(io_in[32]),
-	.A1(\soc_top_GPIO_gen_filter[24].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [24]),
-	.X(soc_top_GPIO_data_in_d[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357538 (
-	.A0(io_in[35]),
-	.A1(\soc_top_GPIO_gen_filter[27].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [27]),
-	.X(soc_top_GPIO_data_in_d[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357539 (
-	.A0(io_in[37]),
-	.A1(\soc_top_GPIO_gen_filter[29].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [29]),
-	.X(soc_top_GPIO_data_in_d[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357540 (
-	.A0(io_in[1]),
-	.A1(\soc_top_GPIO_gen_filter[31].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [31]),
-	.X(soc_top_GPIO_data_in_d[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g357541 (
-	.A1_N(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.A2_N(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.B1(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(soc_top_iccm_adapter_inst_mem_u_sramreqfifo_n_136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g357542 (
-	.A(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.Y(soc_top_iccm_adapter_inst_mem_u_sramreqfifo_n_52), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g357543 (
-	.A1_N(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.A2_N(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.B1(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(n_15005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g357544 (
-	.A1_N(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.A2_N(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(soc_top_iccm_adapter_inst_mem_u_rspfifo_n_390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g357545 (
-	.A(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.Y(soc_top_iccm_adapter_inst_mem_u_rspfifo_n_108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357546 (
-	.A0(io_in[36]),
-	.A1(\soc_top_GPIO_gen_filter[28].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [28]),
-	.X(soc_top_GPIO_data_in_d[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357547 (
-	.A0(io_in[22]),
-	.A1(\soc_top_GPIO_gen_filter[14].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [14]),
-	.X(soc_top_GPIO_data_in_d[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357548 (
-	.A0(io_in[20]),
-	.A1(\soc_top_GPIO_gen_filter[12].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [12]),
-	.X(soc_top_GPIO_data_in_d[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357549 (
-	.A0(io_in[9]),
-	.A1(\soc_top_GPIO_gen_filter[1].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [1]),
-	.X(soc_top_GPIO_data_in_d[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357550 (
-	.A0(io_in[17]),
-	.A1(\soc_top_GPIO_gen_filter[9].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [9]),
-	.X(soc_top_GPIO_data_in_d[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g357551 (
-	.A(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.Y(soc_top_iccm_adapter_inst_mem_u_reqfifo_n_68), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357552 (
-	.A0(io_in[34]),
-	.A1(\soc_top_GPIO_gen_filter[26].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [26]),
-	.X(soc_top_GPIO_data_in_d[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g357553 (
-	.A0(io_in[33]),
-	.A1(\soc_top_GPIO_gen_filter[25].filter_stored_value_q ),
-	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [25]),
-	.X(soc_top_GPIO_data_in_d[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2i_1 g357554 (
-	.A0(n_6945),
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[1][is_subnormal] ),
-	.S(FE_DBTN14_soc_top_u_top_u_core_lsu_wdata_23),
-	.Y(n_7193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2i_1 g357555 (
-	.A0(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]),
-	.A1(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]),
-	.S(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(n_7192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g357556 (
-	.A1_N(n_6941),
-	.A2_N(soc_top_u_top_u_core_alu_operand_b_ex[31]),
-	.B1(n_6941),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[31]),
-	.Y(n_17133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g357557 (
-	.A1(n_15078),
-	.A2(n_15184),
-	.B1(n_15076),
-	.B2(n_6930),
-	.Y(soc_top_u_top_u_core_load_store_unit_i_split_misaligned_access), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357558 (
-	.A(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.Y(n_7150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357560 (
-	.A(n_7145),
-	.Y(n_14999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357561 (
-	.A(n_7141),
-	.Y(soc_top_data_we), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357563 (
-	.A(n_7140),
-	.Y(n_15074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357565 (
-	.A(n_7137),
-	.Y(n_7136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357566 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [6]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [6]),
-	.X(n_7135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357598 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[13]),
-	.X(soc_top_instr_wdata[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357599 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [10]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [10]),
-	.X(n_7134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g357600 (
-	.A(n_11356),
-	.B_N(\soc_top_xbar_to_timer[a_address] [5]),
-	.Y(soc_top_data_addr[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357601 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
-	.Y(n_7133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357602 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[27]),
-	.X(soc_top_instr_wdata[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357603 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [15]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [15]),
-	.X(n_7132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357604 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [2]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
-	.Y(n_15664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357605 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [30]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [30]),
-	.X(n_7131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g357606 (
-	.A(n_11356),
-	.B_N(\soc_top_xbar_to_timer[a_mask] [2]),
-	.Y(soc_top_data_wmask[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357607 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [3]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
-	.Y(n_15665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357608 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [31]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [31]),
-	.X(n_7130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357609 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [23]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [23]),
-	.X(n_7129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357610 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [25]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [25]),
-	.X(n_7128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357611 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[11]),
-	.X(soc_top_instr_wdata[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g357612 (
-	.A(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B_N(n_15860),
-	.Y(n_15804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357613 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [4]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [4]),
-	.X(n_7127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357614 (
-	.A(n_14966),
-	.B(n_14967),
-	.Y(n_7126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357615 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [18]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [18]),
-	.X(n_7125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357616 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [20]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [20]),
-	.X(n_7124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357618 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[13]),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2951),
-	.Y(n_7123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357619 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [6]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
-	.Y(n_15668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357620 (
-	.A(pwm2_oe),
-	.B(soc_top_GPIO_cio_gpio_en_q[26]),
-	.Y(io_oeb[34]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357622 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [17]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [17]),
-	.X(n_7121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357623 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[15]),
-	.X(soc_top_instr_wdata[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g357624 (
-	.A(soc_top_u_pwm_pwm_core_n_1204),
-	.B(n_15052),
-	.X(soc_top_GPIO_u_reg_addr_hit[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357625 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [22]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [22]),
-	.X(n_7120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357626 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [26]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [26]),
-	.X(n_7119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357627 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[12]),
-	.X(soc_top_instr_wdata[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g357628 (
-	.A(n_11356),
-	.B_N(\soc_top_lsu_to_xbar[a_address] [10]),
-	.Y(soc_top_data_addr[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357629 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [4]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
-	.Y(n_15666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357630 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [1]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
-	.Y(n_15663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g357631 (
-	.A(n_14890),
-	.B_N(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2864),
-	.Y(n_7118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357632 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [12]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [12]),
-	.X(n_7117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357633 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
-	.Y(n_7116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357634 (
-	.A(n_15141),
-	.B(n_15140),
-	.Y(n_7115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357635 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[26]),
-	.X(soc_top_instr_wdata[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g357636 (
-	.A(n_11356),
-	.B_N(\soc_top_lsu_to_xbar[a_address] [9]),
-	.Y(soc_top_data_addr[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357637 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[9]),
-	.X(soc_top_instr_wdata[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357638 (
-	.A(soc_top_u_pwm_pwm_core_n_1288),
-	.B(n_15169),
-	.Y(soc_top_timer0_u_reg_intr_state0_we), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357639 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[29]),
-	.X(soc_top_instr_wdata[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357640 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[1]),
-	.X(soc_top_instr_wdata[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357641 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [9]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
-	.Y(n_15671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357642 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [7]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
-	.Y(n_15669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357643 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [5]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
-	.Y(n_15667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357644 (
-	.A(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2908),
-	.B(n_14865),
-	.Y(n_7114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357645 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [0]),
-	.B(soc_top_u_top_u_core_fp_flush),
-	.Y(n_7113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357646 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [11]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
-	.Y(n_15673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357647 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [10]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
-	.Y(n_15672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g357648 (
-	.A(\soc_top_xbar_to_timer[a_address] [8]),
-	.B(\soc_top_lsu_to_xbar[a_address] [9]),
-	.X(n_15061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357649 (
-	.A(n_14884),
-	.B(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec),
-	.Y(n_7151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g357650 (
-	.A(soc_top_timer0_u_reg_addr_hit[4]),
-	.B(n_15188),
-	.X(soc_top_timer0_u_reg_compare_lower0_0_we), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g357651 (
-	.A_N(n_14978),
-	.B(n_11212),
-	.Y(n_15084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357652 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B(n_14883),
-	.Y(n_7149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357653 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
-	.Y(n_7148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357654 (
-	.A(n_6988),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][4] ),
-	.Y(n_7147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357656 (
-	.A(n_6988),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][5] ),
-	.Y(n_7146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357657 (
-	.A(soc_top_u_top_u_core_pc_mux_id[0]),
-	.B(soc_top_u_top_u_core_pc_mux_id[1]),
-	.Y(n_7145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357658 (
-	.A(n_12107),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[12]),
-	.Y(n_7144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357659 (
-	.A(n_6988),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][2] ),
-	.Y(n_7143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357660 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Div_enable_S ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_b_S ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_fpu_norm_U0_n_22 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357662 (
-	.A(n_14994),
-	.B(n_11356),
-	.Y(n_7141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357663 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Div_enable_S ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_b_S ),
-	.Y(n_15043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357664 (
-	.A(n_14909),
-	.B(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec),
-	.Y(n_7140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g357665 (
-	.A_N(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2522),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[14]),
-	.Y(n_7139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357666 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [1]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
-	.X(n_7138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357667 (
-	.A(soc_top_u_top_u_core_csr_save_cause),
-	.B(soc_top_u_top_u_core_debug_csr_save),
-	.Y(n_7137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357668 (
-	.A(n_7108),
-	.Y(n_15200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357669 (
-	.A(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.Y(n_7107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357671 (
-	.A(n_7100),
-	.Y(n_7101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357674 (
-	.A(n_7090),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_fpu_norm_U0_n_44 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357675 (
-	.A(n_7088),
-	.Y(n_7089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357676 (
-	.A(n_7084),
-	.Y(n_7083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357677 (
-	.A(n_7082),
-	.Y(n_7081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357678 (
-	.A(n_15801),
-	.Y(n_7080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g357679 (
-	.A(n_11356),
-	.B_N(\soc_top_xbar_to_timer[a_mask] [1]),
-	.Y(soc_top_data_wmask[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357680 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[21]),
-	.X(soc_top_instr_wdata[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357681 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [28]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [28]),
-	.X(n_7079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g357682 (
-	.A(n_11356),
-	.B_N(\soc_top_xbar_to_timer[a_address] [4]),
-	.Y(soc_top_data_addr[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357683 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[31]),
-	.X(soc_top_instr_wdata[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357684 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[28]),
-	.X(soc_top_instr_wdata[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357685 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[22]),
-	.X(soc_top_instr_wdata[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357686 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[20]),
-	.X(soc_top_instr_wdata[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357687 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[18]),
-	.X(soc_top_instr_wdata[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357688 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[14]),
-	.X(soc_top_instr_wdata[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357689 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[6]),
-	.X(soc_top_instr_wdata[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357690 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[5]),
-	.X(soc_top_instr_wdata[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357691 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[2]),
-	.X(soc_top_instr_wdata[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357692 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[0]),
-	.X(soc_top_instr_wdata[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g357693 (
-	.A(soc_top_u_pwm_pwm_core_n_1288),
-	.B(n_15052),
-	.X(n_15032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g357694 (
-	.A(n_11356),
-	.B_N(\soc_top_xbar_to_timer[a_address] [7]),
-	.Y(soc_top_data_addr[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g357695 (
-	.A(n_15062),
-	.B(n_15052),
-	.X(soc_top_GPIO_u_reg_addr_hit[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357696 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [11]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [11]),
-	.X(n_7078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357697 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[30]),
-	.X(soc_top_instr_wdata[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357698 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[3]),
-	.X(soc_top_instr_wdata[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357699 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[10]),
-	.X(soc_top_instr_wdata[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357700 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[16]),
-	.X(soc_top_instr_wdata[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357701 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [29]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [29]),
-	.X(n_7077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g357702 (
-	.A(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S),
-	.B_N(n_15861),
-	.Y(n_15805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357703 (
-	.A(soc_top_u_top_u_core_fp_frm_csr[0]),
-	.B(soc_top_u_top_u_core_fp_frm_csr[1]),
-	.Y(n_7076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357704 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_we),
-	.Y(soc_top_instr_we), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357705 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [8]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [8]),
-	.X(n_7075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357706 (
-	.A(soc_top_u_top_u_core_csr_save_wb),
-	.B(soc_top_u_top_u_core_csr_save_if),
-	.Y(n_7074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357708 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [13]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [13]),
-	.X(n_7073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357709 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[23]),
-	.X(soc_top_instr_wdata[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357710 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [2]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [2]),
-	.X(n_7072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g357711 (
-	.A(n_15114),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [49]),
-	.Y(n_7071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g357712 (
-	.A(n_11356),
-	.B_N(\soc_top_lsu_to_xbar[a_address] [11]),
-	.Y(soc_top_data_addr[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g357713 (
-	.A(n_11356),
-	.B_N(\soc_top_xbar_to_timer[a_address] [6]),
-	.Y(soc_top_data_addr[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g357714 (
-	.A(n_11356),
-	.B_N(\soc_top_xbar_to_timer[a_address] [2]),
-	.Y(soc_top_data_addr[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g357715 (
-	.A(n_11356),
-	.B_N(\soc_top_xbar_to_timer[a_mask] [0]),
-	.Y(soc_top_data_wmask[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357716 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[7]),
-	.X(soc_top_instr_wdata[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357717 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [14]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [14]),
-	.X(n_7070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357718 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
-	.B(n_15299),
-	.Y(n_7069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357719 (
-	.A(pwm1_oe),
-	.B(soc_top_GPIO_cio_gpio_en_q[25]),
-	.Y(io_oeb[33]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357721 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[19]),
-	.X(soc_top_instr_wdata[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g357722 (
-	.A(n_11356),
-	.B_N(\soc_top_xbar_to_timer[a_address] [8]),
-	.Y(soc_top_data_addr[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357723 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[25]),
-	.X(soc_top_instr_wdata[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g357724 (
-	.A(soc_top_u_spi_host_spi_host_ctrl[15]),
-	.B_N(soc_top_u_spi_host_spi_host_ctrl[14]),
-	.Y(n_7067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357725 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [21]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [21]),
-	.X(n_7066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357726 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
-	.Y(n_7065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g357727 (
-	.A(n_11356),
-	.B_N(\soc_top_xbar_to_timer[a_address] [3]),
-	.Y(soc_top_data_addr[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357728 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[4]),
-	.X(soc_top_instr_wdata[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g357729 (
-	.A(n_11356),
-	.B_N(\soc_top_xbar_to_timer[a_mask] [3]),
-	.Y(soc_top_data_wmask[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357730 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [7]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [7]),
-	.X(n_7064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357731 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [16]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [16]),
-	.X(n_7063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357732 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [27]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [27]),
-	.X(n_7062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357733 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [24]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [24]),
-	.X(n_7061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357734 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [19]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [19]),
-	.X(n_7060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357735 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [3]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [3]),
-	.X(n_7059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357736 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[17]),
-	.X(soc_top_instr_wdata[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357737 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [9]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [9]),
-	.X(n_7058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357738 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [5]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [5]),
-	.X(n_7057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357739 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[8]),
-	.X(soc_top_instr_wdata[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g357740 (
-	.A(FE_DBTN3_soc_top_prog_rst_ni),
-	.B(soc_top_iccm_ctrl_data[24]),
-	.X(soc_top_instr_wdata[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357741 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [1]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [1]),
-	.X(n_7056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g357742 (
-	.A(\soc_top_GPIO_reg2hw[intr_enable][q] [0]),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [0]),
-	.X(n_7055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357743 (
-	.A(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2908),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2427),
-	.Y(n_7054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357744 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [8]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
-	.Y(n_15670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357745 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
-	.Y(n_7112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g357746 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[1]),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.X(n_7111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357747 (
-	.A(n_7021),
-	.B(n_14912),
-	.Y(n_14910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357748 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[11]),
-	.B(n_7006),
-	.Y(n_7110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357749 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[6]),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[3]),
-	.Y(n_7109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357750 (
-	.A(n_7013),
-	.B(n_15146),
-	.Y(n_15251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357751 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_nan] ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[1][is_nan] ),
-	.Y(n_7108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g357752 (
-	.A_N(\soc_top_xbar_to_timer[a_address] [4]),
-	.B(\soc_top_xbar_to_timer[a_address] [5]),
-	.Y(n_17201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357753 (
-	.A(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
-	.B(n_7013),
-	.Y(n_17128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g357754 (
-	.A(n_15045),
-	.B(n_15188),
-	.X(soc_top_timer0_u_reg_compare_upper0_0_we), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g357755 (
-	.A(soc_top_u_spi_host_spi_host_tip),
-	.B_N(soc_top_u_spi_host_spi_host_ctrl[13]),
-	.Y(n_7106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357756 (
-	.A(FE_DBTN19_soc_top_u_top_u_core_lsu_wdata_30),
-	.B(FE_DBTN18_soc_top_u_top_u_core_lsu_wdata_29),
-	.Y(n_15124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g357757 (
-	.A(n_18236),
-	.B_N(n_14943),
-	.Y(n_7105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g357758 (
-	.A(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2789),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2518),
-	.X(n_7104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357759 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[31]),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_3081),
-	.Y(n_7103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g357760 (
-	.A(n_14896),
-	.B(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec),
-	.X(n_15068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g357761 (
-	.A_N(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
-	.Y(n_7102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357762 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [2]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [1]),
-	.Y(n_7100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g357763 (
-	.A(n_6987),
-	.B_N(n_15298),
-	.Y(n_7099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357764 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[2]),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[3]),
-	.Y(n_7098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g357765 (
-	.A(n_14912),
-	.B_N(soc_top_u_top_u_core_alu_operator_ex[3]),
-	.Y(n_7097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357766 (
-	.A(n_11212),
-	.B(n_14978),
-	.Y(n_7096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357767 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sign_z_D ),
-	.Y(n_7095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357768 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_22 ),
-	.B(n_14973),
-	.Y(n_7094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357769 (
-	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_valid_req_q),
-	.B(soc_top_u_top_u_core_pc_set),
-	.Y(n_7093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g357770 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_signalling] ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[1][is_signalling] ),
-	.X(n_16962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357771 (
-	.A(soc_top_u_top_u_core_pc_set),
-	.B(n_7015),
-	.Y(n_15002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357772 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[4]),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[2]),
-	.Y(n_7092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357773 (
-	.A(n_6988),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][6] ),
-	.Y(n_7091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357774 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_NaN_b_S ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_NaN_a_S ),
-	.Y(n_7090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g357775 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
-	.B(n_15123),
-	.Y(n_7088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357776 (
-	.A(soc_top_u_top_u_core_csr_addr[5]),
-	.B(soc_top_u_top_u_core_csr_addr[7]),
-	.Y(n_7087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357777 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
-	.B(n_6995),
-	.Y(n_7086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357778 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.B(n_7005),
-	.Y(n_7085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357779 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [1]),
-	.B(n_6937),
-	.Y(n_7084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357780 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [1]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
-	.Y(n_7082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g357781 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.B(n_15863),
-	.Y(n_15801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357785 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [31]),
-	.Y(n_7050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357787 (
-	.A(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [3]),
-	.Y(n_7048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357788 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [29]),
-	.Y(n_7047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357790 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [31]),
-	.Y(n_7045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357791 (
-	.A(soc_top_GPIO_cio_gpio_en_q[4]),
-	.Y(io_oeb[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357792 (
-	.A(n_17293),
-	.Y(n_7044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357794 (
-	.A(soc_top_GPIO_cio_gpio_en_q[7]),
-	.Y(io_oeb[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357795 (
-	.A(soc_top_GPIO_cio_gpio_en_q[8]),
-	.Y(io_oeb[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357796 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [31]),
-	.Y(n_7042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357797 (
-	.A(soc_top_GPIO_cio_gpio_en_q[3]),
-	.Y(io_oeb[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357799 (
-	.A(soc_top_GPIO_cio_gpio_en_q[11]),
-	.Y(io_oeb[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357801 (
-	.A(soc_top_GPIO_cio_gpio_en_q[9]),
-	.Y(io_oeb[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357803 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [37]),
-	.Y(n_7038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357805 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [41]),
-	.Y(n_7036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357806 (
-	.A(soc_top_GPIO_cio_gpio_en_q[10]),
-	.Y(io_oeb[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357807 (
-	.A(soc_top_GPIO_cio_gpio_en_q[15]),
-	.Y(io_oeb[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357810 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [43]),
-	.Y(n_7033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357813 (
-	.A(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [1]),
-	.Y(n_7030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357816 (
-	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [31]),
-	.Y(n_7027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357817 (
-	.A(soc_top_GPIO_cio_gpio_en_q[12]),
-	.Y(io_oeb[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357818 (
-	.A(soc_top_u_top_u_core_debug_csr_save),
-	.Y(n_7026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357819 (
-	.A(soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q[0]),
-	.Y(n_17126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357821 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [36]),
-	.Y(n_7024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357823 (
-	.A(pwm1_oe),
-	.Y(n_7023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357824 (
-	.A(soc_top_u_top_u_core_csr_save_cause),
-	.Y(n_7022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357825 (
-	.A(soc_top_u_top_u_core_alu_operator_ex[5]),
-	.Y(n_7021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357826 (
-	.A(pwm2_oe),
-	.Y(n_7020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357827 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [4]),
-	.Y(n_7019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357828 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [19]),
-	.Y(n_7018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357830 (
-	.A(soc_top_u_top_u_core_fp_frm_csr[1]),
-	.Y(n_7016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357831 (
-	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_valid_req_q),
-	.Y(n_7015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357832 (
-	.A(soc_top_u_top_u_core_lsu_wdata[21]),
-	.Y(n_531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357833 (
-	.A(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
-	.Y(n_7013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357835 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [5]),
-	.Y(n_437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357837 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [6]),
-	.Y(n_7009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357838 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [12]),
-	.Y(n_533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357840 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[8]),
-	.Y(n_7006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357841 (
-	.A(n_15863),
-	.Y(n_7005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357843 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
-	.Y(n_7003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357844 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
-	.Y(n_7002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357845 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
-	.Y(n_7001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357846 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
-	.Y(n_7000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357847 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
-	.Y(n_6999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357848 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
-	.Y(n_6998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357850 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
-	.Y(n_6996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357851 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [1]),
-	.Y(n_6995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357852 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
-	.Y(n_6994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357854 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
-	.Y(n_6992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357858 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[11]),
-	.Y(n_6989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357860 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
-	.Y(n_6987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357861 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
-	.Y(n_6986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357932 (
-	.A(soc_top_GPIO_cio_gpio_en_q[29]),
-	.Y(io_oeb[37]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357933 (
-	.A(soc_top_GPIO_cio_gpio_en_q[5]),
-	.Y(io_oeb[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357934 (
-	.A(soc_top_GPIO_cio_gpio_en_q[17]),
-	.Y(io_oeb[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357936 (
-	.A(soc_top_GPIO_cio_gpio_en_q[14]),
-	.Y(io_oeb[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357937 (
-	.A(soc_top_GPIO_cio_gpio_en_q[22]),
-	.Y(io_oeb[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357939 (
-	.A(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
-	.Y(n_6981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357940 (
-	.A(n_15232),
-	.Y(n_6980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357941 (
-	.A(soc_top_GPIO_cio_gpio_en_q[27]),
-	.Y(io_oeb[35]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357943 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [35]),
-	.Y(n_6978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357944 (
-	.A(soc_top_GPIO_cio_gpio_en_q[24]),
-	.Y(io_oeb[32]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357945 (
-	.A(soc_top_GPIO_cio_gpio_en_q[28]),
-	.Y(io_oeb[36]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357947 (
-	.A(soc_top_GPIO_cio_gpio_en_q[30]),
-	.Y(io_oeb[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357949 (
-	.A(soc_top_GPIO_cio_gpio_en_q[0]),
-	.Y(io_oeb[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357951 (
-	.A(soc_top_GPIO_cio_gpio_en_q[2]),
-	.Y(io_oeb[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357952 (
-	.A(soc_top_GPIO_cio_gpio_en_q[23]),
-	.Y(io_oeb[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357955 (
-	.A(soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_69),
-	.Y(n_6973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357957 (
-	.A(soc_top_GPIO_cio_gpio_en_q[6]),
-	.Y(io_oeb[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357959 (
-	.A(soc_top_GPIO_cio_gpio_en_q[13]),
-	.Y(io_oeb[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357960 (
-	.A(soc_top_GPIO_cio_gpio_en_q[1]),
-	.Y(io_oeb[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357962 (
-	.A(soc_top_GPIO_cio_gpio_en_q[16]),
-	.Y(io_oeb[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357966 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [31]),
-	.Y(n_6966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357969 (
-	.A(soc_top_dccm_adapter_data_mem_u_reqfifo_n_85),
-	.Y(n_6963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357970 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [42]),
-	.Y(n_6962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357971 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [30]),
-	.Y(n_6961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357972 (
-	.A(n_15383),
-	.Y(n_6960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357973 (
-	.A(n_15387),
-	.Y(n_6959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357975 (
-	.A(n_14967),
-	.Y(n_6958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357976 (
-	.A(n_15372),
-	.Y(n_6957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357977 (
-	.A(n_15370),
-	.Y(n_6956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357980 (
-	.A(soc_top_u_top_u_core_lsu_wdata[3]),
-	.Y(n_6954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357981 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [1]),
-	.Y(n_532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357983 (
-	.A(soc_top_u_top_u_core_fp_frm_csr[0]),
-	.Y(n_6951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357984 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [26]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_22 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357985 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
-	.Y(n_6949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357986 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [2]),
-	.Y(n_6948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357989 (
-	.A(soc_top_u_top_u_core_lsu_wdata[11]),
-	.Y(n_6947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g357990 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
-	.Y(n_6946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357992 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[1][is_subnormal] ),
-	.Y(n_6945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g357994 (
-	.A(soc_top_u_top_u_core_csr_addr[5]),
-	.Y(n_6943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g357996 (
-	.A(n_15397),
-	.Y(n_6941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g358000 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
-	.Y(n_6937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g358002 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[10]),
-	.Y(n_6935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g358004 (
-	.A(n_15046),
-	.Y(n_6933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g358005 (
-	.A(n_15047),
-	.Y(n_6932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g358006 (
-	.A(n_15184),
-	.Y(n_6931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g358007 (
-	.A(soc_top_u_top_u_core_load_store_unit_i_n_753),
-	.Y(n_6930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 soc_top_u_spi_host_spi_host_sd_oe_reg (
-	.CLK(CTS_16),
-	.D(n_7067),
-	.Q(sd_oe),
-	.Q_N(io_oeb[3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g358008 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[0][is_subnormal] ),
-	.B(n_7825),
-	.Y(n_6899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g358009 (
-	.A(n_16570),
-	.B(n_8420),
-	.Y(n_6898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g358014 (
-	.A(n_18357),
-	.B(n_8527),
-	.X(n_6893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g358024 (
-	.A(n_17441),
-	.B(n_8612),
-	.X(n_6885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g358025 (
-	.A(n_8596),
-	.B(n_8659),
-	.X(n_6884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g358027 (
-	.A(n_18400),
-	.B(n_8523),
-	.X(n_6882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g358028 (
-	.A(n_6880),
-	.B(n_8535),
-	.X(n_6881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g358029 (
-	.A(n_8519),
-	.B(n_8501),
-	.X(n_6880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g358030 (
-	.A(n_6917),
-	.B_N(n_6921),
-	.Y(n_6879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g358031 (
-	.A(n_6915),
-	.B(n_7887),
-	.X(n_6878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g358032 (
-	.A(n_8423),
-	.B(n_6847),
-	.X(n_6877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g358034 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [1]),
-	.B(n_7825),
-	.X(n_16598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g358035 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [2]),
-	.B(n_7825),
-	.X(n_16599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g358036 (
-	.A1(n_7535),
-	.A2(n_7530),
-	.B1(n_7539),
-	.X(n_6875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g358037 (
-	.A(n_6825),
-	.B(n_6824),
-	.X(n_6874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g358038 (
-	.A(n_6823),
-	.B(n_7534),
-	.X(n_6873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g358039 (
-	.A(n_7146),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][5] ),
-	.Y(n_6872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g358040 (
-	.A(n_6809),
-	.B(soc_top_u_top_u_core_lsu_wdata[24]),
-	.X(n_6871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4bb_1 g358041 (
-	.A(n_9006),
-	.B(n_9066),
-	.C_N(n_9088),
-	.D_N(n_6869),
-	.Y(n_6870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g358042 (
-	.A(n_9056),
-	.B_N(n_9009),
-	.Y(n_6869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g358043 (
-	.A(n_8822),
-	.B(n_9008),
-	.X(n_6868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g358044 (
-	.A(n_8761),
-	.B(n_8973),
-	.X(n_6867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358045 (
-	.A_N(n_6928),
-	.B(n_8529),
-	.Y(n_6866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358046 (
-	.A_N(n_8968),
-	.B(n_8529),
-	.Y(n_6865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_0 g358047 (
-	.A1(n_8861),
-	.A2(n_8652),
-	.B1_N(n_8940),
-	.Y(n_6864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358048 (
-	.A_N(n_18362),
-	.B(n_6917),
-	.Y(n_6863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_0 g358049 (
-	.A1(n_8901),
-	.A2(n_6917),
-	.B1_N(n_8823),
-	.Y(n_6862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358051 (
-	.A_N(n_8795),
-	.B(n_6921),
-	.Y(n_6860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358052 (
-	.A_N(n_8783),
-	.B(n_8598),
-	.Y(n_6859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358053 (
-	.A_N(n_8774),
-	.B(n_8598),
-	.Y(n_6858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358055 (
-	.A_N(n_8660),
-	.B(n_18524),
-	.Y(n_6856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g358056 (
-	.A(n_8536),
-	.B_N(n_8609),
-	.Y(n_6855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g358057 (
-	.A(n_8523),
-	.B(n_8586),
-	.X(n_6854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2b_1 g358058 (
-	.A(n_8505),
-	.B_N(n_8500),
-	.X(n_6853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358060 (
-	.A_N(n_8490),
-	.B(n_8469),
-	.Y(n_6851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358061 (
-	.A_N(n_8438),
-	.B(n_8434),
-	.Y(n_6850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358062 (
-	.A_N(n_6848),
-	.B(n_17446),
-	.Y(n_6849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g358063 (
-	.A(n_16572),
-	.B_N(n_6845),
-	.Y(n_6848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g358064 (
-	.A(n_8408),
-	.B_N(n_6846),
-	.Y(n_6847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g358065 (
-	.A(n_8381),
-	.B(n_6913),
-	.X(n_6846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358066 (
-	.A_N(n_16571),
-	.B(n_8419),
-	.Y(n_6845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g358067 (
-	.A(n_8370),
-	.B(n_8330),
-	.X(n_6844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358068 (
-	.A_N(n_16570),
-	.B(n_8407),
-	.Y(n_6843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358069 (
-	.A_N(n_8327),
-	.B(n_7551),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mepc_d[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g358070 (
-	.A_N(n_6909),
-	.B(n_8391),
-	.C(n_8357),
-	.Y(n_6842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358071 (
-	.A_N(n_8275),
-	.B(n_7550),
-	.Y(soc_top_u_top_u_core_cs_registers_i_mepc_d[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g358072 (
-	.A1(n_7255),
-	.A2(soc_top_u_top_u_core_exc_cause[5]),
-	.B1_N(n_8274),
-	.X(soc_top_u_top_u_core_cs_registers_i_mcause_d[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g358074 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [8]),
-	.B_N(n_8171),
-	.Y(n_6841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g358075 (
-	.A_N(n_15260),
-	.B(n_16655),
-	.C(n_16961),
-	.Y(n_15259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_1 g358076 (
-	.A0(\soc_top_iccm_to_xbar[d_data] [0]),
-	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [0]),
-	.S(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
-	.X(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_1 g358077 (
-	.A0(\soc_top_iccm_to_xbar[d_data] [1]),
-	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [1]),
-	.S(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
-	.X(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g358078 (
-	.A0(\soc_top_iccm_to_xbar[d_data] [16]),
-	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [16]),
-	.S(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
-	.X(n_15474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g358079 (
-	.A0(\soc_top_iccm_to_xbar[d_data] [17]),
-	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [17]),
-	.S(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
-	.X(n_15475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358080 (
-	.A_N(n_8081),
-	.B(n_8047),
-	.Y(n_15036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358081 (
-	.A_N(n_8003),
-	.B(n_6813),
-	.Y(n_6840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358083 (
-	.A_N(n_8025),
-	.B(n_8492),
-	.Y(n_6838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358084 (
-	.A_N(n_7985),
-	.B(n_8390),
-	.Y(n_6837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g358085 (
-	.A(n_7377),
-	.B_N(n_6835),
-	.Y(n_6836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358086 (
-	.A_N(n_7770),
-	.B(n_6833),
-	.Y(n_16961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358087 (
-	.A_N(n_7830),
-	.B(n_7666),
-	.Y(n_6835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2i_1 g358088 (
-	.A0(n_7774),
-	.A1(n_7742),
-	.S(n_7770),
-	.Y(n_6834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358089 (
-	.A_N(n_15083),
-	.B(n_7283),
-	.Y(n_15082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g358090 (
-	.A(n_7774),
-	.B_N(n_17131),
-	.Y(n_6833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g358091 (
-	.A_N(n_7740),
-	.B(n_8182),
-	.C(n_15065),
-	.D(n_7768),
-	.Y(n_6832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g358092 (
-	.A(n_15170),
-	.B_N(n_7678),
-	.Y(n_6831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g358093 (
-	.A1(n_15092),
-	.A2(n_6987),
-	.B1_N(n_7667),
-	.Y(n_6830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g358094 (
-	.A_N(n_7567),
-	.B(n_7569),
-	.C(n_7649),
-	.D(n_7750),
-	.Y(n_6829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g358095 (
-	.A(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2518),
-	.B_N(n_7536),
-	.Y(n_6828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358096 (
-	.A_N(n_7534),
-	.B(n_7525),
-	.Y(n_6827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g358097 (
-	.A(n_7292),
-	.B(n_7352),
-	.C_N(n_7494),
-	.Y(n_6826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358098 (
-	.A_N(n_7289),
-	.B(n_7346),
-	.Y(n_6825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358099 (
-	.A_N(n_7346),
-	.B(n_7289),
-	.Y(n_6824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g358100 (
-	.A(n_7347),
-	.B(n_6811),
-	.X(n_6823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g358101 (
-	.A_N(n_7441),
-	.B(n_7336),
-	.C(n_7333),
-	.Y(n_6822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g358102 (
-	.A(n_8455),
-	.B_N(n_7353),
-	.Y(n_6821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4b_1 g358103 (
-	.A(n_6943),
-	.B(soc_top_u_top_u_core_csr_addr[7]),
-	.C(soc_top_u_top_u_core_alu_operand_b_ex[10]),
-	.D_N(n_7336),
-	.Y(n_6820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358104 (
-	.A_N(soc_top_u_top_u_core_fp_frm_fpnew[0]),
-	.B(n_7335),
-	.Y(n_6819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g358105 (
-	.A(soc_top_u_top_u_core_alu_operator_ex[2]),
-	.B_N(n_7282),
-	.Y(n_6818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g358106 (
-	.A(n_15023),
-	.B_N(soc_top_u_top_u_core_is_fp_instr),
-	.Y(n_6817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g358107 (
-	.A_N(n_7272),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[8]),
-	.C(soc_top_u_top_u_core_alu_operand_b_ex[6]),
-	.Y(n_6816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358108 (
-	.A_N(n_15043),
-	.B(n_7295),
-	.Y(n_16653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358109 (
-	.A_N(n_7139),
-	.B(soc_top_u_top_u_core_fp_frm_csr[2]),
-	.Y(n_6815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358111 (
-	.A_N(n_7091),
-	.B(soc_top_u_top_u_core_lsu_wdata[29]),
-	.Y(n_6813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358112 (
-	.A_N(\soc_top_u_top_u_core_fp_operands[2] [3]),
-	.B(n_6915),
-	.Y(n_6812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g358113 (
-	.A(n_7147),
-	.B_N(soc_top_u_top_u_core_lsu_wdata[27]),
-	.Y(n_6811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3b_1 g358114 (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Div_enable_S ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.C(n_15010),
-	.X(n_15744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g358115 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[1] [0]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.Y(n_15802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358116 (
-	.A_N(\soc_top_u_top_u_core_fp_operands[2] [2]),
-	.B(n_8492),
-	.Y(n_6810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358117 (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][1] ),
-	.B(n_14973),
-	.Y(n_6809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g358118 (
-	.A_N(soc_top_u_top_u_core_pc_mux_id[2]),
-	.B(soc_top_u_top_u_core_pc_mux_id[1]),
-	.C(soc_top_u_top_u_core_pc_mux_id[0]),
-	.Y(n_15003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g358119 (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][6] ),
-	.B(n_7662),
-	.Y(n_15091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g358120 (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][0] ),
-	.B(n_7485),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_22 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Hb_a_D ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[0]  (
-	.CLK(CTS_17),
-	.D(n_5916),
-	.Q(soc_top_GPIO_cio_gpio_en_q[0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[1]  (
-	.CLK(CTS_17),
-	.D(n_5917),
-	.Q(soc_top_GPIO_cio_gpio_en_q[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[2]  (
-	.CLK(CTS_17),
-	.D(n_5918),
-	.Q(soc_top_GPIO_cio_gpio_en_q[2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[3]  (
-	.CLK(CTS_17),
-	.D(n_5935),
-	.Q(soc_top_GPIO_cio_gpio_en_q[3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[4]  (
-	.CLK(CTS_27),
-	.D(n_5932),
-	.Q(soc_top_GPIO_cio_gpio_en_q[4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[5]  (
-	.CLK(CTS_17),
-	.D(n_5934),
-	.Q(soc_top_GPIO_cio_gpio_en_q[5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[6]  (
-	.CLK(CTS_27),
-	.D(n_5933),
-	.Q(soc_top_GPIO_cio_gpio_en_q[6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[7]  (
-	.CLK(CTS_27),
-	.D(n_5931),
-	.Q(soc_top_GPIO_cio_gpio_en_q[7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[8]  (
-	.CLK(CTS_27),
-	.D(n_5930),
-	.Q(soc_top_GPIO_cio_gpio_en_q[8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[9]  (
-	.CLK(CTS_27),
-	.D(n_5929),
-	.Q(soc_top_GPIO_cio_gpio_en_q[9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[10]  (
-	.CLK(CTS_25),
-	.D(n_5928),
-	.Q(soc_top_GPIO_cio_gpio_en_q[10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[11]  (
-	.CLK(CTS_44),
-	.D(n_5927),
-	.Q(soc_top_GPIO_cio_gpio_en_q[11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[12]  (
-	.CLK(CTS_44),
-	.D(n_5926),
-	.Q(soc_top_GPIO_cio_gpio_en_q[12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[13]  (
-	.CLK(CTS_44),
-	.D(n_5925),
-	.Q(soc_top_GPIO_cio_gpio_en_q[13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[14]  (
-	.CLK(CTS_44),
-	.D(n_5924),
-	.Q(soc_top_GPIO_cio_gpio_en_q[14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[15]  (
-	.CLK(CTS_44),
-	.D(n_5923),
-	.Q(soc_top_GPIO_cio_gpio_en_q[15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[16]  (
-	.CLK(CTS_25),
-	.D(n_5702),
-	.Q(soc_top_GPIO_cio_gpio_en_q[16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[17]  (
-	.CLK(CTS_25),
-	.D(n_5609),
-	.Q(soc_top_GPIO_cio_gpio_en_q[17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[18]  (
-	.CLK(CTS_17),
-	.D(n_5610),
-	.Q(soc_top_GPIO_cio_gpio_en_q[18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[19]  (
-	.CLK(CTS_25),
-	.D(n_5607),
-	.Q(soc_top_GPIO_cio_gpio_en_q[19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[20]  (
-	.CLK(CTS_25),
-	.D(n_5608),
-	.Q(soc_top_GPIO_cio_gpio_en_q[20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[21]  (
-	.CLK(CTS_17),
-	.D(n_5606),
-	.Q(soc_top_GPIO_cio_gpio_en_q[21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[22]  (
-	.CLK(CTS_25),
-	.D(n_5605),
-	.Q(soc_top_GPIO_cio_gpio_en_q[22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[23]  (
-	.CLK(CTS_25),
-	.D(n_5604),
-	.Q(soc_top_GPIO_cio_gpio_en_q[23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[24]  (
-	.CLK(CTS_25),
-	.D(n_5603),
-	.Q(soc_top_GPIO_cio_gpio_en_q[24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[25]  (
-	.CLK(CTS_25),
-	.D(n_5602),
-	.Q(soc_top_GPIO_cio_gpio_en_q[25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[26]  (
-	.CLK(CTS_25),
-	.D(n_5601),
-	.Q(soc_top_GPIO_cio_gpio_en_q[26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[27]  (
-	.CLK(CTS_88),
-	.D(n_5599),
-	.Q(soc_top_GPIO_cio_gpio_en_q[27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[28]  (
-	.CLK(CTS_88),
-	.D(n_5600),
-	.Q(soc_top_GPIO_cio_gpio_en_q[28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[29]  (
-	.CLK(CTS_88),
-	.D(n_5598),
-	.Q(soc_top_GPIO_cio_gpio_en_q[29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[30]  (
-	.CLK(CTS_25),
-	.D(n_5597),
-	.Q(soc_top_GPIO_cio_gpio_en_q[30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[31]  (
-	.CLK(CTS_25),
-	.D(n_5596),
-	.Q(soc_top_GPIO_cio_gpio_en_q[31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[0]  (
-	.CLK(CTS_17),
-	.D(n_5389),
-	.Q(io_out[8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[1]  (
-	.CLK(CTS_17),
-	.D(n_5388),
-	.Q(io_out[9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[2]  (
-	.CLK(CTS_17),
-	.D(n_5387),
-	.Q(io_out[10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[3]  (
-	.CLK(CTS_27),
-	.D(n_5386),
-	.Q(io_out[11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[4]  (
-	.CLK(CTS_18),
-	.D(n_5385),
-	.Q(io_out[12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[5]  (
-	.CLK(CTS_17),
-	.D(n_5384),
-	.Q(io_out[13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[6]  (
-	.CLK(CTS_27),
-	.D(n_5383),
-	.Q(io_out[14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[7]  (
-	.CLK(CTS_27),
-	.D(n_5382),
-	.Q(io_out[15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[8]  (
-	.CLK(CTS_27),
-	.D(n_5381),
-	.Q(io_out[16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[9]  (
-	.CLK(CTS_27),
-	.D(n_5380),
-	.Q(io_out[17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[10]  (
-	.CLK(CTS_27),
-	.D(n_5379),
-	.Q(io_out[18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[11]  (
-	.CLK(CTS_44),
-	.D(n_5378),
-	.Q(io_out[19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[12]  (
-	.CLK(CTS_44),
-	.D(n_5377),
-	.Q(io_out[20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[13]  (
-	.CLK(CTS_88),
-	.D(n_5376),
-	.Q(io_out[21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[14]  (
-	.CLK(CTS_44),
-	.D(n_5375),
-	.Q(io_out[22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[15]  (
-	.CLK(CTS_44),
-	.D(n_5399),
-	.Q(io_out[23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[16]  (
-	.CLK(CTS_25),
-	.D(n_5402),
-	.Q(io_out[24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[17]  (
-	.CLK(CTS_25),
-	.D(n_5401),
-	.Q(io_out[25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[18]  (
-	.CLK(CTS_17),
-	.D(n_5400),
-	.Q(soc_top_GPIO_cio_gpio_q[18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[19]  (
-	.CLK(CTS_17),
-	.D(n_5407),
-	.Q(gpio_o[19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[24]  (
-	.CLK(CTS_25),
-	.D(n_5415),
-	.Q(io_out[32]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[25]  (
-	.CLK(CTS_25),
-	.D(n_5414),
-	.Q(gpio_o[25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[0].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_15),
-	.D(n_3019),
-	.Q(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[0].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_15),
-	.D(n_3073),
-	.Q(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[0].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_15),
-	.D(n_3354),
-	.Q(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[0].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_15),
-	.D(n_2203),
-	.Q(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[0].filter_stored_value_q_reg  (
-	.CLK(CTS_15),
-	.D(io_in[8]),
-	.Q(\soc_top_GPIO_gen_filter[0].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[0].filter_stored_value_q ),
-	.SCE(n_2635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[1].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_15),
-	.D(n_2995),
-	.Q(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[1].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_15),
-	.D(n_3030),
-	.Q(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[1].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_15),
-	.D(n_3355),
-	.Q(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[1].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_35),
-	.D(n_2171),
-	.Q(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[1].filter_stored_value_q_reg  (
-	.CLK(CTS_15),
-	.D(io_in[9]),
-	.Q(\soc_top_GPIO_gen_filter[1].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[1].filter_stored_value_q ),
-	.SCE(n_2627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[2].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_15),
-	.D(n_3014),
-	.Q(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[2].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_15),
-	.D(n_3079),
-	.Q(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[2].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_15),
-	.D(n_3374),
-	.Q(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[2].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_15),
-	.D(n_2153),
-	.Q(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[2].filter_stored_value_q_reg  (
-	.CLK(CTS_15),
-	.D(io_in[10]),
-	.Q(\soc_top_GPIO_gen_filter[2].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[2].filter_stored_value_q ),
-	.SCE(n_2618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[3].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_15),
-	.D(n_2989),
-	.Q(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[3].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_28),
-	.D(n_3081),
-	.Q(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[3].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_28),
-	.D(n_3358),
-	.Q(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[3].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_15),
-	.D(n_2205),
-	.Q(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[3].filter_stored_value_q_reg  (
-	.CLK(CTS_28),
-	.D(io_in[11]),
-	.Q(\soc_top_GPIO_gen_filter[3].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[3].filter_stored_value_q ),
-	.SCE(n_2639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[4].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_15),
-	.D(n_2991),
-	.Q(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[4].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_15),
-	.D(n_3070),
-	.Q(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[4].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_15),
-	.D(n_3357),
-	.Q(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[4].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_15),
-	.D(n_2223),
-	.Q(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[4].filter_stored_value_q_reg  (
-	.CLK(CTS_28),
-	.D(io_in[12]),
-	.Q(\soc_top_GPIO_gen_filter[4].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[4].filter_stored_value_q ),
-	.SCE(n_2614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[5].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_15),
-	.D(n_3008),
-	.Q(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[5].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_28),
-	.D(n_3092),
-	.Q(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[5].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_15),
-	.D(n_3359),
-	.Q(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[5].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_15),
-	.D(n_2187),
-	.Q(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[5].filter_stored_value_q_reg  (
-	.CLK(CTS_15),
-	.D(io_in[13]),
-	.Q(\soc_top_GPIO_gen_filter[5].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[5].filter_stored_value_q ),
-	.SCE(n_2638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[6].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(n_3010),
-	.Q(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[6].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_28),
-	.D(n_3084),
-	.Q(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[6].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_28),
-	.D(n_3360),
-	.Q(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[6].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_28),
-	.D(n_2182),
-	.Q(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[6].filter_stored_value_q_reg  (
-	.CLK(CTS_28),
-	.D(io_in[14]),
-	.Q(\soc_top_GPIO_gen_filter[6].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[6].filter_stored_value_q ),
-	.SCE(n_2633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[7].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(n_3013),
-	.Q(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[7].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_28),
-	.D(n_3074),
-	.Q(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[7].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_28),
-	.D(n_3348),
-	.Q(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[7].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_28),
-	.D(n_2183),
-	.Q(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[7].filter_stored_value_q_reg  (
-	.CLK(CTS_28),
-	.D(io_in[15]),
-	.Q(\soc_top_GPIO_gen_filter[7].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[7].filter_stored_value_q ),
-	.SCE(n_2636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[8].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(n_2998),
-	.Q(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[8].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_28),
-	.D(n_3101),
-	.Q(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[8].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_28),
-	.D(n_3361),
-	.Q(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[8].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_28),
-	.D(n_2185),
-	.Q(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[8].filter_stored_value_q_reg  (
-	.CLK(CTS_28),
-	.D(io_in[16]),
-	.Q(\soc_top_GPIO_gen_filter[8].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[8].filter_stored_value_q ),
-	.SCE(n_2634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[9].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(n_3012),
-	.Q(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[9].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_28),
-	.D(n_3076),
-	.Q(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[9].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_28),
-	.D(n_3353),
-	.Q(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[9].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_28),
-	.D(n_2178),
-	.Q(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[9].filter_stored_value_q_reg  (
-	.CLK(CTS_28),
-	.D(io_in[17]),
-	.Q(\soc_top_GPIO_gen_filter[9].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[9].filter_stored_value_q ),
-	.SCE(n_2628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[10].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_27),
-	.D(n_3011),
-	.Q(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[10].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_27),
-	.D(n_3072),
-	.Q(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[10].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_27),
-	.D(n_3351),
-	.Q(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[10].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_27),
-	.D(n_2181),
-	.Q(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[10].filter_stored_value_q_reg  (
-	.CLK(CTS_27),
-	.D(io_in[18]),
-	.Q(\soc_top_GPIO_gen_filter[10].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[10].filter_stored_value_q ),
-	.SCE(n_2632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[11].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_27),
-	.D(n_3009),
-	.Q(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[11].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_27),
-	.D(n_3090),
-	.Q(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[11].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_27),
-	.D(n_3362),
-	.Q(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[11].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_27),
-	.D(n_2154),
-	.Q(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[11].filter_stored_value_q_reg  (
-	.CLK(CTS_27),
-	.D(io_in[19]),
-	.Q(\soc_top_GPIO_gen_filter[11].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[11].filter_stored_value_q ),
-	.SCE(n_2630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[12].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_27),
-	.D(n_3015),
-	.Q(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[12].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_44),
-	.D(n_3091),
-	.Q(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[12].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_44),
-	.D(n_3352),
-	.Q(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[12].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_44),
-	.D(n_2152),
-	.Q(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[12].filter_stored_value_q_reg  (
-	.CLK(CTS_44),
-	.D(io_in[20]),
-	.Q(\soc_top_GPIO_gen_filter[12].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[12].filter_stored_value_q ),
-	.SCE(n_2644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[13].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_44),
-	.D(n_3016),
-	.Q(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[13].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_44),
-	.D(n_3093),
-	.Q(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[13].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_44),
-	.D(n_3283),
-	.Q(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[13].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_44),
-	.D(n_2188),
-	.Q(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[13].filter_stored_value_q_reg  (
-	.CLK(CTS_44),
-	.D(io_in[21]),
-	.Q(\soc_top_GPIO_gen_filter[13].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[13].filter_stored_value_q ),
-	.SCE(n_2629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[14].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_44),
-	.D(n_3007),
-	.Q(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[14].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_44),
-	.D(n_3085),
-	.Q(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[14].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_44),
-	.D(n_3363),
-	.Q(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[14].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_44),
-	.D(n_2189),
-	.Q(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[14].filter_stored_value_q_reg  (
-	.CLK(CTS_44),
-	.D(io_in[22]),
-	.Q(\soc_top_GPIO_gen_filter[14].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[14].filter_stored_value_q ),
-	.SCE(n_2615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[15].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_44),
-	.D(n_3005),
-	.Q(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[15].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_44),
-	.D(n_3094),
-	.Q(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[15].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_44),
-	.D(n_3365),
-	.Q(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[15].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_44),
-	.D(n_2192),
-	.Q(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[15].filter_stored_value_q_reg  (
-	.CLK(CTS_44),
-	.D(io_in[23]),
-	.Q(\soc_top_GPIO_gen_filter[15].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[15].filter_stored_value_q ),
-	.SCE(n_2626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[16].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_44),
-	.D(n_3004),
-	.Q(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[16].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_44),
-	.D(n_3095),
-	.Q(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[16].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_44),
-	.D(n_3370),
-	.Q(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[16].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_44),
-	.D(n_2195),
-	.Q(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[16].filter_stored_value_q_reg  (
-	.CLK(CTS_44),
-	.D(io_in[24]),
-	.Q(\soc_top_GPIO_gen_filter[16].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[16].filter_stored_value_q ),
-	.SCE(n_2624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[17].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_44),
-	.D(n_3003),
-	.Q(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[17].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_44),
-	.D(n_3096),
-	.Q(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[17].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_44),
-	.D(n_3303),
-	.Q(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[17].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_44),
-	.D(n_2197),
-	.Q(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[17].filter_stored_value_q_reg  (
-	.CLK(CTS_44),
-	.D(io_in[25]),
-	.Q(\soc_top_GPIO_gen_filter[17].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[17].filter_stored_value_q ),
-	.SCE(n_2622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[19].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_27),
-	.D(n_3000),
-	.Q(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[19].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_27),
-	.D(n_3071),
-	.Q(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[19].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_27),
-	.D(n_3301),
-	.Q(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[19].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_27),
-	.D(n_2199),
-	.Q(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[19].filter_stored_value_q_reg  (
-	.CLK(CTS_27),
-	.D(io_in[27]),
-	.Q(\soc_top_GPIO_gen_filter[19].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[19].filter_stored_value_q ),
-	.SCE(n_2621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[20].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_42),
-	.D(n_2997),
-	.Q(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[20].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_42),
-	.D(n_3097),
-	.Q(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[20].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_42),
-	.D(n_3292),
-	.Q(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[20].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_42),
-	.D(n_2200),
-	.Q(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[20].filter_stored_value_q_reg  (
-	.CLK(CTS_42),
-	.D(io_in[28]),
-	.Q(\soc_top_GPIO_gen_filter[20].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[20].filter_stored_value_q ),
-	.SCE(n_2620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[21].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_42),
-	.D(n_2996),
-	.Q(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[21].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_42),
-	.D(n_3069),
-	.Q(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[21].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_42),
-	.D(n_3290),
-	.Q(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[21].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_42),
-	.D(n_2206),
-	.Q(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[21].filter_stored_value_q_reg  (
-	.CLK(CTS_42),
-	.D(io_in[29]),
-	.Q(\soc_top_GPIO_gen_filter[21].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[21].filter_stored_value_q ),
-	.SCE(n_2619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[22].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_89),
-	.D(n_3021),
-	.Q(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[22].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_89),
-	.D(n_3098),
-	.Q(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[22].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_89),
-	.D(n_3289),
-	.Q(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[22].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_89),
-	.D(n_2150),
-	.Q(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[22].filter_stored_value_q_reg  (
-	.CLK(CTS_42),
-	.D(io_in[30]),
-	.Q(\soc_top_GPIO_gen_filter[22].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[22].filter_stored_value_q ),
-	.SCE(n_2640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[23].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_42),
-	.D(n_2987),
-	.Q(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[23].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_42),
-	.D(n_3099),
-	.Q(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[23].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_42),
-	.D(n_3349),
-	.Q(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[23].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_42),
-	.D(n_2169),
-	.Q(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[23].filter_stored_value_q_reg  (
-	.CLK(CTS_42),
-	.D(io_in[31]),
-	.Q(\soc_top_GPIO_gen_filter[23].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[23].filter_stored_value_q ),
-	.SCE(n_2637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[24].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_89),
-	.D(n_2986),
-	.Q(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[24].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_89),
-	.D(n_3100),
-	.Q(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[24].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_89),
-	.D(n_3350),
-	.Q(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[24].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_89),
-	.D(n_2221),
-	.Q(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[24].filter_stored_value_q_reg  (
-	.CLK(CTS_89),
-	.D(io_in[32]),
-	.Q(\soc_top_GPIO_gen_filter[24].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[24].filter_stored_value_q ),
-	.SCE(n_2642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[25].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_42),
-	.D(n_2994),
-	.Q(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[25].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_42),
-	.D(n_3083),
-	.Q(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[25].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_42),
-	.D(n_3288),
-	.Q(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[25].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_42),
-	.D(n_2217),
-	.Q(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[25].filter_stored_value_q_reg  (
-	.CLK(CTS_42),
-	.D(io_in[33]),
-	.Q(\soc_top_GPIO_gen_filter[25].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[25].filter_stored_value_q ),
-	.SCE(n_2631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[26].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_42),
-	.D(n_2993),
-	.Q(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[26].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_42),
-	.D(n_2885),
-	.Q(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[26].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_42),
-	.D(n_3287),
-	.Q(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[26].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_42),
-	.D(n_2204),
-	.Q(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[26].filter_stored_value_q_reg  (
-	.CLK(CTS_42),
-	.D(io_in[34]),
-	.Q(\soc_top_GPIO_gen_filter[26].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[26].filter_stored_value_q ),
-	.SCE(n_2617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[27].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_42),
-	.D(n_2992),
-	.Q(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[27].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_42),
-	.D(n_3025),
-	.Q(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[27].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_42),
-	.D(n_3285),
-	.Q(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[27].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_42),
-	.D(n_2186),
-	.Q(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[27].filter_stored_value_q_reg  (
-	.CLK(CTS_42),
-	.D(io_in[35]),
-	.Q(\soc_top_GPIO_gen_filter[27].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[27].filter_stored_value_q ),
-	.SCE(n_2616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[28].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_42),
-	.D(n_2985),
-	.Q(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[28].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_42),
-	.D(n_3024),
-	.Q(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[28].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_42),
-	.D(n_3277),
-	.Q(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[28].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_42),
-	.D(n_2220),
-	.Q(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[28].filter_stored_value_q_reg  (
-	.CLK(CTS_42),
-	.D(io_in[36]),
-	.Q(\soc_top_GPIO_gen_filter[28].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[28].filter_stored_value_q ),
-	.SCE(n_2623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[29].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_89),
-	.D(n_2990),
-	.Q(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[29].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_89),
-	.D(n_3028),
-	.Q(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[29].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_89),
-	.D(n_3284),
-	.Q(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[29].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_89),
-	.D(n_2177),
-	.Q(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[29].filter_stored_value_q_reg  (
-	.CLK(CTS_42),
-	.D(io_in[37]),
-	.Q(\soc_top_GPIO_gen_filter[29].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[29].filter_stored_value_q ),
-	.SCE(n_2643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[30].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_35),
-	.D(n_3022),
-	.Q(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[30].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_35),
-	.D(n_3027),
-	.Q(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[30].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_35),
-	.D(n_3286),
-	.Q(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[30].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_35),
-	.D(n_2170),
-	.Q(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[30].filter_stored_value_q_reg  (
-	.CLK(CTS_35),
-	.D(io_in[0]),
-	.Q(\soc_top_GPIO_gen_filter[30].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[30].filter_stored_value_q ),
-	.SCE(n_2625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[31].filter_diff_ctr_q_reg[0]  (
-	.CLK(CTS_35),
-	.D(n_2988),
-	.Q(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[31].filter_diff_ctr_q_reg[1]  (
-	.CLK(CTS_35),
-	.D(n_3029),
-	.Q(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[31].filter_diff_ctr_q_reg[2]  (
-	.CLK(CTS_35),
-	.D(n_3282),
-	.Q(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[31].filter_diff_ctr_q_reg[3]  (
-	.CLK(CTS_35),
-	.D(n_2210),
-	.Q(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[31].filter_stored_value_q_reg  (
-	.CLK(CTS_35),
-	.D(io_in[1]),
-	.Q(\soc_top_GPIO_gen_filter[31].filter_stored_value_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_gen_filter[31].filter_stored_value_q ),
-	.SCE(n_2641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[0]  (
-	.CLK(CTS_26),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [0]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[1]  (
-	.CLK(CTS_26),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [1]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[2]  (
-	.CLK(CTS_26),
-	.D(\soc_top_xbar_to_timer[a_data] [2]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [2]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[3]  (
-	.CLK(CTS_18),
-	.D(\soc_top_xbar_to_timer[a_data] [3]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [3]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[4]  (
-	.CLK(CTS_18),
-	.D(\soc_top_xbar_to_timer[a_data] [4]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [4]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[5]  (
-	.CLK(CTS_18),
-	.D(\soc_top_xbar_to_timer[a_data] [5]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [5]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[6]  (
-	.CLK(CTS_18),
-	.D(\soc_top_xbar_to_timer[a_data] [6]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [6]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[7]  (
-	.CLK(CTS_18),
-	.D(\soc_top_xbar_to_timer[a_data] [7]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [7]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[8]  (
-	.CLK(CTS_18),
-	.D(\soc_top_xbar_to_timer[a_data] [8]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [8]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[9]  (
-	.CLK(CTS_18),
-	.D(\soc_top_xbar_to_timer[a_data] [9]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [9]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[10]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [10]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [10]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[11]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [11]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [11]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[12]  (
-	.CLK(CTS_44),
-	.D(\soc_top_xbar_to_timer[a_data] [12]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [12]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[13]  (
-	.CLK(CTS_44),
-	.D(\soc_top_xbar_to_timer[a_data] [13]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [13]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[14]  (
-	.CLK(CTS_44),
-	.D(\soc_top_xbar_to_timer[a_data] [14]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [14]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[15]  (
-	.CLK(CTS_44),
-	.D(\soc_top_xbar_to_timer[a_data] [15]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [15]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[16]  (
-	.CLK(CTS_44),
-	.D(\soc_top_xbar_to_timer[a_data] [16]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [16]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[17]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [17]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [17]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[18]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [18]),
-	.Q(soc_top_GPIO_u_reg_ctrl_en_input_filter_qs[18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_GPIO_u_reg_ctrl_en_input_filter_qs[18]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[19]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [19]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [19]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[20]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [20]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [20]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[21]  (
-	.CLK(CTS_23),
-	.D(\soc_top_xbar_to_timer[a_data] [21]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [21]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[22]  (
-	.CLK(CTS_88),
-	.D(\soc_top_xbar_to_timer[a_data] [22]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [22]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[23]  (
-	.CLK(CTS_23),
-	.D(\soc_top_xbar_to_timer[a_data] [23]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [23]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[24]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [24]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [24]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[25]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [25]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [25]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[26]  (
-	.CLK(CTS_23),
-	.D(\soc_top_xbar_to_timer[a_data] [26]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [26]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[27]  (
-	.CLK(CTS_88),
-	.D(\soc_top_xbar_to_timer[a_data] [27]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [27]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[28]  (
-	.CLK(CTS_88),
-	.D(\soc_top_xbar_to_timer[a_data] [28]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [28]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[29]  (
-	.CLK(CTS_88),
-	.D(\soc_top_xbar_to_timer[a_data] [29]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [29]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[30]  (
-	.CLK(CTS_26),
-	.D(\soc_top_xbar_to_timer[a_data] [30]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [30]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[31]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [31]),
-	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [31]),
-	.SCE(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[0]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [0]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[1]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [1]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[2]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [2]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [2]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[3]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [3]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [3]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[4]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [4]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [4]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[5]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [5]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [5]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[6]  (
-	.CLK(CTS_18),
-	.D(\soc_top_xbar_to_timer[a_data] [6]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [6]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[7]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [7]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [7]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[8]  (
-	.CLK(CTS_18),
-	.D(\soc_top_xbar_to_timer[a_data] [8]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [8]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[9]  (
-	.CLK(CTS_18),
-	.D(\soc_top_xbar_to_timer[a_data] [9]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [9]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[10]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [10]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [10]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[11]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [11]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [11]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[12]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [12]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [12]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[13]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [13]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [13]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[14]  (
-	.CLK(CTS_44),
-	.D(\soc_top_xbar_to_timer[a_data] [14]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [14]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[15]  (
-	.CLK(CTS_44),
-	.D(\soc_top_xbar_to_timer[a_data] [15]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [15]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[16]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [16]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [16]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[17]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [17]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [17]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[18]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [18]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [18]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[19]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [19]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [19]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[20]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [20]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [20]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[21]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [21]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [21]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[22]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [22]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [22]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[23]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [23]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [23]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[24]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [24]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [24]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[25]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [25]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [25]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[26]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [26]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [26]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[27]  (
-	.CLK(CTS_88),
-	.D(\soc_top_xbar_to_timer[a_data] [27]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [27]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[28]  (
-	.CLK(CTS_88),
-	.D(\soc_top_xbar_to_timer[a_data] [28]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [28]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[29]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [29]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [29]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[30]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [30]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [30]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[31]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [31]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [31]),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[0]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [0]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[1]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [1]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[2]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [2]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [2]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[3]  (
-	.CLK(CTS_18),
-	.D(\soc_top_xbar_to_timer[a_data] [3]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [3]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[4]  (
-	.CLK(CTS_18),
-	.D(\soc_top_xbar_to_timer[a_data] [4]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [4]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[5]  (
-	.CLK(CTS_18),
-	.D(\soc_top_xbar_to_timer[a_data] [5]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [5]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[6]  (
-	.CLK(CTS_18),
-	.D(\soc_top_xbar_to_timer[a_data] [6]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [6]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[7]  (
-	.CLK(CTS_18),
-	.D(\soc_top_xbar_to_timer[a_data] [7]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [7]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[8]  (
-	.CLK(CTS_18),
-	.D(\soc_top_xbar_to_timer[a_data] [8]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [8]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[9]  (
-	.CLK(CTS_18),
-	.D(\soc_top_xbar_to_timer[a_data] [9]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [9]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[10]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [10]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [10]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[11]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [11]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [11]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[12]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [12]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [12]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[13]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [13]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [13]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[14]  (
-	.CLK(CTS_44),
-	.D(\soc_top_xbar_to_timer[a_data] [14]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [14]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[15]  (
-	.CLK(CTS_44),
-	.D(\soc_top_xbar_to_timer[a_data] [15]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [15]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[16]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [16]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [16]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[17]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [17]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [17]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[18]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [18]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [18]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[19]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [19]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [19]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[20]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [20]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [20]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[21]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [21]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [21]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[22]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [22]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [22]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[23]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [23]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [23]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[24]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [24]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [24]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[25]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [25]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [25]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[26]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [26]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [26]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[27]  (
-	.CLK(CTS_88),
-	.D(\soc_top_xbar_to_timer[a_data] [27]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [27]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[28]  (
-	.CLK(CTS_88),
-	.D(\soc_top_xbar_to_timer[a_data] [28]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [28]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[29]  (
-	.CLK(CTS_88),
-	.D(\soc_top_xbar_to_timer[a_data] [29]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [29]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[30]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [30]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [30]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[31]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [31]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [31]),
-	.SCE(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[0]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [0]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[1]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [1]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[2]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [2]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [2]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[3]  (
-	.CLK(CTS_18),
-	.D(\soc_top_xbar_to_timer[a_data] [3]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [3]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[4]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [4]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [4]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[5]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [5]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [5]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[6]  (
-	.CLK(CTS_18),
-	.D(\soc_top_xbar_to_timer[a_data] [6]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [6]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[7]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [7]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [7]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[8]  (
-	.CLK(CTS_18),
-	.D(\soc_top_xbar_to_timer[a_data] [8]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [8]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[9]  (
-	.CLK(CTS_18),
-	.D(\soc_top_xbar_to_timer[a_data] [9]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [9]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[10]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [10]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [10]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[11]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [11]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [11]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[12]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [12]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [12]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[13]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [13]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [13]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[14]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [14]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [14]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[15]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [15]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [15]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[16]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [16]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [16]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[17]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [17]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [17]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[18]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [18]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [18]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[19]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [19]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [19]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[20]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [20]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [20]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[21]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [21]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [21]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[22]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [22]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [22]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[23]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [23]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [23]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[24]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [24]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [24]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[25]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [25]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [25]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[26]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [26]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [26]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[27]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [27]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [27]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[28]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [28]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [28]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[29]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [29]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [29]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[30]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [30]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [30]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[31]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [31]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [31]),
-	.SCE(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[0]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [0]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[1]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [1]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[2]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [2]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [2]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[3]  (
-	.CLK(CTS_18),
-	.D(\soc_top_xbar_to_timer[a_data] [3]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [3]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[4]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [4]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [4]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[5]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [5]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [5]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[6]  (
-	.CLK(CTS_18),
-	.D(\soc_top_xbar_to_timer[a_data] [6]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [6]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[7]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [7]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [7]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[8]  (
-	.CLK(CTS_18),
-	.D(\soc_top_xbar_to_timer[a_data] [8]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [8]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[9]  (
-	.CLK(CTS_18),
-	.D(\soc_top_xbar_to_timer[a_data] [9]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [9]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[10]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [10]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [10]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[11]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [11]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [11]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[12]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [12]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [12]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[13]  (
-	.CLK(CTS_88),
-	.D(\soc_top_xbar_to_timer[a_data] [13]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [13]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[14]  (
-	.CLK(CTS_44),
-	.D(\soc_top_xbar_to_timer[a_data] [14]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [14]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[15]  (
-	.CLK(CTS_44),
-	.D(\soc_top_xbar_to_timer[a_data] [15]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [15]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[16]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [16]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [16]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[17]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [17]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [17]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[18]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [18]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [18]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[19]  (
-	.CLK(CTS_27),
-	.D(\soc_top_xbar_to_timer[a_data] [19]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [19]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[20]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [20]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [20]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[21]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [21]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [21]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[22]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [22]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [22]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[23]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [23]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [23]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[24]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [24]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [24]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[25]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [25]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [25]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[26]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [26]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [26]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[27]  (
-	.CLK(CTS_25),
-	.D(\soc_top_xbar_to_timer[a_data] [27]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [27]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[28]  (
-	.CLK(CTS_88),
-	.D(\soc_top_xbar_to_timer[a_data] [28]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [28]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[29]  (
-	.CLK(CTS_88),
-	.D(\soc_top_xbar_to_timer[a_data] [29]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [29]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[30]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [30]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [30]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[31]  (
-	.CLK(CTS_17),
-	.D(\soc_top_xbar_to_timer[a_data] [31]),
-	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [31]),
-	.SCE(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[0]  (
-	.CLK(CTS_17),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [0]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [0]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[1]  (
-	.CLK(CTS_26),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [1]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [1]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[2]  (
-	.CLK(CTS_26),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [2]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [2]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[3]  (
-	.CLK(CTS_26),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [3]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [3]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[4]  (
-	.CLK(CTS_26),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [4]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [4]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[5]  (
-	.CLK(CTS_18),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [5]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [5]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[6]  (
-	.CLK(CTS_18),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [6]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [6]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[7]  (
-	.CLK(CTS_18),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [7]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [7]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[8]  (
-	.CLK(CTS_18),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [8]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [8]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[9]  (
-	.CLK(CTS_18),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [9]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [9]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[10]  (
-	.CLK(CTS_27),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [10]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [10]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[11]  (
-	.CLK(CTS_27),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [11]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [11]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[12]  (
-	.CLK(CTS_27),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [12]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [12]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[13]  (
-	.CLK(CTS_25),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [13]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [13]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[14]  (
-	.CLK(CTS_27),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [14]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [14]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[15]  (
-	.CLK(CTS_25),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [15]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [15]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[16]  (
-	.CLK(CTS_27),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [16]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [16]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[17]  (
-	.CLK(CTS_27),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [17]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [17]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[18]  (
-	.CLK(CTS_17),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [18]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [18]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[19]  (
-	.CLK(CTS_27),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [19]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [19]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[20]  (
-	.CLK(CTS_17),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [20]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [20]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[21]  (
-	.CLK(CTS_23),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [21]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [21]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[22]  (
-	.CLK(CTS_23),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [22]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [22]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[23]  (
-	.CLK(CTS_23),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [23]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [23]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[24]  (
-	.CLK(CTS_23),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [24]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [24]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[25]  (
-	.CLK(CTS_23),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [25]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [25]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[26]  (
-	.CLK(CTS_23),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [26]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [26]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[27]  (
-	.CLK(CTS_23),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [27]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [27]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[28]  (
-	.CLK(CTS_25),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [28]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [28]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[29]  (
-	.CLK(CTS_23),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [29]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [29]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[30]  (
-	.CLK(CTS_17),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [30]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [30]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[31]  (
-	.CLK(CTS_17),
-	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [31]),
-	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [31]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[0]  (
-	.CLK(CTS_17),
-	.D(n_5829),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [0]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[1]  (
-	.CLK(CTS_17),
-	.D(n_5828),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [1]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[2]  (
-	.CLK(CTS_17),
-	.D(n_5827),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [2]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[3]  (
-	.CLK(CTS_17),
-	.D(n_5826),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [3]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[4]  (
-	.CLK(CTS_18),
-	.D(n_5825),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [4]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[5]  (
-	.CLK(CTS_17),
-	.D(n_5824),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [5]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[6]  (
-	.CLK(CTS_18),
-	.D(n_5823),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [6]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[7]  (
-	.CLK(CTS_18),
-	.D(n_5822),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [7]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[8]  (
-	.CLK(CTS_18),
-	.D(n_5821),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [8]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[9]  (
-	.CLK(CTS_18),
-	.D(n_5820),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [9]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[10]  (
-	.CLK(CTS_27),
-	.D(n_5819),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [10]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[11]  (
-	.CLK(CTS_27),
-	.D(n_5818),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [11]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[12]  (
-	.CLK(CTS_27),
-	.D(n_5817),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [12]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[13]  (
-	.CLK(CTS_25),
-	.D(n_5816),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [13]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[14]  (
-	.CLK(CTS_27),
-	.D(n_5815),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [14]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[15]  (
-	.CLK(CTS_27),
-	.D(n_5814),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [15]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[16]  (
-	.CLK(CTS_27),
-	.D(n_5813),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [16]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[17]  (
-	.CLK(CTS_27),
-	.D(n_5812),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [17]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[18]  (
-	.CLK(CTS_17),
-	.D(n_5703),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [18]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[19]  (
-	.CLK(CTS_27),
-	.D(n_5810),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [19]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[20]  (
-	.CLK(CTS_25),
-	.D(n_5811),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [20]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[21]  (
-	.CLK(CTS_25),
-	.D(n_5809),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [21]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[22]  (
-	.CLK(CTS_25),
-	.D(n_5808),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [22]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[23]  (
-	.CLK(CTS_25),
-	.D(n_5807),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [23]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[24]  (
-	.CLK(CTS_25),
-	.D(n_5806),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [24]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[25]  (
-	.CLK(CTS_25),
-	.D(n_5805),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [25]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[26]  (
-	.CLK(CTS_25),
-	.D(n_5804),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [26]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[27]  (
-	.CLK(CTS_25),
-	.D(n_5803),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [27]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[28]  (
-	.CLK(CTS_25),
-	.D(n_5802),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [28]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[29]  (
-	.CLK(CTS_25),
-	.D(n_5801),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [29]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[30]  (
-	.CLK(CTS_17),
-	.D(n_5800),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [30]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[31]  (
-	.CLK(CTS_17),
-	.D(n_5799),
-	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_GPIO_reg2hw[intr_state][q] [31]),
-	.SCE(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 soc_top_GPIO_u_reg_u_reg_if_error_reg (
-	.CLK(CTS_16),
-	.D(\soc_top_gpio_to_xbarp[d_error] ),
-	.Q(\soc_top_gpio_to_xbarp[d_error] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_267),
-	.SCE(n_1349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 soc_top_GPIO_u_reg_u_reg_if_outstanding_reg (
-	.CLK(CTS_16),
-	.D(n_1349),
-	.Q(\soc_top_gpio_to_xbarp[d_valid] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_gpio_to_xbarp[d_valid] ),
-	.SCE(n_1726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[0]  (
-	.CLK(CTS_26),
-	.D(n_5304),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[1]  (
-	.CLK(CTS_17),
-	.D(n_5303),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[2]  (
-	.CLK(CTS_26),
-	.D(n_5302),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[3]  (
-	.CLK(CTS_26),
-	.D(n_5301),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[4]  (
-	.CLK(CTS_26),
-	.D(n_5300),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[5]  (
-	.CLK(CTS_26),
-	.D(n_5299),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[6]  (
-	.CLK(CTS_18),
-	.D(n_5296),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[7]  (
-	.CLK(CTS_18),
-	.D(n_5298),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[8]  (
-	.CLK(CTS_18),
-	.D(n_5297),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[9]  (
-	.CLK(CTS_18),
-	.D(n_5295),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[10]  (
-	.CLK(CTS_27),
-	.D(n_5294),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[11]  (
-	.CLK(CTS_27),
-	.D(n_5293),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[12]  (
-	.CLK(CTS_27),
-	.D(n_5292),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[13]  (
-	.CLK(CTS_25),
-	.D(n_5291),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[14]  (
-	.CLK(CTS_27),
-	.D(n_5290),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[15]  (
-	.CLK(CTS_27),
-	.D(n_5289),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[16]  (
-	.CLK(CTS_27),
-	.D(n_4596),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[17]  (
-	.CLK(CTS_27),
-	.D(n_4595),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[18]  (
-	.CLK(CTS_17),
-	.D(n_4708),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[19]  (
-	.CLK(CTS_27),
-	.D(n_4594),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[20]  (
-	.CLK(CTS_17),
-	.D(n_4593),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[21]  (
-	.CLK(CTS_17),
-	.D(n_4592),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[22]  (
-	.CLK(CTS_25),
-	.D(n_5061),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[23]  (
-	.CLK(CTS_23),
-	.D(n_4591),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[24]  (
-	.CLK(CTS_23),
-	.D(n_4590),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[25]  (
-	.CLK(CTS_25),
-	.D(n_4589),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[26]  (
-	.CLK(CTS_23),
-	.D(n_4584),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[27]  (
-	.CLK(CTS_25),
-	.D(n_4588),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[28]  (
-	.CLK(CTS_25),
-	.D(n_4587),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[29]  (
-	.CLK(CTS_25),
-	.D(n_4586),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[30]  (
-	.CLK(CTS_17),
-	.D(n_4585),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[31]  (
-	.CLK(CTS_17),
-	.D(n_4583),
-	.Q(\soc_top_gpio_to_xbarp[d_data] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rspop_reg[0]  (
-	.CLK(CTS_16),
-	.D(n_14994),
-	.Q(\soc_top_gpio_to_xbarp[d_opcode] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_gpio_to_xbarp[d_opcode] [0]),
-	.SCE(n_1350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
-	.CLK(CTS_18),
-	.D(n_2232),
-	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[2]  (
-	.CLK(CTS_18),
-	.D(n_3540),
-	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
-	.CLK(CTS_28),
-	.D(n_1500),
-	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[2]  (
-	.CLK(CTS_18),
-	.D(n_3990),
-	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[0][11]  (
-	.CLK(CTS_28),
-	.D(n_2925),
-	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[1][11]  (
-	.CLK(CTS_18),
-	.D(n_3020),
-	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[2][11]  (
-	.CLK(CTS_18),
-	.D(n_2907),
-	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2] [11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[3][11]  (
-	.CLK(CTS_28),
-	.D(n_2918),
-	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3] [11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
-	.CLK(CTS_16),
-	.D(n_1501),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
-	.CLK(CTS_16),
-	.D(n_2830),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[2]  (
-	.CLK(CTS_26),
-	.D(n_2010),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
-	.CLK(CTS_17),
-	.D(n_3375),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
-	.CLK(CTS_17),
-	.D(n_3933),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[2]  (
-	.CLK(CTS_17),
-	.D(n_4576),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][1]  (
-	.CLK(CTS_23),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
-	.SCD(n_17202),
-	.SCE(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][2]  (
-	.CLK(CTS_17),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
-	.SCD(n_17203),
-	.SCE(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][3]  (
-	.CLK(CTS_16),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
-	.SCD(n_17204),
-	.SCE(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][4]  (
-	.CLK(CTS_16),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
-	.SCD(n_17205),
-	.SCE(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][5]  (
-	.CLK(CTS_23),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
-	.SCD(n_17206),
-	.SCE(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][6]  (
-	.CLK(CTS_16),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
-	.SCD(n_17207),
-	.SCE(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][7]  (
-	.CLK(CTS_23),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
-	.SCD(n_17208),
-	.SCE(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][8]  (
-	.CLK(CTS_16),
-	.D(n_3982),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][9]  (
-	.CLK(CTS_23),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
-	.SCD(n_17209),
-	.SCE(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][10]  (
-	.CLK(CTS_16),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
-	.SCD(n_17210),
-	.SCE(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][11]  (
-	.CLK(CTS_23),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
-	.SCD(n_17211),
-	.SCE(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][12]  (
-	.CLK(CTS_23),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
-	.SCD(n_17212),
-	.SCE(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][13]  (
-	.CLK(CTS_23),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
-	.SCD(n_17213),
-	.SCE(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][14]  (
-	.CLK(CTS_16),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
-	.SCD(n_17214),
-	.SCE(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][15]  (
-	.CLK(CTS_23),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
-	.SCD(n_17215),
-	.SCE(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][16]  (
-	.CLK(CTS_16),
-	.D(n_3983),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][17]  (
-	.CLK(CTS_21),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
-	.SCD(n_17216),
-	.SCE(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][18]  (
-	.CLK(CTS_23),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
-	.SCD(n_17217),
-	.SCE(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][19]  (
-	.CLK(CTS_21),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
-	.SCD(n_17218),
-	.SCE(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][20]  (
-	.CLK(CTS_22),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
-	.SCD(n_17219),
-	.SCE(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][21]  (
-	.CLK(CTS_21),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
-	.SCD(n_17220),
-	.SCE(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][22]  (
-	.CLK(CTS_23),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
-	.SCD(n_17221),
-	.SCE(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][23]  (
-	.CLK(CTS_21),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
-	.SCD(n_17222),
-	.SCE(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][24]  (
-	.CLK(CTS_16),
-	.D(n_3984),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][25]  (
-	.CLK(CTS_22),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
-	.SCD(n_17223),
-	.SCE(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][26]  (
-	.CLK(CTS_22),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
-	.SCD(n_18322),
-	.SCE(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][27]  (
-	.CLK(CTS_22),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
-	.SCD(n_17225),
-	.SCE(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][28]  (
-	.CLK(CTS_22),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
-	.SCD(n_18325),
-	.SCE(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][29]  (
-	.CLK(CTS_22),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
-	.SCD(n_18324),
-	.SCE(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][30]  (
-	.CLK(CTS_22),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
-	.SCD(n_18323),
-	.SCE(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][31]  (
-	.CLK(CTS_16),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
-	.SCD(n_18518),
-	.SCE(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][32]  (
-	.CLK(CTS_16),
-	.D(n_3985),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][1]  (
-	.CLK(CTS_23),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
-	.SCD(n_17202),
-	.SCE(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][2]  (
-	.CLK(CTS_16),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
-	.SCD(n_17203),
-	.SCE(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][3]  (
-	.CLK(CTS_16),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
-	.SCD(n_17204),
-	.SCE(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][4]  (
-	.CLK(CTS_23),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
-	.SCD(n_17205),
-	.SCE(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][5]  (
-	.CLK(CTS_23),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
-	.SCD(n_17206),
-	.SCE(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][6]  (
-	.CLK(CTS_17),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
-	.SCD(n_17207),
-	.SCE(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][7]  (
-	.CLK(CTS_23),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
-	.SCD(n_17208),
-	.SCE(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][8]  (
-	.CLK(CTS_16),
-	.D(n_3986),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][9]  (
-	.CLK(CTS_23),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
-	.SCD(n_17209),
-	.SCE(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][10]  (
-	.CLK(CTS_16),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
-	.SCD(n_17210),
-	.SCE(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][11]  (
-	.CLK(CTS_23),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
-	.SCD(n_17211),
-	.SCE(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][12]  (
-	.CLK(CTS_23),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
-	.SCD(n_17212),
-	.SCE(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][13]  (
-	.CLK(CTS_23),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
-	.SCD(n_17213),
-	.SCE(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][14]  (
-	.CLK(CTS_16),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
-	.SCD(n_17214),
-	.SCE(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][15]  (
-	.CLK(CTS_23),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
-	.SCD(n_17215),
-	.SCE(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][16]  (
-	.CLK(CTS_16),
-	.D(n_3987),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][17]  (
-	.CLK(CTS_21),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
-	.SCD(n_17216),
-	.SCE(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][18]  (
-	.CLK(CTS_16),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
-	.SCD(n_17217),
-	.SCE(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][19]  (
-	.CLK(CTS_21),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
-	.SCD(n_17218),
-	.SCE(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][20]  (
-	.CLK(CTS_22),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
-	.SCD(n_17219),
-	.SCE(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][21]  (
-	.CLK(CTS_21),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
-	.SCD(n_17220),
-	.SCE(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][22]  (
-	.CLK(CTS_23),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
-	.SCD(n_17221),
-	.SCE(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][23]  (
-	.CLK(CTS_21),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
-	.SCD(n_17222),
-	.SCE(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][24]  (
-	.CLK(CTS_16),
-	.D(n_3988),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][25]  (
-	.CLK(CTS_22),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
-	.SCD(n_17223),
-	.SCE(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][26]  (
-	.CLK(CTS_22),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
-	.SCD(n_18322),
-	.SCE(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][27]  (
-	.CLK(CTS_22),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
-	.SCD(n_17225),
-	.SCE(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][28]  (
-	.CLK(CTS_22),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
-	.SCD(n_18325),
-	.SCE(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][29]  (
-	.CLK(CTS_22),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
-	.SCD(n_18324),
-	.SCE(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][30]  (
-	.CLK(CTS_22),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
-	.SCD(n_18323),
-	.SCE(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][31]  (
-	.CLK(CTS_16),
-	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
-	.SCD(n_18518),
-	.SCE(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][32]  (
-	.CLK(CTS_16),
-	.D(n_3989),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][1]  (
-	.CLK(CTS_23),
-	.D(n_17202),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [1]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [1]),
-	.SCE(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][2]  (
-	.CLK(CTS_17),
-	.D(n_17203),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [2]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [2]),
-	.SCE(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][3]  (
-	.CLK(CTS_16),
-	.D(n_17204),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [3]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [3]),
-	.SCE(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][4]  (
-	.CLK(CTS_16),
-	.D(n_17205),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [4]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [4]),
-	.SCE(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][5]  (
-	.CLK(CTS_23),
-	.D(n_17206),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [5]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [5]),
-	.SCE(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][6]  (
-	.CLK(CTS_17),
-	.D(n_17207),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [6]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [6]),
-	.SCE(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][7]  (
-	.CLK(CTS_23),
-	.D(n_17208),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [7]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [7]),
-	.SCE(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][8]  (
-	.CLK(CTS_16),
-	.D(n_3849),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][9]  (
-	.CLK(CTS_23),
-	.D(n_17209),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [9]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [9]),
-	.SCE(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][10]  (
-	.CLK(CTS_16),
-	.D(n_17210),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [10]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [10]),
-	.SCE(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][11]  (
-	.CLK(CTS_23),
-	.D(n_17211),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [11]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [11]),
-	.SCE(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][12]  (
-	.CLK(CTS_23),
-	.D(n_17212),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [12]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [12]),
-	.SCE(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][13]  (
-	.CLK(CTS_23),
-	.D(n_17213),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [13]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [13]),
-	.SCE(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][14]  (
-	.CLK(CTS_23),
-	.D(n_17214),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [14]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [14]),
-	.SCE(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][15]  (
-	.CLK(CTS_23),
-	.D(n_17215),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [15]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [15]),
-	.SCE(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][16]  (
-	.CLK(CTS_16),
-	.D(n_3848),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][17]  (
-	.CLK(CTS_21),
-	.D(n_17216),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [17]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [17]),
-	.SCE(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][18]  (
-	.CLK(CTS_23),
-	.D(n_17217),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [18]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [18]),
-	.SCE(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][19]  (
-	.CLK(CTS_21),
-	.D(n_17218),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [19]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [19]),
-	.SCE(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][20]  (
-	.CLK(CTS_16),
-	.D(n_17219),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [20]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [20]),
-	.SCE(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][21]  (
-	.CLK(CTS_23),
-	.D(n_17220),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [21]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [21]),
-	.SCE(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][22]  (
-	.CLK(CTS_23),
-	.D(n_17221),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [22]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [22]),
-	.SCE(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][23]  (
-	.CLK(CTS_21),
-	.D(n_17222),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [23]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [23]),
-	.SCE(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][24]  (
-	.CLK(CTS_16),
-	.D(n_3847),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][25]  (
-	.CLK(CTS_22),
-	.D(n_17223),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [25]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [25]),
-	.SCE(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][26]  (
-	.CLK(CTS_22),
-	.D(n_18322),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [26]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [26]),
-	.SCE(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][27]  (
-	.CLK(CTS_22),
-	.D(n_17225),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [27]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [27]),
-	.SCE(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][28]  (
-	.CLK(CTS_22),
-	.D(n_18325),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [28]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [28]),
-	.SCE(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][29]  (
-	.CLK(CTS_22),
-	.D(n_18324),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [29]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [29]),
-	.SCE(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][30]  (
-	.CLK(CTS_21),
-	.D(n_18323),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [30]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [30]),
-	.SCE(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][31]  (
-	.CLK(CTS_16),
-	.D(n_18518),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [31]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [31]),
-	.SCE(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][32]  (
-	.CLK(CTS_16),
-	.D(n_3846),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [32]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][1]  (
-	.CLK(CTS_23),
-	.D(n_17202),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [1]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [1]),
-	.SCE(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][2]  (
-	.CLK(CTS_17),
-	.D(n_17203),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [2]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [2]),
-	.SCE(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][3]  (
-	.CLK(CTS_16),
-	.D(n_17204),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [3]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [3]),
-	.SCE(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][4]  (
-	.CLK(CTS_23),
-	.D(n_17205),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [4]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [4]),
-	.SCE(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][5]  (
-	.CLK(CTS_23),
-	.D(n_17206),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [5]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [5]),
-	.SCE(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][6]  (
-	.CLK(CTS_16),
-	.D(n_17207),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [6]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [6]),
-	.SCE(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][7]  (
-	.CLK(CTS_23),
-	.D(n_17208),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [7]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [7]),
-	.SCE(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][8]  (
-	.CLK(CTS_16),
-	.D(n_3845),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][9]  (
-	.CLK(CTS_23),
-	.D(n_17209),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [9]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [9]),
-	.SCE(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][10]  (
-	.CLK(CTS_16),
-	.D(n_17210),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [10]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [10]),
-	.SCE(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][11]  (
-	.CLK(CTS_23),
-	.D(n_17211),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [11]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [11]),
-	.SCE(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][12]  (
-	.CLK(CTS_23),
-	.D(n_17212),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [12]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [12]),
-	.SCE(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][13]  (
-	.CLK(CTS_23),
-	.D(n_17213),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [13]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [13]),
-	.SCE(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][14]  (
-	.CLK(CTS_16),
-	.D(n_17214),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [14]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [14]),
-	.SCE(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][15]  (
-	.CLK(CTS_23),
-	.D(n_17215),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [15]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [15]),
-	.SCE(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][16]  (
-	.CLK(CTS_16),
-	.D(n_3844),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][17]  (
-	.CLK(CTS_21),
-	.D(n_17216),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [17]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [17]),
-	.SCE(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][18]  (
-	.CLK(CTS_16),
-	.D(n_17217),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [18]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [18]),
-	.SCE(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][19]  (
-	.CLK(CTS_21),
-	.D(n_17218),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [19]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [19]),
-	.SCE(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][20]  (
-	.CLK(CTS_16),
-	.D(n_17219),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [20]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [20]),
-	.SCE(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][21]  (
-	.CLK(CTS_23),
-	.D(n_17220),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [21]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [21]),
-	.SCE(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][22]  (
-	.CLK(CTS_23),
-	.D(n_17221),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [22]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [22]),
-	.SCE(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][23]  (
-	.CLK(CTS_23),
-	.D(n_17222),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [23]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [23]),
-	.SCE(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][24]  (
-	.CLK(CTS_16),
-	.D(n_3843),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][25]  (
-	.CLK(CTS_22),
-	.D(n_17223),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [25]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [25]),
-	.SCE(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][26]  (
-	.CLK(CTS_22),
-	.D(n_18322),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [26]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [26]),
-	.SCE(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][27]  (
-	.CLK(CTS_22),
-	.D(n_17225),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [27]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [27]),
-	.SCE(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][28]  (
-	.CLK(CTS_22),
-	.D(n_18325),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [28]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [28]),
-	.SCE(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][29]  (
-	.CLK(CTS_16),
-	.D(n_18324),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [29]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [29]),
-	.SCE(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][30]  (
-	.CLK(CTS_16),
-	.D(n_18323),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [30]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [30]),
-	.SCE(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][31]  (
-	.CLK(CTS_16),
-	.D(n_18518),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [31]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [31]),
-	.SCE(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][32]  (
-	.CLK(CTS_16),
-	.D(n_3842),
-	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [32]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
-	.CLK(CTS_18),
-	.D(n_795),
-	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.SCE(n_17463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
-	.CLK(CTS_18),
-	.D(n_17577),
-	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[2]  (
-	.CLK(CTS_18),
-	.D(n_2256),
-	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
-	.CLK(CTS_18),
-	.D(n_3104),
-	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
-	.CLK(CTS_18),
-	.D(n_3571),
-	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[2]  (
-	.CLK(CTS_18),
-	.D(n_4459),
-	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][1]  (
-	.CLK(CTS_18),
-	.D(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
-	.SCD(n_646),
-	.SCE(n_3124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][2]  (
-	.CLK(CTS_18),
-	.D(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [2]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [2]),
-	.SCD(n_884),
-	.SCE(n_3124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][3]  (
-	.CLK(CTS_18),
-	.D(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [3]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [3]),
-	.SCD(n_900),
-	.SCE(n_3124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][4]  (
-	.CLK(CTS_18),
-	.D(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [4]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [4]),
-	.SCD(n_899),
-	.SCE(n_3124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][1]  (
-	.CLK(CTS_18),
-	.D(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
-	.SCD(n_646),
-	.SCE(n_3125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][2]  (
-	.CLK(CTS_18),
-	.D(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [2]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [2]),
-	.SCD(n_884),
-	.SCE(n_3125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][3]  (
-	.CLK(CTS_18),
-	.D(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [3]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [3]),
-	.SCD(n_900),
-	.SCE(n_3125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][4]  (
-	.CLK(CTS_18),
-	.D(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [4]),
-	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [4]),
-	.SCD(n_899),
-	.SCE(n_3125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][1]  (
-	.CLK(CTS_18),
-	.D(n_646),
-	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [1]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [1]),
-	.SCE(n_3127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][2]  (
-	.CLK(CTS_18),
-	.D(n_884),
-	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [2]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [2]),
-	.SCE(n_3127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][3]  (
-	.CLK(CTS_18),
-	.D(n_900),
-	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [3]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [3]),
-	.SCE(n_3127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][4]  (
-	.CLK(CTS_18),
-	.D(n_899),
-	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [4]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [4]),
-	.SCE(n_3127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][1]  (
-	.CLK(CTS_18),
-	.D(n_646),
-	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [1]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [1]),
-	.SCE(n_3123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][2]  (
-	.CLK(CTS_18),
-	.D(n_884),
-	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [2]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [2]),
-	.SCE(n_3123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][3]  (
-	.CLK(CTS_18),
-	.D(n_900),
-	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [3]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [3]),
-	.SCE(n_3123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][4]  (
-	.CLK(CTS_18),
-	.D(n_899),
-	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [4]),
-	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [4]),
-	.SCE(n_3123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 soc_top_dccm_adapter_rvalid_o_reg (
-	.CLK(CTS_18),
-	.D(n_1761),
-	.Q(soc_top_dccm_adapter_rvalid_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
-	.CLK(CTS_77),
-	.D(n_2158),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
-	.CLK(CTS_77),
-	.D(n_3841),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage_reg[0][11]  (
-	.CLK(CTS_77),
-	.D(n_3597),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage_reg[1][11]  (
-	.CLK(CTS_77),
-	.D(n_4204),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
-	.CLK(CTS_77),
-	.D(n_2157),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
-	.CLK(CTS_77),
-	.D(n_2231),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
-	.CLK(CTS_77),
-	.D(n_3538),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][1]  (
-	.CLK(CTS_77),
-	.D(n_3279),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][2]  (
-	.CLK(CTS_77),
-	.D(n_3281),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][3]  (
-	.CLK(CTS_77),
-	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
-	.SCD(n_1641),
-	.SCE(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][4]  (
-	.CLK(CTS_77),
-	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
-	.SCD(n_1640),
-	.SCE(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][5]  (
-	.CLK(CTS_77),
-	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
-	.SCD(n_1649),
-	.SCE(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][6]  (
-	.CLK(CTS_77),
-	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
-	.SCD(n_1639),
-	.SCE(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][7]  (
-	.CLK(CTS_77),
-	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
-	.SCD(n_1638),
-	.SCE(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][8]  (
-	.CLK(CTS_77),
-	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
-	.SCD(n_1655),
-	.SCE(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][9]  (
-	.CLK(CTS_77),
-	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
-	.SCD(n_2358),
-	.SCE(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][10]  (
-	.CLK(CTS_77),
-	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
-	.SCD(n_2359),
-	.SCE(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][11]  (
-	.CLK(CTS_77),
-	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
-	.SCD(n_2361),
-	.SCE(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][12]  (
-	.CLK(CTS_77),
-	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
-	.SCD(n_2362),
-	.SCE(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][13]  (
-	.CLK(CTS_77),
-	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
-	.SCD(n_2363),
-	.SCE(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][14]  (
-	.CLK(CTS_77),
-	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
-	.SCD(n_2364),
-	.SCE(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][15]  (
-	.CLK(CTS_77),
-	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
-	.SCD(n_2365),
-	.SCE(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][16]  (
-	.CLK(CTS_77),
-	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
-	.SCD(n_2360),
-	.SCE(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][17]  (
-	.CLK(CTS_77),
-	.D(n_3305),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][18]  (
-	.CLK(CTS_77),
-	.D(n_3297),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][19]  (
-	.CLK(CTS_77),
-	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
-	.SCD(n_1653),
-	.SCE(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][20]  (
-	.CLK(CTS_77),
-	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
-	.SCD(n_1642),
-	.SCE(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][21]  (
-	.CLK(CTS_77),
-	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
-	.SCD(n_1647),
-	.SCE(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][22]  (
-	.CLK(CTS_77),
-	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
-	.SCD(n_1646),
-	.SCE(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][23]  (
-	.CLK(CTS_77),
-	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
-	.SCD(n_1652),
-	.SCE(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][24]  (
-	.CLK(CTS_77),
-	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
-	.SCD(n_1645),
-	.SCE(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][25]  (
-	.CLK(CTS_77),
-	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
-	.SCD(n_2356),
-	.SCE(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][26]  (
-	.CLK(CTS_77),
-	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
-	.SCD(n_2357),
-	.SCE(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][27]  (
-	.CLK(CTS_77),
-	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
-	.SCD(n_2352),
-	.SCE(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][28]  (
-	.CLK(CTS_77),
-	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
-	.SCD(n_2355),
-	.SCE(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][29]  (
-	.CLK(CTS_79),
-	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
-	.SCD(n_2354),
-	.SCE(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][30]  (
-	.CLK(CTS_77),
-	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
-	.SCD(n_2353),
-	.SCE(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][31]  (
-	.CLK(CTS_77),
-	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
-	.SCD(n_2366),
-	.SCE(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][32]  (
-	.CLK(CTS_77),
-	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
-	.SCD(n_2351),
-	.SCE(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][1]  (
-	.CLK(CTS_77),
-	.D(n_3173),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][2]  (
-	.CLK(CTS_77),
-	.D(n_3172),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][3]  (
-	.CLK(CTS_77),
-	.D(n_1641),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
-	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
-	.SCE(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][4]  (
-	.CLK(CTS_77),
-	.D(n_1640),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
-	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
-	.SCE(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][5]  (
-	.CLK(CTS_77),
-	.D(n_1649),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
-	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
-	.SCE(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][6]  (
-	.CLK(CTS_77),
-	.D(n_1639),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
-	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
-	.SCE(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][7]  (
-	.CLK(CTS_77),
-	.D(n_1638),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
-	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
-	.SCE(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][8]  (
-	.CLK(CTS_77),
-	.D(n_1655),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
-	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
-	.SCE(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][9]  (
-	.CLK(CTS_77),
-	.D(n_2358),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
-	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
-	.SCE(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][10]  (
-	.CLK(CTS_77),
-	.D(n_2359),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
-	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
-	.SCE(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][11]  (
-	.CLK(CTS_77),
-	.D(n_2361),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
-	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
-	.SCE(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][12]  (
-	.CLK(CTS_77),
-	.D(n_2362),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
-	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
-	.SCE(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][13]  (
-	.CLK(CTS_77),
-	.D(n_2363),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
-	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
-	.SCE(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][14]  (
-	.CLK(CTS_77),
-	.D(n_2364),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
-	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
-	.SCE(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][15]  (
-	.CLK(CTS_77),
-	.D(n_2365),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
-	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
-	.SCE(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][16]  (
-	.CLK(CTS_77),
-	.D(n_2360),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
-	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
-	.SCE(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][17]  (
-	.CLK(CTS_77),
-	.D(n_3171),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][18]  (
-	.CLK(CTS_77),
-	.D(n_3175),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][19]  (
-	.CLK(CTS_77),
-	.D(n_1653),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
-	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
-	.SCE(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][20]  (
-	.CLK(CTS_77),
-	.D(n_1642),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
-	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
-	.SCE(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][21]  (
-	.CLK(CTS_77),
-	.D(n_1647),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
-	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
-	.SCE(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][22]  (
-	.CLK(CTS_77),
-	.D(n_1646),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
-	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
-	.SCE(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][23]  (
-	.CLK(CTS_77),
-	.D(n_1652),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
-	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
-	.SCE(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][24]  (
-	.CLK(CTS_77),
-	.D(n_1645),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
-	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
-	.SCE(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][25]  (
-	.CLK(CTS_77),
-	.D(n_2356),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
-	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
-	.SCE(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][26]  (
-	.CLK(CTS_79),
-	.D(n_2357),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
-	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
-	.SCE(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][27]  (
-	.CLK(CTS_77),
-	.D(n_2352),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
-	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
-	.SCE(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][28]  (
-	.CLK(CTS_77),
-	.D(n_2355),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
-	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
-	.SCE(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][29]  (
-	.CLK(CTS_79),
-	.D(n_2354),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
-	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
-	.SCE(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][30]  (
-	.CLK(CTS_79),
-	.D(n_2353),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
-	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
-	.SCE(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][31]  (
-	.CLK(CTS_77),
-	.D(n_2366),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
-	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
-	.SCE(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][32]  (
-	.CLK(CTS_77),
-	.D(n_2351),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
-	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
-	.SCE(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
-	.CLK(CTS_77),
-	.D(n_1972),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
-	.CLK(CTS_77),
-	.D(n_3170),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
-	.CLK(CTS_77),
-	.D(n_2984),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
-	.CLK(CTS_77),
-	.D(n_3840),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][1]  (
-	.CLK(CTS_77),
-	.D(n_3602),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][2]  (
-	.CLK(CTS_77),
-	.D(n_3606),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][3]  (
-	.CLK(CTS_77),
-	.D(n_3601),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][4]  (
-	.CLK(CTS_79),
-	.D(n_3600),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][1]  (
-	.CLK(CTS_77),
-	.D(n_4200),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][2]  (
-	.CLK(CTS_79),
-	.D(n_4203),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][3]  (
-	.CLK(CTS_77),
-	.D(n_4202),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][4]  (
-	.CLK(CTS_79),
-	.D(n_4201),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 soc_top_iccm_adapter_rvalid_reg (
-	.CLK(CTS_77),
-	.D(n_2501),
-	.Q(soc_top_iccm_adapter_rvalid), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[1]  (
-	.CLK(CTS_20),
-	.D(n_6637),
-	.Q(soc_top_intr_controller_u_gateway_ia[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[2]  (
-	.CLK(CTS_20),
-	.D(n_6635),
-	.Q(soc_top_intr_controller_u_gateway_ia[2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[3]  (
-	.CLK(CTS_20),
-	.D(n_6641),
-	.Q(soc_top_intr_controller_u_gateway_ia[3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[4]  (
-	.CLK(CTS_26),
-	.D(n_6640),
-	.Q(soc_top_intr_controller_u_gateway_ia[4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[5]  (
-	.CLK(CTS_28),
-	.D(n_6639),
-	.Q(soc_top_intr_controller_u_gateway_ia[5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[6]  (
-	.CLK(CTS_26),
-	.D(n_6638),
-	.Q(soc_top_intr_controller_u_gateway_ia[6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[7]  (
-	.CLK(CTS_28),
-	.D(n_6636),
-	.Q(soc_top_intr_controller_u_gateway_ia[7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[8]  (
-	.CLK(CTS_28),
-	.D(n_6634),
-	.Q(soc_top_intr_controller_u_gateway_ia[8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[9]  (
-	.CLK(CTS_28),
-	.D(n_6629),
-	.Q(soc_top_intr_controller_u_gateway_ia[9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[10]  (
-	.CLK(CTS_28),
-	.D(n_6633),
-	.Q(soc_top_intr_controller_u_gateway_ia[10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[11]  (
-	.CLK(CTS_28),
-	.D(n_6632),
-	.Q(soc_top_intr_controller_u_gateway_ia[11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[12]  (
-	.CLK(CTS_28),
-	.D(n_6631),
-	.Q(soc_top_intr_controller_u_gateway_ia[12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[13]  (
-	.CLK(CTS_28),
-	.D(n_6630),
-	.Q(soc_top_intr_controller_u_gateway_ia[13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[14]  (
-	.CLK(CTS_26),
-	.D(n_6628),
-	.Q(soc_top_intr_controller_u_gateway_ia[14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[15]  (
-	.CLK(CTS_28),
-	.D(n_6627),
-	.Q(soc_top_intr_controller_u_gateway_ia[15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[16]  (
-	.CLK(CTS_26),
-	.D(n_6626),
-	.Q(soc_top_intr_controller_u_gateway_ia[16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[17]  (
-	.CLK(CTS_20),
-	.D(n_6625),
-	.Q(soc_top_intr_controller_u_gateway_ia[17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[18]  (
-	.CLK(CTS_26),
-	.D(n_6644),
-	.Q(soc_top_intr_controller_u_gateway_ia[18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[19]  (
-	.CLK(CTS_26),
-	.D(n_6624),
-	.Q(soc_top_intr_controller_u_gateway_ia[19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[20]  (
-	.CLK(CTS_26),
-	.D(n_6622),
-	.Q(soc_top_intr_controller_u_gateway_ia[20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[21]  (
-	.CLK(CTS_20),
-	.D(n_6621),
-	.Q(soc_top_intr_controller_u_gateway_ia[21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[22]  (
-	.CLK(CTS_26),
-	.D(n_6620),
-	.Q(soc_top_intr_controller_u_gateway_ia[22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[23]  (
-	.CLK(CTS_20),
-	.D(n_6619),
-	.Q(soc_top_intr_controller_u_gateway_ia[23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[24]  (
-	.CLK(CTS_26),
-	.D(n_6618),
-	.Q(soc_top_intr_controller_u_gateway_ia[24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[25]  (
-	.CLK(CTS_20),
-	.D(n_6617),
-	.Q(soc_top_intr_controller_u_gateway_ia[25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[26]  (
-	.CLK(CTS_26),
-	.D(n_6616),
-	.Q(soc_top_intr_controller_u_gateway_ia[26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[27]  (
-	.CLK(CTS_20),
-	.D(n_6615),
-	.Q(soc_top_intr_controller_u_gateway_ia[27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[28]  (
-	.CLK(CTS_26),
-	.D(n_6614),
-	.Q(soc_top_intr_controller_u_gateway_ia[28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[29]  (
-	.CLK(CTS_20),
-	.D(n_6613),
-	.Q(soc_top_intr_controller_u_gateway_ia[29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[30]  (
-	.CLK(CTS_26),
-	.D(n_6612),
-	.Q(soc_top_intr_controller_u_gateway_ia[30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[31]  (
-	.CLK(CTS_20),
-	.D(n_6611),
-	.Q(soc_top_intr_controller_u_gateway_ia[31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[32]  (
-	.CLK(CTS_20),
-	.D(n_6646),
-	.Q(soc_top_intr_controller_u_gateway_ia[32]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[33]  (
-	.CLK(CTS_20),
-	.D(n_6647),
-	.Q(soc_top_intr_controller_u_gateway_ia[33]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[34]  (
-	.CLK(CTS_20),
-	.D(n_6649),
-	.Q(soc_top_intr_controller_u_gateway_ia[34]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[35]  (
-	.CLK(CTS_20),
-	.D(n_6648),
-	.Q(soc_top_intr_controller_u_gateway_ia[35]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[1]  (
-	.CLK(CTS_20),
-	.D(n_4645),
-	.Q(soc_top_intr_controller_ip[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[2]  (
-	.CLK(CTS_20),
-	.D(n_4678),
-	.Q(soc_top_intr_controller_ip[2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[3]  (
-	.CLK(CTS_20),
-	.D(n_4677),
-	.Q(soc_top_intr_controller_ip[3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[4]  (
-	.CLK(CTS_20),
-	.D(n_4605),
-	.Q(soc_top_intr_controller_ip[4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[5]  (
-	.CLK(CTS_28),
-	.D(n_4582),
-	.Q(soc_top_intr_controller_ip[5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[6]  (
-	.CLK(CTS_26),
-	.D(n_4647),
-	.Q(soc_top_intr_controller_ip[6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[7]  (
-	.CLK(CTS_28),
-	.D(n_4601),
-	.Q(soc_top_intr_controller_ip[7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[8]  (
-	.CLK(CTS_28),
-	.D(n_4676),
-	.Q(soc_top_intr_controller_ip[8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[9]  (
-	.CLK(CTS_28),
-	.D(n_4648),
-	.Q(soc_top_intr_controller_ip[9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[10]  (
-	.CLK(CTS_28),
-	.D(n_4675),
-	.Q(soc_top_intr_controller_ip[10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[11]  (
-	.CLK(CTS_28),
-	.D(n_4651),
-	.Q(soc_top_intr_controller_ip[11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[12]  (
-	.CLK(CTS_28),
-	.D(n_4643),
-	.Q(soc_top_intr_controller_ip[12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[13]  (
-	.CLK(CTS_28),
-	.D(n_4597),
-	.Q(soc_top_intr_controller_ip[13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[14]  (
-	.CLK(CTS_26),
-	.D(n_4646),
-	.Q(soc_top_intr_controller_ip[14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[15]  (
-	.CLK(CTS_28),
-	.D(n_4598),
-	.Q(soc_top_intr_controller_ip[15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[16]  (
-	.CLK(CTS_26),
-	.D(n_4674),
-	.Q(soc_top_intr_controller_ip[16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[17]  (
-	.CLK(CTS_20),
-	.D(n_4673),
-	.Q(soc_top_intr_controller_ip[17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[18]  (
-	.CLK(CTS_26),
-	.D(n_4672),
-	.Q(soc_top_intr_controller_ip[18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[19]  (
-	.CLK(CTS_20),
-	.D(n_4671),
-	.Q(soc_top_intr_controller_ip[19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[20]  (
-	.CLK(CTS_26),
-	.D(n_4670),
-	.Q(soc_top_intr_controller_ip[20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[21]  (
-	.CLK(CTS_20),
-	.D(n_4650),
-	.Q(soc_top_intr_controller_ip[21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[22]  (
-	.CLK(CTS_26),
-	.D(n_4669),
-	.Q(soc_top_intr_controller_ip[22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[23]  (
-	.CLK(CTS_20),
-	.D(n_4668),
-	.Q(soc_top_intr_controller_ip[23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[24]  (
-	.CLK(CTS_26),
-	.D(n_4667),
-	.Q(soc_top_intr_controller_ip[24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[25]  (
-	.CLK(CTS_20),
-	.D(n_4666),
-	.Q(soc_top_intr_controller_ip[25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[26]  (
-	.CLK(CTS_26),
-	.D(n_4665),
-	.Q(soc_top_intr_controller_ip[26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[27]  (
-	.CLK(CTS_20),
-	.D(n_4664),
-	.Q(soc_top_intr_controller_ip[27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[28]  (
-	.CLK(CTS_26),
-	.D(n_4663),
-	.Q(soc_top_intr_controller_ip[28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[29]  (
-	.CLK(CTS_20),
-	.D(n_4662),
-	.Q(soc_top_intr_controller_ip[29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[30]  (
-	.CLK(CTS_20),
-	.D(n_4661),
-	.Q(soc_top_intr_controller_ip[30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[31]  (
-	.CLK(CTS_20),
-	.D(n_4660),
-	.Q(soc_top_intr_controller_ip[31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[32]  (
-	.CLK(CTS_20),
-	.D(n_4659),
-	.Q(soc_top_intr_controller_ip[32]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[33]  (
-	.CLK(CTS_20),
-	.D(n_4658),
-	.Q(soc_top_intr_controller_ip[33]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[34]  (
-	.CLK(CTS_20),
-	.D(n_4657),
-	.Q(soc_top_intr_controller_ip[34]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[35]  (
-	.CLK(CTS_20),
-	.D(n_4656),
-	.Q(soc_top_intr_controller_ip[35]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_0_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][0][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][0][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_1_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][1][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][1][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_2_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(\soc_top_xbar_to_timer[a_data] [2]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][2][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][2][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_3_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(\soc_top_xbar_to_timer[a_data] [3]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][3][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][3][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_4_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(\soc_top_xbar_to_timer[a_data] [4]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][4][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][4][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_5_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(\soc_top_xbar_to_timer[a_data] [5]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][5][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][5][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_6_q_reg[0]  (
-	.CLK(CTS_18),
-	.D(\soc_top_xbar_to_timer[a_data] [6]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][6][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][6][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_7_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(\soc_top_xbar_to_timer[a_data] [7]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][7][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][7][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_8_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(\soc_top_xbar_to_timer[a_data] [8]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][8][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][8][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_9_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(\soc_top_xbar_to_timer[a_data] [9]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][9][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][9][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_10_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(\soc_top_xbar_to_timer[a_data] [10]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][10][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][10][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_11_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(\soc_top_xbar_to_timer[a_data] [11]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][11][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][11][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_12_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(\soc_top_xbar_to_timer[a_data] [12]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][12][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][12][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_13_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(\soc_top_xbar_to_timer[a_data] [13]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][13][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][13][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_14_q_reg[0]  (
-	.CLK(CTS_18),
-	.D(\soc_top_xbar_to_timer[a_data] [14]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][14][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][14][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_15_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(\soc_top_xbar_to_timer[a_data] [15]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][15][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][15][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_16_q_reg[0]  (
-	.CLK(CTS_26),
-	.D(\soc_top_xbar_to_timer[a_data] [16]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][16][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][16][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_17_q_reg[0]  (
-	.CLK(CTS_26),
-	.D(\soc_top_xbar_to_timer[a_data] [17]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][17][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][17][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_18_q_reg[0]  (
-	.CLK(CTS_26),
-	.D(\soc_top_xbar_to_timer[a_data] [18]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][18][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][18][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_19_q_reg[0]  (
-	.CLK(CTS_26),
-	.D(\soc_top_xbar_to_timer[a_data] [19]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][19][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][19][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_20_q_reg[0]  (
-	.CLK(CTS_26),
-	.D(\soc_top_xbar_to_timer[a_data] [20]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][20][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][20][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_21_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(\soc_top_xbar_to_timer[a_data] [21]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][21][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][21][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_22_q_reg[0]  (
-	.CLK(CTS_26),
-	.D(\soc_top_xbar_to_timer[a_data] [22]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][22][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][22][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_23_q_reg[0]  (
-	.CLK(CTS_19),
-	.D(\soc_top_xbar_to_timer[a_data] [23]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][23][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][23][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_24_q_reg[0]  (
-	.CLK(CTS_26),
-	.D(\soc_top_xbar_to_timer[a_data] [24]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][24][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][24][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_25_q_reg[0]  (
-	.CLK(CTS_19),
-	.D(\soc_top_xbar_to_timer[a_data] [25]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][25][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][25][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_26_q_reg[0]  (
-	.CLK(CTS_16),
-	.D(\soc_top_xbar_to_timer[a_data] [26]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][26][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][26][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_27_q_reg[0]  (
-	.CLK(CTS_19),
-	.D(\soc_top_xbar_to_timer[a_data] [27]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][27][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][27][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_28_q_reg[0]  (
-	.CLK(CTS_26),
-	.D(\soc_top_xbar_to_timer[a_data] [28]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][28][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][28][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_29_q_reg[0]  (
-	.CLK(CTS_19),
-	.D(\soc_top_xbar_to_timer[a_data] [29]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][29][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][29][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_30_q_reg[0]  (
-	.CLK(CTS_26),
-	.D(\soc_top_xbar_to_timer[a_data] [30]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][30][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][30][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_31_q_reg[0]  (
-	.CLK(CTS_19),
-	.D(\soc_top_xbar_to_timer[a_data] [31]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][31][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][31][q] ),
-	.SCE(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_1_e_32_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][32][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][32][q] ),
-	.SCE(n_6478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_1_e_33_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][33][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][33][q] ),
-	.SCE(n_6478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_1_e_34_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(\soc_top_xbar_to_timer[a_data] [2]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][34][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][34][q] ),
-	.SCE(n_6478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_1_e_35_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(\soc_top_xbar_to_timer[a_data] [3]),
-	.Q(\soc_top_intr_controller_reg2hw[ie0][35][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[ie0][35][q] ),
-	.SCE(n_6478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_0_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(soc_top_intr_controller_u_reg_le_0_le_0_qs),
-	.Q(soc_top_intr_controller_u_reg_le_0_le_0_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [0]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_1_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(\soc_top_intr_controller_reg2hw[le][1][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][1][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [1]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_2_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(\soc_top_intr_controller_reg2hw[le][2][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][2][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [2]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_3_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(\soc_top_intr_controller_reg2hw[le][3][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][3][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [3]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_4_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(\soc_top_intr_controller_reg2hw[le][4][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][4][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [4]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_5_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(\soc_top_intr_controller_reg2hw[le][5][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][5][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [5]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_6_q_reg[0]  (
-	.CLK(CTS_18),
-	.D(\soc_top_intr_controller_reg2hw[le][6][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][6][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [6]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_7_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(\soc_top_intr_controller_reg2hw[le][7][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][7][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [7]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_8_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(\soc_top_intr_controller_reg2hw[le][8][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][8][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [8]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_9_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(\soc_top_intr_controller_reg2hw[le][9][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][9][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [9]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_10_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(\soc_top_intr_controller_reg2hw[le][10][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][10][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [10]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_11_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(\soc_top_intr_controller_reg2hw[le][11][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][11][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [11]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_12_q_reg[0]  (
-	.CLK(CTS_18),
-	.D(\soc_top_intr_controller_reg2hw[le][12][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][12][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [12]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_13_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(\soc_top_intr_controller_reg2hw[le][13][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][13][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [13]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_14_q_reg[0]  (
-	.CLK(CTS_18),
-	.D(\soc_top_intr_controller_reg2hw[le][14][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][14][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [14]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_15_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(\soc_top_intr_controller_reg2hw[le][15][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][15][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [15]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_16_q_reg[0]  (
-	.CLK(CTS_26),
-	.D(\soc_top_intr_controller_reg2hw[le][16][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][16][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [16]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_17_q_reg[0]  (
-	.CLK(CTS_26),
-	.D(\soc_top_intr_controller_reg2hw[le][17][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][17][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [17]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_18_q_reg[0]  (
-	.CLK(CTS_26),
-	.D(\soc_top_intr_controller_reg2hw[le][18][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][18][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [18]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_19_q_reg[0]  (
-	.CLK(CTS_26),
-	.D(\soc_top_intr_controller_reg2hw[le][19][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][19][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [19]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_20_q_reg[0]  (
-	.CLK(CTS_26),
-	.D(\soc_top_intr_controller_reg2hw[le][20][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][20][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [20]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_21_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(\soc_top_intr_controller_reg2hw[le][21][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][21][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [21]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_22_q_reg[0]  (
-	.CLK(CTS_26),
-	.D(\soc_top_intr_controller_reg2hw[le][22][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][22][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [22]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_23_q_reg[0]  (
-	.CLK(CTS_19),
-	.D(\soc_top_intr_controller_reg2hw[le][23][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][23][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [23]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_24_q_reg[0]  (
-	.CLK(CTS_26),
-	.D(\soc_top_intr_controller_reg2hw[le][24][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][24][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [24]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_25_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(\soc_top_intr_controller_reg2hw[le][25][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][25][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [25]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_26_q_reg[0]  (
-	.CLK(CTS_26),
-	.D(\soc_top_intr_controller_reg2hw[le][26][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][26][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [26]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_27_q_reg[0]  (
-	.CLK(CTS_19),
-	.D(\soc_top_intr_controller_reg2hw[le][27][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][27][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [27]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_28_q_reg[0]  (
-	.CLK(CTS_26),
-	.D(\soc_top_intr_controller_reg2hw[le][28][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][28][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [28]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_29_q_reg[0]  (
-	.CLK(CTS_19),
-	.D(\soc_top_intr_controller_reg2hw[le][29][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][29][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [29]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_30_q_reg[0]  (
-	.CLK(CTS_26),
-	.D(\soc_top_intr_controller_reg2hw[le][30][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][30][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [30]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_31_q_reg[0]  (
-	.CLK(CTS_19),
-	.D(\soc_top_intr_controller_reg2hw[le][31][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][31][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [31]),
-	.SCE(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_1_le_32_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(\soc_top_intr_controller_reg2hw[le][32][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][32][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [0]),
-	.SCE(n_6462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_1_le_33_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(\soc_top_intr_controller_reg2hw[le][33][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][33][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [1]),
-	.SCE(n_6462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_1_le_34_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(\soc_top_intr_controller_reg2hw[le][34][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][34][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [2]),
-	.SCE(n_6462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_1_le_35_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(\soc_top_intr_controller_reg2hw[le][35][q] ),
-	.Q(\soc_top_intr_controller_reg2hw[le][35][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [3]),
-	.SCE(n_6462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_msip0_q_reg[0]  (
-	.CLK(CTS_35),
-	.D(n_6515),
-	.Q(soc_top_intr_controller_u_reg_msip0_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio0_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_intr_controller_reg2hw[prio0][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio0][q] [0]),
-	.SCE(n_6446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio0_q_reg[1]  (
-	.CLK(CTS_20),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_intr_controller_reg2hw[prio0][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio0][q] [1]),
-	.SCE(n_6446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio1_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_intr_controller_reg2hw[prio1][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio1][q] [0]),
-	.SCE(n_6447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio1_q_reg[1]  (
-	.CLK(CTS_20),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_intr_controller_reg2hw[prio1][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio1][q] [1]),
-	.SCE(n_6447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio2_q_reg[0]  (
-	.CLK(CTS_15),
-	.D(n_6521),
-	.Q(\soc_top_intr_controller_reg2hw[prio2][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio2_q_reg[1]  (
-	.CLK(CTS_15),
-	.D(n_6513),
-	.Q(\soc_top_intr_controller_reg2hw[prio2][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio3_q_reg[0]  (
-	.CLK(CTS_15),
-	.D(n_6512),
-	.Q(\soc_top_intr_controller_reg2hw[prio3][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio3_q_reg[1]  (
-	.CLK(CTS_15),
-	.D(n_6511),
-	.Q(\soc_top_intr_controller_reg2hw[prio3][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio4_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(n_6529),
-	.Q(\soc_top_intr_controller_reg2hw[prio4][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio4_q_reg[1]  (
-	.CLK(CTS_20),
-	.D(n_6532),
-	.Q(\soc_top_intr_controller_reg2hw[prio4][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio5_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(n_6534),
-	.Q(\soc_top_intr_controller_reg2hw[prio5][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio5_q_reg[1]  (
-	.CLK(CTS_28),
-	.D(n_6535),
-	.Q(\soc_top_intr_controller_reg2hw[prio5][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio6_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_intr_controller_reg2hw[prio6][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio6][q] [0]),
-	.SCE(n_6480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio6_q_reg[1]  (
-	.CLK(CTS_28),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_intr_controller_reg2hw[prio6][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio6][q] [1]),
-	.SCE(n_6480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio7_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(n_6538),
-	.Q(\soc_top_intr_controller_reg2hw[prio7][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio7_q_reg[1]  (
-	.CLK(CTS_28),
-	.D(n_6536),
-	.Q(\soc_top_intr_controller_reg2hw[prio7][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio8_q_reg[0]  (
-	.CLK(CTS_15),
-	.D(n_6537),
-	.Q(\soc_top_intr_controller_reg2hw[prio8][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio8_q_reg[1]  (
-	.CLK(CTS_28),
-	.D(n_6539),
-	.Q(\soc_top_intr_controller_reg2hw[prio8][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio9_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_intr_controller_reg2hw[prio9][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio9][q] [0]),
-	.SCE(n_6465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio9_q_reg[1]  (
-	.CLK(CTS_28),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_intr_controller_reg2hw[prio9][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio9][q] [1]),
-	.SCE(n_6465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio10_q_reg[0]  (
-	.CLK(CTS_15),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_intr_controller_reg2hw[prio10][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio10][q] [0]),
-	.SCE(n_6464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio10_q_reg[1]  (
-	.CLK(CTS_15),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_intr_controller_reg2hw[prio10][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio10][q] [1]),
-	.SCE(n_6464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio11_q_reg[0]  (
-	.CLK(CTS_15),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_intr_controller_reg2hw[prio11][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio11][q] [0]),
-	.SCE(n_6466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio11_q_reg[1]  (
-	.CLK(CTS_15),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_intr_controller_reg2hw[prio11][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio11][q] [1]),
-	.SCE(n_6466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio12_q_reg[0]  (
-	.CLK(CTS_15),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_intr_controller_reg2hw[prio12][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio12][q] [0]),
-	.SCE(n_6469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio12_q_reg[1]  (
-	.CLK(CTS_15),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_intr_controller_reg2hw[prio12][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio12][q] [1]),
-	.SCE(n_6469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio13_q_reg[0]  (
-	.CLK(CTS_15),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_intr_controller_reg2hw[prio13][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio13][q] [0]),
-	.SCE(n_6468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio13_q_reg[1]  (
-	.CLK(CTS_15),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_intr_controller_reg2hw[prio13][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio13][q] [1]),
-	.SCE(n_6468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio14_q_reg[0]  (
-	.CLK(CTS_15),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_intr_controller_reg2hw[prio14][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio14][q] [0]),
-	.SCE(n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio14_q_reg[1]  (
-	.CLK(CTS_15),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_intr_controller_reg2hw[prio14][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio14][q] [1]),
-	.SCE(n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio15_q_reg[0]  (
-	.CLK(CTS_15),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_intr_controller_reg2hw[prio15][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio15][q] [0]),
-	.SCE(n_6463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio15_q_reg[1]  (
-	.CLK(CTS_15),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_intr_controller_reg2hw[prio15][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio15][q] [1]),
-	.SCE(n_6463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio16_q_reg[0]  (
-	.CLK(CTS_15),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_intr_controller_reg2hw[prio16][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio16][q] [0]),
-	.SCE(n_6486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio16_q_reg[1]  (
-	.CLK(CTS_15),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_intr_controller_reg2hw[prio16][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio16][q] [1]),
-	.SCE(n_6486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio17_q_reg[0]  (
-	.CLK(CTS_15),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_intr_controller_reg2hw[prio17][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio17][q] [0]),
-	.SCE(n_6485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio17_q_reg[1]  (
-	.CLK(CTS_15),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_intr_controller_reg2hw[prio17][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio17][q] [1]),
-	.SCE(n_6485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio18_q_reg[0]  (
-	.CLK(CTS_15),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_intr_controller_reg2hw[prio18][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio18][q] [0]),
-	.SCE(n_6482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio18_q_reg[1]  (
-	.CLK(CTS_15),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_intr_controller_reg2hw[prio18][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio18][q] [1]),
-	.SCE(n_6482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio19_q_reg[0]  (
-	.CLK(CTS_35),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_intr_controller_reg2hw[prio19][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio19][q] [0]),
-	.SCE(n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio19_q_reg[1]  (
-	.CLK(CTS_35),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_intr_controller_reg2hw[prio19][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio19][q] [1]),
-	.SCE(n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio20_q_reg[0]  (
-	.CLK(CTS_15),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_intr_controller_reg2hw[prio20][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio20][q] [0]),
-	.SCE(n_6483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio20_q_reg[1]  (
-	.CLK(CTS_35),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_intr_controller_reg2hw[prio20][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio20][q] [1]),
-	.SCE(n_6483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio21_q_reg[0]  (
-	.CLK(CTS_35),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_intr_controller_reg2hw[prio21][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio21][q] [0]),
-	.SCE(n_6489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio21_q_reg[1]  (
-	.CLK(CTS_35),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_intr_controller_reg2hw[prio21][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio21][q] [1]),
-	.SCE(n_6489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio22_q_reg[0]  (
-	.CLK(CTS_35),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_intr_controller_reg2hw[prio22][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio22][q] [0]),
-	.SCE(n_6484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio22_q_reg[1]  (
-	.CLK(CTS_35),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_intr_controller_reg2hw[prio22][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio22][q] [1]),
-	.SCE(n_6484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio23_q_reg[0]  (
-	.CLK(CTS_35),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_intr_controller_reg2hw[prio23][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio23][q] [0]),
-	.SCE(n_6488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio23_q_reg[1]  (
-	.CLK(CTS_35),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_intr_controller_reg2hw[prio23][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio23][q] [1]),
-	.SCE(n_6488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio24_q_reg[0]  (
-	.CLK(CTS_19),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_intr_controller_reg2hw[prio24][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio24][q] [0]),
-	.SCE(n_6481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio24_q_reg[1]  (
-	.CLK(CTS_19),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_intr_controller_reg2hw[prio24][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio24][q] [1]),
-	.SCE(n_6481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio25_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(n_6510),
-	.Q(\soc_top_intr_controller_reg2hw[prio25][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio25_q_reg[1]  (
-	.CLK(CTS_19),
-	.D(n_6509),
-	.Q(\soc_top_intr_controller_reg2hw[prio25][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio26_q_reg[0]  (
-	.CLK(CTS_19),
-	.D(n_6508),
-	.Q(\soc_top_intr_controller_reg2hw[prio26][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio26_q_reg[1]  (
-	.CLK(CTS_19),
-	.D(n_6507),
-	.Q(\soc_top_intr_controller_reg2hw[prio26][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio27_q_reg[0]  (
-	.CLK(CTS_19),
-	.D(n_6506),
-	.Q(\soc_top_intr_controller_reg2hw[prio27][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio27_q_reg[1]  (
-	.CLK(CTS_19),
-	.D(n_6505),
-	.Q(\soc_top_intr_controller_reg2hw[prio27][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio28_q_reg[0]  (
-	.CLK(CTS_35),
-	.D(n_6504),
-	.Q(\soc_top_intr_controller_reg2hw[prio28][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio28_q_reg[1]  (
-	.CLK(CTS_19),
-	.D(n_6503),
-	.Q(\soc_top_intr_controller_reg2hw[prio28][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio29_q_reg[0]  (
-	.CLK(CTS_19),
-	.D(\soc_top_intr_controller_reg2hw[prio29][q] [0]),
-	.Q(\soc_top_intr_controller_reg2hw[prio29][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [0]),
-	.SCE(n_282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio29_q_reg[1]  (
-	.CLK(CTS_19),
-	.D(\soc_top_intr_controller_reg2hw[prio29][q] [1]),
-	.Q(\soc_top_intr_controller_reg2hw[prio29][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [1]),
-	.SCE(n_282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio30_q_reg[0]  (
-	.CLK(CTS_35),
-	.D(\soc_top_intr_controller_reg2hw[prio30][q] [0]),
-	.Q(\soc_top_intr_controller_reg2hw[prio30][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [0]),
-	.SCE(n_283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio30_q_reg[1]  (
-	.CLK(CTS_35),
-	.D(\soc_top_intr_controller_reg2hw[prio30][q] [1]),
-	.Q(\soc_top_intr_controller_reg2hw[prio30][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [1]),
-	.SCE(n_283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio31_q_reg[0]  (
-	.CLK(CTS_19),
-	.D(\soc_top_intr_controller_reg2hw[prio31][q] [0]),
-	.Q(\soc_top_intr_controller_reg2hw[prio31][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [0]),
-	.SCE(n_6467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio31_q_reg[1]  (
-	.CLK(CTS_19),
-	.D(\soc_top_intr_controller_reg2hw[prio31][q] [1]),
-	.Q(\soc_top_intr_controller_reg2hw[prio31][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [1]),
-	.SCE(n_6467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio32_q_reg[0]  (
-	.CLK(CTS_35),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_intr_controller_reg2hw[prio32][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio32][q] [0]),
-	.SCE(n_6479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio32_q_reg[1]  (
-	.CLK(CTS_35),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_intr_controller_reg2hw[prio32][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_intr_controller_reg2hw[prio32][q] [1]),
-	.SCE(n_6479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio33_q_reg[0]  (
-	.CLK(CTS_35),
-	.D(n_6502),
-	.Q(\soc_top_intr_controller_reg2hw[prio33][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio33_q_reg[1]  (
-	.CLK(CTS_35),
-	.D(n_6501),
-	.Q(\soc_top_intr_controller_reg2hw[prio33][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio34_q_reg[0]  (
-	.CLK(CTS_35),
-	.D(n_6500),
-	.Q(\soc_top_intr_controller_reg2hw[prio34][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio34_q_reg[1]  (
-	.CLK(CTS_35),
-	.D(n_6499),
-	.Q(\soc_top_intr_controller_reg2hw[prio34][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio35_q_reg[0]  (
-	.CLK(CTS_35),
-	.D(n_6498),
-	.Q(\soc_top_intr_controller_reg2hw[prio35][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio35_q_reg[1]  (
-	.CLK(CTS_20),
-	.D(n_6497),
-	.Q(\soc_top_intr_controller_reg2hw[prio35][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 soc_top_intr_controller_u_reg_u_reg_if_error_reg (
-	.CLK(CTS_19),
-	.D(\soc_top_plic_resp[d_error] ),
-	.Q(\soc_top_plic_resp[d_error] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_281),
-	.SCE(n_1347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 soc_top_intr_controller_u_reg_u_reg_if_outstanding_reg (
-	.CLK(CTS_19),
-	.D(n_1347),
-	.Q(\soc_top_plic_resp[d_valid] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_plic_resp[d_valid] ),
-	.SCE(n_1728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[0]  (
-	.CLK(CTS_15),
-	.D(n_6460),
-	.Q(\soc_top_plic_resp[d_data] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[1]  (
-	.CLK(CTS_20),
-	.D(n_6331),
-	.Q(\soc_top_plic_resp[d_data] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[2]  (
-	.CLK(CTS_20),
-	.D(n_6330),
-	.Q(\soc_top_plic_resp[d_data] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[3]  (
-	.CLK(CTS_20),
-	.D(n_6343),
-	.Q(\soc_top_plic_resp[d_data] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[4]  (
-	.CLK(CTS_20),
-	.D(n_6327),
-	.Q(\soc_top_plic_resp[d_data] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[5]  (
-	.CLK(CTS_28),
-	.D(n_6326),
-	.Q(\soc_top_plic_resp[d_data] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[6]  (
-	.CLK(CTS_18),
-	.D(n_6346),
-	.Q(\soc_top_plic_resp[d_data] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[7]  (
-	.CLK(CTS_28),
-	.D(n_6347),
-	.Q(\soc_top_plic_resp[d_data] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[8]  (
-	.CLK(CTS_28),
-	.D(n_6348),
-	.Q(\soc_top_plic_resp[d_data] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[9]  (
-	.CLK(CTS_28),
-	.D(n_6349),
-	.Q(\soc_top_plic_resp[d_data] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[10]  (
-	.CLK(CTS_28),
-	.D(n_6353),
-	.Q(\soc_top_plic_resp[d_data] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[11]  (
-	.CLK(CTS_28),
-	.D(n_6350),
-	.Q(\soc_top_plic_resp[d_data] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[12]  (
-	.CLK(CTS_18),
-	.D(n_6352),
-	.Q(\soc_top_plic_resp[d_data] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[13]  (
-	.CLK(CTS_28),
-	.D(n_6354),
-	.Q(\soc_top_plic_resp[d_data] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[14]  (
-	.CLK(CTS_26),
-	.D(n_6355),
-	.Q(\soc_top_plic_resp[d_data] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[15]  (
-	.CLK(CTS_28),
-	.D(n_6356),
-	.Q(\soc_top_plic_resp[d_data] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[16]  (
-	.CLK(CTS_26),
-	.D(n_6357),
-	.Q(\soc_top_plic_resp[d_data] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[17]  (
-	.CLK(CTS_26),
-	.D(n_6358),
-	.Q(\soc_top_plic_resp[d_data] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[18]  (
-	.CLK(CTS_26),
-	.D(n_6342),
-	.Q(\soc_top_plic_resp[d_data] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[19]  (
-	.CLK(CTS_26),
-	.D(n_6340),
-	.Q(\soc_top_plic_resp[d_data] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[20]  (
-	.CLK(CTS_26),
-	.D(n_6359),
-	.Q(\soc_top_plic_resp[d_data] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[21]  (
-	.CLK(CTS_19),
-	.D(n_6360),
-	.Q(\soc_top_plic_resp[d_data] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[22]  (
-	.CLK(CTS_16),
-	.D(n_6329),
-	.Q(\soc_top_plic_resp[d_data] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[23]  (
-	.CLK(CTS_19),
-	.D(n_6341),
-	.Q(\soc_top_plic_resp[d_data] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[24]  (
-	.CLK(CTS_16),
-	.D(n_6339),
-	.Q(\soc_top_plic_resp[d_data] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[25]  (
-	.CLK(CTS_19),
-	.D(n_6338),
-	.Q(\soc_top_plic_resp[d_data] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[26]  (
-	.CLK(CTS_16),
-	.D(n_6337),
-	.Q(\soc_top_plic_resp[d_data] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[27]  (
-	.CLK(CTS_19),
-	.D(n_6336),
-	.Q(\soc_top_plic_resp[d_data] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[28]  (
-	.CLK(CTS_26),
-	.D(n_6335),
-	.Q(\soc_top_plic_resp[d_data] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[29]  (
-	.CLK(CTS_19),
-	.D(n_6334),
-	.Q(\soc_top_plic_resp[d_data] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[30]  (
-	.CLK(CTS_19),
-	.D(n_6333),
-	.Q(\soc_top_plic_resp[d_data] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[31]  (
-	.CLK(CTS_19),
-	.D(n_6332),
-	.Q(\soc_top_plic_resp[d_data] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rspop_reg[0]  (
-	.CLK(CTS_16),
-	.D(n_14994),
-	.Q(\soc_top_plic_resp[d_opcode] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_plic_resp[d_opcode] [0]),
-	.SCE(n_1348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_threshold0_q_reg[0]  (
-	.CLK(CTS_35),
-	.D(n_6495),
-	.Q(\soc_top_intr_controller_reg2hw[threshold0][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_threshold0_q_reg[1]  (
-	.CLK(CTS_35),
-	.D(n_6496),
-	.Q(\soc_top_intr_controller_reg2hw[threshold0][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_dev_select_outstanding_reg[0]  (
-	.CLK(CTS_19),
-	.D(n_967),
-	.Q(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_main_swith_host_lsu_dev_select_outstanding_reg[1]  (
-	.CLK(CTS_19),
-	.D(soc_top_main_swith_host_lsu_dev_select_t[1]),
-	.Q(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
-	.SCE(n_15083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_main_swith_host_lsu_dev_select_outstanding_reg[2]  (
-	.CLK(CTS_19),
-	.D(soc_top_main_swith_host_lsu_dev_select_t[2]),
-	.Q(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
-	.SCE(n_15083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[0]  (
-	.CLK(CTS_35),
-	.D(n_1364),
-	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1365),
-	.SCE(soc_top_main_swith_host_lsu_num_req_outstanding[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[1]  (
-	.CLK(CTS_35),
-	.D(n_2906),
-	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[2]  (
-	.CLK(CTS_35),
-	.D(n_3161),
-	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[3]  (
-	.CLK(CTS_35),
-	.D(n_3553),
-	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[4]  (
-	.CLK(CTS_35),
-	.D(n_3937),
-	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[5]  (
-	.CLK(CTS_35),
-	.D(n_4139),
-	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[6]  (
-	.CLK(CTS_35),
-	.D(n_4581),
-	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[7]  (
-	.CLK(CTS_35),
-	.D(n_5318),
-	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[8]  (
-	.CLK(CTS_35),
-	.D(n_5613),
-	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[9]  (
-	.CLK(CTS_35),
-	.D(n_5857),
-	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[10]  (
-	.CLK(CTS_35),
-	.D(n_5798),
-	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[11]  (
-	.CLK(CTS_35),
-	.D(n_5937),
-	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[12]  (
-	.CLK(CTS_35),
-	.D(n_6060),
-	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[13]  (
-	.CLK(CTS_35),
-	.D(n_6282),
-	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[14]  (
-	.CLK(CTS_35),
-	.D(n_6325),
-	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[15]  (
-	.CLK(CTS_35),
-	.D(n_6374),
-	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[16]  (
-	.CLK(CTS_35),
-	.D(n_6378),
-	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[11]  (
-	.CLK(CTS_38),
-	.D(n_6533),
-	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[0]  (
-	.CLK(CTS_89),
-	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [0]),
-	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [0]),
-	.SCE(n_871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[1]  (
-	.CLK(CTS_89),
-	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [1]),
-	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [1]),
-	.SCE(n_871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[2]  (
-	.CLK(CTS_38),
-	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [2]),
-	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [2]),
-	.SCE(n_871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[3]  (
-	.CLK(CTS_89),
-	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [3]),
-	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [3]),
-	.SCE(n_871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[4]  (
-	.CLK(CTS_89),
-	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [4]),
-	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [4]),
-	.SCE(n_871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[5]  (
-	.CLK(CTS_89),
-	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [5]),
-	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [5]),
-	.SCE(n_871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[6]  (
-	.CLK(CTS_89),
-	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [6]),
-	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [6]),
-	.SCE(n_871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[7]  (
-	.CLK(CTS_41),
-	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [7]),
-	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [7]),
-	.SCE(n_871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[8]  (
-	.CLK(CTS_38),
-	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [8]),
-	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [8]),
-	.SCE(n_871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[9]  (
-	.CLK(CTS_38),
-	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [9]),
-	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [9]),
-	.SCE(n_871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[10]  (
-	.CLK(CTS_41),
-	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [10]),
-	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [10]),
-	.SCE(n_871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[11]  (
-	.CLK(CTS_89),
-	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [11]),
-	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [11]),
-	.SCE(n_871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_cfg0_step_q_reg[0]  (
-	.CLK(CTS_38),
-	.D(\soc_top_timer0_reg2hw[cfg0][step][q] [0]),
-	.Q(\soc_top_timer0_reg2hw[cfg0][step][q] [0]),
-	.SCD(\soc_top_xbar_to_timer[a_data] [16]),
-	.SCE(n_871),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_step_q_reg[1]  (
-	.CLK(CTS_38),
-	.D(\soc_top_timer0_reg2hw[cfg0][step][q] [1]),
-	.Q(\soc_top_timer0_reg2hw[cfg0][step][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [17]),
-	.SCE(n_871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_step_q_reg[2]  (
-	.CLK(CTS_38),
-	.D(\soc_top_timer0_reg2hw[cfg0][step][q] [2]),
-	.Q(\soc_top_timer0_reg2hw[cfg0][step][q] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [18]),
-	.SCE(n_871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_step_q_reg[3]  (
-	.CLK(CTS_23),
-	.D(\soc_top_timer0_reg2hw[cfg0][step][q] [3]),
-	.Q(\soc_top_timer0_reg2hw[cfg0][step][q] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [19]),
-	.SCE(n_871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_step_q_reg[4]  (
-	.CLK(CTS_23),
-	.D(\soc_top_timer0_reg2hw[cfg0][step][q] [4]),
-	.Q(\soc_top_timer0_reg2hw[cfg0][step][q] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [20]),
-	.SCE(n_871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_step_q_reg[5]  (
-	.CLK(CTS_23),
-	.D(\soc_top_timer0_reg2hw[cfg0][step][q] [5]),
-	.Q(\soc_top_timer0_reg2hw[cfg0][step][q] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [21]),
-	.SCE(n_871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_step_q_reg[6]  (
-	.CLK(CTS_38),
-	.D(\soc_top_timer0_reg2hw[cfg0][step][q] [6]),
-	.Q(\soc_top_timer0_reg2hw[cfg0][step][q] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [22]),
-	.SCE(n_871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_step_q_reg[7]  (
-	.CLK(CTS_21),
-	.D(\soc_top_timer0_reg2hw[cfg0][step][q] [7]),
-	.Q(\soc_top_timer0_reg2hw[cfg0][step][q] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [23]),
-	.SCE(n_871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[0]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [0]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [0]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[1]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [1]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [1]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[2]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [2]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [2]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [2]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[3]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [3]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [3]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [3]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[4]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [4]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [4]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [4]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[5]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [5]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [5]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [5]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[6]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [6]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [6]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [6]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[7]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [7]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [7]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [7]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[8]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [8]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [8]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [8]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[9]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [9]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [9]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [9]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[10]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [10]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [10]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [10]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[11]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [11]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [11]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [11]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[12]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [12]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [12]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [12]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[13]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [13]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [13]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [13]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[14]  (
-	.CLK(CTS_88),
-	.D(\soc_top_xbar_to_timer[a_data] [14]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [14]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [14]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[15]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [15]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [15]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [15]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[16]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [16]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [16]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [16]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[17]  (
-	.CLK(CTS_23),
-	.D(\soc_top_xbar_to_timer[a_data] [17]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [17]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [17]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[18]  (
-	.CLK(CTS_23),
-	.D(\soc_top_xbar_to_timer[a_data] [18]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [18]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [18]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[19]  (
-	.CLK(CTS_23),
-	.D(\soc_top_xbar_to_timer[a_data] [19]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [19]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [19]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[20]  (
-	.CLK(CTS_23),
-	.D(\soc_top_xbar_to_timer[a_data] [20]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [20]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [20]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[21]  (
-	.CLK(CTS_23),
-	.D(\soc_top_xbar_to_timer[a_data] [21]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [21]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [21]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[22]  (
-	.CLK(CTS_21),
-	.D(\soc_top_xbar_to_timer[a_data] [22]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [22]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [22]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[23]  (
-	.CLK(CTS_21),
-	.D(\soc_top_xbar_to_timer[a_data] [23]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [23]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [23]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[24]  (
-	.CLK(CTS_21),
-	.D(\soc_top_xbar_to_timer[a_data] [24]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [24]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [24]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[25]  (
-	.CLK(CTS_21),
-	.D(\soc_top_xbar_to_timer[a_data] [25]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [25]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [25]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[26]  (
-	.CLK(CTS_21),
-	.D(\soc_top_xbar_to_timer[a_data] [26]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [26]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [26]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[27]  (
-	.CLK(CTS_21),
-	.D(\soc_top_xbar_to_timer[a_data] [27]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [27]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [27]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[28]  (
-	.CLK(CTS_21),
-	.D(\soc_top_xbar_to_timer[a_data] [28]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [28]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [28]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[29]  (
-	.CLK(CTS_21),
-	.D(\soc_top_xbar_to_timer[a_data] [29]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [29]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [29]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[30]  (
-	.CLK(CTS_23),
-	.D(\soc_top_xbar_to_timer[a_data] [30]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [30]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [30]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[31]  (
-	.CLK(CTS_23),
-	.D(\soc_top_xbar_to_timer[a_data] [31]),
-	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [31]),
-	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [31]),
-	.SCE(soc_top_timer0_u_reg_compare_lower0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[0]  (
-	.CLK(CTS_23),
-	.D(\soc_top_xbar_to_timer[a_data] [0]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [0]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [0]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[1]  (
-	.CLK(CTS_88),
-	.D(\soc_top_xbar_to_timer[a_data] [1]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [1]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [1]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[2]  (
-	.CLK(CTS_88),
-	.D(\soc_top_xbar_to_timer[a_data] [2]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [2]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [2]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[3]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [3]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [3]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [3]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[4]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [4]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [4]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [4]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[5]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [5]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [5]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [5]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[6]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [6]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [6]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [6]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[7]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [7]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [7]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [7]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[8]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [8]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [8]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [8]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[9]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [9]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [9]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [9]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[10]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [10]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [10]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [10]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[11]  (
-	.CLK(CTS_89),
-	.D(\soc_top_xbar_to_timer[a_data] [11]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [11]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [11]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[12]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [12]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [12]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [12]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[13]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [13]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [13]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [13]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[14]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [14]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [14]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [14]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[15]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [15]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [15]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [15]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[16]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [16]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [16]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [16]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[17]  (
-	.CLK(CTS_38),
-	.D(\soc_top_xbar_to_timer[a_data] [17]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [17]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [17]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[18]  (
-	.CLK(CTS_23),
-	.D(\soc_top_xbar_to_timer[a_data] [18]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [18]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [18]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[19]  (
-	.CLK(CTS_23),
-	.D(\soc_top_xbar_to_timer[a_data] [19]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [19]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [19]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[20]  (
-	.CLK(CTS_23),
-	.D(\soc_top_xbar_to_timer[a_data] [20]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [20]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [20]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[21]  (
-	.CLK(CTS_23),
-	.D(\soc_top_xbar_to_timer[a_data] [21]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [21]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [21]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[22]  (
-	.CLK(CTS_21),
-	.D(\soc_top_xbar_to_timer[a_data] [22]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [22]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [22]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[23]  (
-	.CLK(CTS_21),
-	.D(\soc_top_xbar_to_timer[a_data] [23]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [23]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [23]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[24]  (
-	.CLK(CTS_21),
-	.D(\soc_top_xbar_to_timer[a_data] [24]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [24]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [24]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[25]  (
-	.CLK(CTS_21),
-	.D(\soc_top_xbar_to_timer[a_data] [25]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [25]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [25]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[26]  (
-	.CLK(CTS_21),
-	.D(\soc_top_xbar_to_timer[a_data] [26]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [26]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [26]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[27]  (
-	.CLK(CTS_21),
-	.D(\soc_top_xbar_to_timer[a_data] [27]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [27]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [27]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[28]  (
-	.CLK(CTS_21),
-	.D(\soc_top_xbar_to_timer[a_data] [28]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [28]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [28]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[29]  (
-	.CLK(CTS_21),
-	.D(\soc_top_xbar_to_timer[a_data] [29]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [29]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [29]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[30]  (
-	.CLK(CTS_21),
-	.D(\soc_top_xbar_to_timer[a_data] [30]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [30]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [30]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[31]  (
-	.CLK(CTS_23),
-	.D(\soc_top_xbar_to_timer[a_data] [31]),
-	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [31]),
-	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [31]),
-	.SCE(soc_top_timer0_u_reg_compare_upper0_0_we),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_ctrl_q_reg[0]  (
-	.CLK(CTS_23),
-	.D(\soc_top_timer0_reg2hw[ctrl][0][q] ),
-	.Q(\soc_top_timer0_reg2hw[ctrl][0][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [0]),
-	.SCE(n_767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_intr_enable0_q_reg[0]  (
-	.CLK(CTS_23),
-	.D(\soc_top_timer0_reg2hw[intr_enable0][0][q] ),
-	.Q(\soc_top_timer0_reg2hw[intr_enable0][0][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_timer[a_data] [0]),
-	.SCE(n_768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 soc_top_timer0_u_reg_u_reg_if_error_reg (
-	.CLK(CTS_16),
-	.D(n_252),
-	.Q(\soc_top_timer_to_xbar[d_error] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_timer_to_xbar[d_error] ),
-	.SCE(n_17360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 soc_top_timer0_u_reg_u_reg_if_outstanding_reg (
-	.CLK(CTS_16),
-	.D(n_460),
-	.Q(\soc_top_timer_to_xbar[d_valid] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_timer_to_xbar[d_valid] ),
-	.SCE(n_769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[0]  (
-	.CLK(CTS_38),
-	.D(n_4424),
-	.Q(\soc_top_timer_to_xbar[d_data] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[1]  (
-	.CLK(CTS_38),
-	.D(n_3364),
-	.Q(\soc_top_timer_to_xbar[d_data] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[2]  (
-	.CLK(CTS_38),
-	.D(n_3366),
-	.Q(\soc_top_timer_to_xbar[d_data] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[3]  (
-	.CLK(CTS_38),
-	.D(n_3367),
-	.Q(\soc_top_timer_to_xbar[d_data] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[4]  (
-	.CLK(CTS_38),
-	.D(n_3368),
-	.Q(\soc_top_timer_to_xbar[d_data] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[5]  (
-	.CLK(CTS_38),
-	.D(n_3369),
-	.Q(\soc_top_timer_to_xbar[d_data] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[6]  (
-	.CLK(CTS_38),
-	.D(n_3371),
-	.Q(\soc_top_timer_to_xbar[d_data] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[7]  (
-	.CLK(CTS_38),
-	.D(n_3372),
-	.Q(\soc_top_timer_to_xbar[d_data] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[8]  (
-	.CLK(CTS_38),
-	.D(n_3373),
-	.Q(\soc_top_timer_to_xbar[d_data] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[9]  (
-	.CLK(CTS_38),
-	.D(n_3356),
-	.Q(\soc_top_timer_to_xbar[d_data] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[10]  (
-	.CLK(CTS_38),
-	.D(n_3302),
-	.Q(\soc_top_timer_to_xbar[d_data] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[11]  (
-	.CLK(CTS_38),
-	.D(n_3304),
-	.Q(\soc_top_timer_to_xbar[d_data] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[12]  (
-	.CLK(CTS_38),
-	.D(n_2976),
-	.Q(\soc_top_timer_to_xbar[d_data] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[13]  (
-	.CLK(CTS_38),
-	.D(n_2966),
-	.Q(\soc_top_timer_to_xbar[d_data] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[14]  (
-	.CLK(CTS_38),
-	.D(n_2975),
-	.Q(\soc_top_timer_to_xbar[d_data] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[15]  (
-	.CLK(CTS_38),
-	.D(n_2974),
-	.Q(\soc_top_timer_to_xbar[d_data] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[16]  (
-	.CLK(CTS_88),
-	.D(n_3300),
-	.Q(\soc_top_timer_to_xbar[d_data] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[17]  (
-	.CLK(CTS_23),
-	.D(n_3299),
-	.Q(\soc_top_timer_to_xbar[d_data] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[18]  (
-	.CLK(CTS_23),
-	.D(n_3298),
-	.Q(\soc_top_timer_to_xbar[d_data] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[19]  (
-	.CLK(CTS_23),
-	.D(n_3296),
-	.Q(\soc_top_timer_to_xbar[d_data] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[20]  (
-	.CLK(CTS_23),
-	.D(n_3295),
-	.Q(\soc_top_timer_to_xbar[d_data] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[21]  (
-	.CLK(CTS_23),
-	.D(n_3294),
-	.Q(\soc_top_timer_to_xbar[d_data] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[22]  (
-	.CLK(CTS_21),
-	.D(n_3293),
-	.Q(\soc_top_timer_to_xbar[d_data] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[23]  (
-	.CLK(CTS_21),
-	.D(n_3291),
-	.Q(\soc_top_timer_to_xbar[d_data] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[24]  (
-	.CLK(CTS_21),
-	.D(n_2973),
-	.Q(\soc_top_timer_to_xbar[d_data] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[25]  (
-	.CLK(CTS_21),
-	.D(n_2967),
-	.Q(\soc_top_timer_to_xbar[d_data] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[26]  (
-	.CLK(CTS_21),
-	.D(n_2977),
-	.Q(\soc_top_timer_to_xbar[d_data] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[27]  (
-	.CLK(CTS_21),
-	.D(n_2972),
-	.Q(\soc_top_timer_to_xbar[d_data] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[28]  (
-	.CLK(CTS_21),
-	.D(n_2971),
-	.Q(\soc_top_timer_to_xbar[d_data] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[29]  (
-	.CLK(CTS_21),
-	.D(n_2970),
-	.Q(\soc_top_timer_to_xbar[d_data] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[30]  (
-	.CLK(CTS_23),
-	.D(n_2969),
-	.Q(\soc_top_timer_to_xbar[d_data] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[31]  (
-	.CLK(CTS_23),
-	.D(n_2968),
-	.Q(\soc_top_timer_to_xbar[d_data] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rspop_reg[0]  (
-	.CLK(CTS_16),
-	.D(n_14994),
-	.Q(\soc_top_timer_to_xbar[d_opcode] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_timer_to_xbar[d_opcode] [0]),
-	.SCE(n_17360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[0]  (
-	.CLK(CTS_38),
-	.D(n_5771),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[1]  (
-	.CLK(CTS_38),
-	.D(n_5770),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[2]  (
-	.CLK(CTS_38),
-	.D(n_5767),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[3]  (
-	.CLK(CTS_38),
-	.D(n_5769),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[4]  (
-	.CLK(CTS_38),
-	.D(n_5768),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[5]  (
-	.CLK(CTS_38),
-	.D(n_5766),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[6]  (
-	.CLK(CTS_38),
-	.D(n_5761),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[7]  (
-	.CLK(CTS_38),
-	.D(n_5765),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[8]  (
-	.CLK(CTS_38),
-	.D(n_5764),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[9]  (
-	.CLK(CTS_38),
-	.D(n_5763),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[10]  (
-	.CLK(CTS_38),
-	.D(n_5762),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[11]  (
-	.CLK(CTS_38),
-	.D(n_5759),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[12]  (
-	.CLK(CTS_38),
-	.D(n_5760),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[13]  (
-	.CLK(CTS_38),
-	.D(n_5758),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[14]  (
-	.CLK(CTS_88),
-	.D(n_5757),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[15]  (
-	.CLK(CTS_88),
-	.D(n_5756),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[16]  (
-	.CLK(CTS_88),
-	.D(n_5755),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[17]  (
-	.CLK(CTS_88),
-	.D(n_5754),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[18]  (
-	.CLK(CTS_23),
-	.D(n_5753),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[19]  (
-	.CLK(CTS_23),
-	.D(n_5752),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[20]  (
-	.CLK(CTS_23),
-	.D(n_5751),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[21]  (
-	.CLK(CTS_23),
-	.D(n_5750),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[22]  (
-	.CLK(CTS_21),
-	.D(n_5749),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[23]  (
-	.CLK(CTS_21),
-	.D(n_5748),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[24]  (
-	.CLK(CTS_21),
-	.D(n_5747),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[25]  (
-	.CLK(CTS_21),
-	.D(n_5746),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[26]  (
-	.CLK(CTS_24),
-	.D(n_5745),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[27]  (
-	.CLK(CTS_21),
-	.D(n_5742),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[28]  (
-	.CLK(CTS_24),
-	.D(n_5744),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[29]  (
-	.CLK(CTS_21),
-	.D(n_5743),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[30]  (
-	.CLK(CTS_23),
-	.D(n_5741),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[31]  (
-	.CLK(CTS_23),
-	.D(n_5740),
-	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[0]  (
-	.CLK(CTS_38),
-	.D(n_5739),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[1]  (
-	.CLK(CTS_88),
-	.D(n_5738),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[2]  (
-	.CLK(CTS_88),
-	.D(n_5737),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[3]  (
-	.CLK(CTS_38),
-	.D(n_5736),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[4]  (
-	.CLK(CTS_89),
-	.D(n_5735),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[5]  (
-	.CLK(CTS_38),
-	.D(n_5734),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[6]  (
-	.CLK(CTS_38),
-	.D(n_5733),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[7]  (
-	.CLK(CTS_38),
-	.D(n_5732),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[8]  (
-	.CLK(CTS_36),
-	.D(n_5731),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[9]  (
-	.CLK(CTS_38),
-	.D(n_5730),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[10]  (
-	.CLK(CTS_36),
-	.D(n_5772),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[11]  (
-	.CLK(CTS_38),
-	.D(n_5728),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[12]  (
-	.CLK(CTS_38),
-	.D(n_5729),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[13]  (
-	.CLK(CTS_38),
-	.D(n_5727),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[14]  (
-	.CLK(CTS_88),
-	.D(n_5726),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[15]  (
-	.CLK(CTS_38),
-	.D(n_5725),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[16]  (
-	.CLK(CTS_88),
-	.D(n_5722),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[17]  (
-	.CLK(CTS_88),
-	.D(n_5720),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[18]  (
-	.CLK(CTS_23),
-	.D(n_5724),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[19]  (
-	.CLK(CTS_23),
-	.D(n_5723),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[20]  (
-	.CLK(CTS_23),
-	.D(n_5721),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[21]  (
-	.CLK(CTS_23),
-	.D(n_5719),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[22]  (
-	.CLK(CTS_21),
-	.D(n_5718),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[23]  (
-	.CLK(CTS_21),
-	.D(n_5717),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[24]  (
-	.CLK(CTS_21),
-	.D(n_5716),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[25]  (
-	.CLK(CTS_38),
-	.D(n_5715),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[26]  (
-	.CLK(CTS_21),
-	.D(n_5714),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[27]  (
-	.CLK(CTS_38),
-	.D(n_5713),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[28]  (
-	.CLK(CTS_21),
-	.D(n_5712),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[29]  (
-	.CLK(CTS_21),
-	.D(n_5711),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[30]  (
-	.CLK(CTS_21),
-	.D(n_5710),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[31]  (
-	.CLK(CTS_23),
-	.D(n_5709),
-	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[0]  (
-	.CLK(CTS_42),
-	.D(n_3640),
-	.Q(soc_top_u_pwm_pwm_core_DC_1[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[1]  (
-	.CLK(CTS_42),
-	.D(n_3529),
-	.Q(soc_top_u_pwm_pwm_core_DC_1[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[2]  (
-	.CLK(CTS_42),
-	.D(n_3528),
-	.Q(soc_top_u_pwm_pwm_core_DC_1[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[3]  (
-	.CLK(CTS_42),
-	.D(n_3527),
-	.Q(soc_top_u_pwm_pwm_core_DC_1[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[4]  (
-	.CLK(CTS_42),
-	.D(n_3526),
-	.Q(soc_top_u_pwm_pwm_core_DC_1[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[5]  (
-	.CLK(CTS_42),
-	.D(n_3588),
-	.Q(soc_top_u_pwm_pwm_core_DC_1[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[6]  (
-	.CLK(CTS_42),
-	.D(n_3523),
-	.Q(soc_top_u_pwm_pwm_core_DC_1[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[7]  (
-	.CLK(CTS_42),
-	.D(n_3522),
-	.Q(soc_top_u_pwm_pwm_core_DC_1[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[8]  (
-	.CLK(CTS_42),
-	.D(n_3521),
-	.Q(soc_top_u_pwm_pwm_core_DC_1[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[9]  (
-	.CLK(CTS_42),
-	.D(n_3520),
-	.Q(soc_top_u_pwm_pwm_core_DC_1[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[10]  (
-	.CLK(CTS_42),
-	.D(n_3519),
-	.Q(soc_top_u_pwm_pwm_core_DC_1[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[11]  (
-	.CLK(CTS_42),
-	.D(n_3518),
-	.Q(soc_top_u_pwm_pwm_core_DC_1[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[12]  (
-	.CLK(CTS_42),
-	.D(n_3517),
-	.Q(soc_top_u_pwm_pwm_core_DC_1[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[13]  (
-	.CLK(CTS_42),
-	.D(n_3516),
-	.Q(soc_top_u_pwm_pwm_core_DC_1[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[14]  (
-	.CLK(CTS_42),
-	.D(n_3515),
-	.Q(soc_top_u_pwm_pwm_core_DC_1[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[15]  (
-	.CLK(CTS_42),
-	.D(n_3514),
-	.Q(soc_top_u_pwm_pwm_core_DC_1[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[0]  (
-	.CLK(CTS_88),
-	.D(n_3513),
-	.Q(soc_top_u_pwm_pwm_core_DC_2[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[1]  (
-	.CLK(CTS_88),
-	.D(n_3512),
-	.Q(soc_top_u_pwm_pwm_core_DC_2[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[2]  (
-	.CLK(CTS_88),
-	.D(n_3544),
-	.Q(soc_top_u_pwm_pwm_core_DC_2[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[3]  (
-	.CLK(CTS_88),
-	.D(n_3548),
-	.Q(soc_top_u_pwm_pwm_core_DC_2[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[4]  (
-	.CLK(CTS_88),
-	.D(n_3511),
-	.Q(soc_top_u_pwm_pwm_core_DC_2[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[5]  (
-	.CLK(CTS_88),
-	.D(n_3510),
-	.Q(soc_top_u_pwm_pwm_core_DC_2[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[6]  (
-	.CLK(CTS_88),
-	.D(n_3509),
-	.Q(soc_top_u_pwm_pwm_core_DC_2[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[7]  (
-	.CLK(CTS_88),
-	.D(n_3508),
-	.Q(soc_top_u_pwm_pwm_core_DC_2[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[8]  (
-	.CLK(CTS_88),
-	.D(n_3506),
-	.Q(soc_top_u_pwm_pwm_core_DC_2[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[9]  (
-	.CLK(CTS_88),
-	.D(n_3507),
-	.Q(soc_top_u_pwm_pwm_core_DC_2[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[10]  (
-	.CLK(CTS_88),
-	.D(n_3505),
-	.Q(soc_top_u_pwm_pwm_core_DC_2[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[11]  (
-	.CLK(CTS_88),
-	.D(n_3504),
-	.Q(soc_top_u_pwm_pwm_core_DC_2[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[12]  (
-	.CLK(CTS_44),
-	.D(n_3503),
-	.Q(soc_top_u_pwm_pwm_core_DC_2[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[13]  (
-	.CLK(CTS_44),
-	.D(n_3500),
-	.Q(soc_top_u_pwm_pwm_core_DC_2[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[14]  (
-	.CLK(CTS_88),
-	.D(n_3502),
-	.Q(soc_top_u_pwm_pwm_core_DC_2[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[15]  (
-	.CLK(CTS_44),
-	.D(n_3501),
-	.Q(soc_top_u_pwm_pwm_core_DC_2[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_pwm_pwm_core_clock_p1_reg (
-	.CLK(CTS_42),
-	.D(n_373),
-	.Q(soc_top_u_pwm_pwm_core_clock_p1),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_pwm_pwm_core_clock_p1),
-	.SCE(n_5955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_pwm_pwm_core_clock_p2_reg (
-	.CLK(CTS_44),
-	.D(n_483),
-	.Q(soc_top_u_pwm_pwm_core_clock_p2),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_pwm_pwm_core_clock_p2),
-	.SCE(n_5954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[0]  (
-	.CLK(CTS_42),
-	.D(n_5981),
-	.Q(soc_top_u_pwm_pwm_core_counter_p1[0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_376),
-	.SCE(soc_top_u_pwm_pwm_core_counter_p1[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[13]  (
-	.CLK(CTS_89),
-	.D(n_6056),
-	.Q(soc_top_u_pwm_pwm_core_counter_p1[13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_6107),
-	.SCE(soc_top_u_pwm_pwm_core_counter_p1[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[0]  (
-	.CLK(CTS_44),
-	.D(n_5983),
-	.Q(soc_top_u_pwm_pwm_core_counter_p2[0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_485),
-	.SCE(soc_top_u_pwm_pwm_core_counter_p2[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[13]  (
-	.CLK(CTS_44),
-	.D(n_6419),
-	.Q(soc_top_u_pwm_pwm_core_counter_p2[13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_6435),
-	.SCE(soc_top_u_pwm_pwm_core_counter_p2[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_ctrl_2_reg[0]  (
-	.CLK(CTS_88),
-	.D(n_3434),
-	.Q(soc_top_u_pwm_pwm_core_ctrl_2[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_ctrl_2_reg[1]  (
-	.CLK(CTS_44),
-	.D(n_3262),
-	.Q(soc_top_u_pwm_pwm_core_ctrl_2[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_ctrl_2_reg[2]  (
-	.CLK(CTS_88),
-	.D(n_3662),
-	.Q(soc_top_u_pwm_pwm_core_ctrl_2[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_ctrl_2_reg[3]  (
-	.CLK(CTS_88),
-	.D(n_3472),
-	.Q(soc_top_u_pwm_pwm_core_ctrl_2[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_ctrl_2_reg[4]  (
-	.CLK(CTS_88),
-	.D(n_3468),
-	.Q(soc_top_u_pwm_pwm_core_ctrl_2[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_ctrl_2_reg[5]  (
-	.CLK(CTS_88),
-	.D(n_3467),
-	.Q(soc_top_u_pwm_pwm_core_ctrl_2[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_ctrl_2_reg[6]  (
-	.CLK(CTS_88),
-	.D(n_3466),
-	.Q(soc_top_u_pwm_pwm_core_ctrl_2[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_ctrl_2_reg[7]  (
-	.CLK(CTS_88),
-	.D(n_3547),
-	.Q(soc_top_u_pwm_pwm_core_ctrl_2[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_ctrl_reg[0]  (
-	.CLK(CTS_88),
-	.D(n_3549),
-	.Q(soc_top_u_pwm_pwm_core_ctrl[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_ctrl_reg[1]  (
-	.CLK(CTS_42),
-	.D(n_3263),
-	.Q(soc_top_u_pwm_pwm_core_ctrl[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_ctrl_reg[2]  (
-	.CLK(CTS_88),
-	.D(n_3661),
-	.Q(soc_top_u_pwm_pwm_core_ctrl[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_ctrl_reg[3]  (
-	.CLK(CTS_88),
-	.D(n_3465),
-	.Q(soc_top_u_pwm_pwm_core_ctrl[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_ctrl_reg[4]  (
-	.CLK(CTS_88),
-	.D(n_3464),
-	.Q(soc_top_u_pwm_pwm_core_ctrl[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_ctrl_reg[5]  (
-	.CLK(CTS_88),
-	.D(n_3463),
-	.Q(soc_top_u_pwm_pwm_core_ctrl[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_ctrl_reg[6]  (
-	.CLK(CTS_88),
-	.D(n_3462),
-	.Q(soc_top_u_pwm_pwm_core_ctrl[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_ctrl_reg[7]  (
-	.CLK(CTS_88),
-	.D(n_3630),
-	.Q(soc_top_u_pwm_pwm_core_ctrl[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[0]  (
-	.CLK(CTS_44),
-	.D(n_3461),
-	.Q(soc_top_u_pwm_pwm_core_divisor_2[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[1]  (
-	.CLK(CTS_44),
-	.D(n_3460),
-	.Q(soc_top_u_pwm_pwm_core_divisor_2[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[2]  (
-	.CLK(CTS_44),
-	.D(n_3459),
-	.Q(soc_top_u_pwm_pwm_core_divisor_2[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[3]  (
-	.CLK(CTS_44),
-	.D(n_3458),
-	.Q(soc_top_u_pwm_pwm_core_divisor_2[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[4]  (
-	.CLK(CTS_44),
-	.D(n_3457),
-	.Q(soc_top_u_pwm_pwm_core_divisor_2[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[5]  (
-	.CLK(CTS_44),
-	.D(n_3456),
-	.Q(soc_top_u_pwm_pwm_core_divisor_2[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[6]  (
-	.CLK(CTS_44),
-	.D(n_3455),
-	.Q(soc_top_u_pwm_pwm_core_divisor_2[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[7]  (
-	.CLK(CTS_44),
-	.D(n_3454),
-	.Q(soc_top_u_pwm_pwm_core_divisor_2[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[8]  (
-	.CLK(CTS_44),
-	.D(n_3453),
-	.Q(soc_top_u_pwm_pwm_core_divisor_2[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[9]  (
-	.CLK(CTS_44),
-	.D(n_3452),
-	.Q(soc_top_u_pwm_pwm_core_divisor_2[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[10]  (
-	.CLK(CTS_44),
-	.D(n_3650),
-	.Q(soc_top_u_pwm_pwm_core_divisor_2[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[11]  (
-	.CLK(CTS_44),
-	.D(n_3451),
-	.Q(soc_top_u_pwm_pwm_core_divisor_2[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[12]  (
-	.CLK(CTS_44),
-	.D(n_3641),
-	.Q(soc_top_u_pwm_pwm_core_divisor_2[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[13]  (
-	.CLK(CTS_44),
-	.D(n_3542),
-	.Q(soc_top_u_pwm_pwm_core_divisor_2[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[14]  (
-	.CLK(CTS_44),
-	.D(n_3450),
-	.Q(soc_top_u_pwm_pwm_core_divisor_2[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[15]  (
-	.CLK(CTS_44),
-	.D(n_3645),
-	.Q(soc_top_u_pwm_pwm_core_divisor_2[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[0]  (
-	.CLK(CTS_42),
-	.D(n_3449),
-	.Q(soc_top_u_pwm_pwm_core_divisor[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[1]  (
-	.CLK(CTS_42),
-	.D(n_3448),
-	.Q(soc_top_u_pwm_pwm_core_divisor[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[2]  (
-	.CLK(CTS_88),
-	.D(n_3447),
-	.Q(soc_top_u_pwm_pwm_core_divisor[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[3]  (
-	.CLK(CTS_42),
-	.D(n_3446),
-	.Q(soc_top_u_pwm_pwm_core_divisor[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[4]  (
-	.CLK(CTS_88),
-	.D(n_3445),
-	.Q(soc_top_u_pwm_pwm_core_divisor[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[5]  (
-	.CLK(CTS_88),
-	.D(n_3444),
-	.Q(soc_top_u_pwm_pwm_core_divisor[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[6]  (
-	.CLK(CTS_42),
-	.D(n_3443),
-	.Q(soc_top_u_pwm_pwm_core_divisor[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[7]  (
-	.CLK(CTS_88),
-	.D(n_3442),
-	.Q(soc_top_u_pwm_pwm_core_divisor[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[8]  (
-	.CLK(CTS_89),
-	.D(n_3441),
-	.Q(soc_top_u_pwm_pwm_core_divisor[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[9]  (
-	.CLK(CTS_89),
-	.D(n_3440),
-	.Q(soc_top_u_pwm_pwm_core_divisor[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[10]  (
-	.CLK(CTS_89),
-	.D(n_3439),
-	.Q(soc_top_u_pwm_pwm_core_divisor[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[11]  (
-	.CLK(CTS_89),
-	.D(n_3438),
-	.Q(soc_top_u_pwm_pwm_core_divisor[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[12]  (
-	.CLK(CTS_89),
-	.D(n_3437),
-	.Q(soc_top_u_pwm_pwm_core_divisor[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[13]  (
-	.CLK(CTS_89),
-	.D(n_3436),
-	.Q(soc_top_u_pwm_pwm_core_divisor[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[14]  (
-	.CLK(CTS_88),
-	.D(n_3435),
-	.Q(soc_top_u_pwm_pwm_core_divisor[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[15]  (
-	.CLK(CTS_88),
-	.D(n_3646),
-	.Q(soc_top_u_pwm_pwm_core_divisor[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 soc_top_u_pwm_pwm_core_oe_pwm1_reg (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
-	.D(n_1787),
-	.Q(pwm1_oe), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 soc_top_u_pwm_pwm_core_oe_pwm2_reg (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
-	.D(n_1783),
-	.Q(pwm2_oe), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[0]  (
-	.CLK(CTS_88),
-	.D(n_3433),
-	.Q(soc_top_u_pwm_pwm_core_period_2[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[1]  (
-	.CLK(CTS_88),
-	.D(n_3432),
-	.Q(soc_top_u_pwm_pwm_core_period_2[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[2]  (
-	.CLK(CTS_88),
-	.D(n_3589),
-	.Q(soc_top_u_pwm_pwm_core_period_2[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[3]  (
-	.CLK(CTS_88),
-	.D(n_3524),
-	.Q(soc_top_u_pwm_pwm_core_period_2[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[4]  (
-	.CLK(CTS_88),
-	.D(n_3530),
-	.Q(soc_top_u_pwm_pwm_core_period_2[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[5]  (
-	.CLK(CTS_88),
-	.D(n_3572),
-	.Q(soc_top_u_pwm_pwm_core_period_2[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[6]  (
-	.CLK(CTS_88),
-	.D(n_3573),
-	.Q(soc_top_u_pwm_pwm_core_period_2[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[7]  (
-	.CLK(CTS_88),
-	.D(n_3575),
-	.Q(soc_top_u_pwm_pwm_core_period_2[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[8]  (
-	.CLK(CTS_88),
-	.D(n_3582),
-	.Q(soc_top_u_pwm_pwm_core_period_2[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[9]  (
-	.CLK(CTS_88),
-	.D(n_3584),
-	.Q(soc_top_u_pwm_pwm_core_period_2[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[10]  (
-	.CLK(CTS_88),
-	.D(n_3586),
-	.Q(soc_top_u_pwm_pwm_core_period_2[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[11]  (
-	.CLK(CTS_88),
-	.D(n_3649),
-	.Q(soc_top_u_pwm_pwm_core_period_2[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[12]  (
-	.CLK(CTS_44),
-	.D(n_3587),
-	.Q(soc_top_u_pwm_pwm_core_period_2[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[13]  (
-	.CLK(CTS_88),
-	.D(n_3644),
-	.Q(soc_top_u_pwm_pwm_core_period_2[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[14]  (
-	.CLK(CTS_88),
-	.D(n_3647),
-	.Q(soc_top_u_pwm_pwm_core_period_2[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[15]  (
-	.CLK(CTS_44),
-	.D(n_3541),
-	.Q(soc_top_u_pwm_pwm_core_period_2[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[0]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
-	.D(n_6132),
-	.Q(soc_top_u_pwm_pwm_core_period_counter1[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[1]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
-	.D(n_6209),
-	.Q(soc_top_u_pwm_pwm_core_period_counter1[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[2]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
-	.D(n_6190),
-	.Q(soc_top_u_pwm_pwm_core_period_counter1[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[3]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
-	.D(n_6199),
-	.Q(soc_top_u_pwm_pwm_core_period_counter1[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[4]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
-	.D(n_6191),
-	.Q(soc_top_u_pwm_pwm_core_period_counter1[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[5]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
-	.D(n_6197),
-	.Q(soc_top_u_pwm_pwm_core_period_counter1[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[6]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
-	.D(n_6189),
-	.Q(soc_top_u_pwm_pwm_core_period_counter1[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[7]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
-	.D(n_6075),
-	.Q(soc_top_u_pwm_pwm_core_period_counter1[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[8]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
-	.D(n_6066),
-	.Q(soc_top_u_pwm_pwm_core_period_counter1[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[9]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
-	.D(n_6198),
-	.Q(soc_top_u_pwm_pwm_core_period_counter1[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[10]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
-	.D(n_6188),
-	.Q(soc_top_u_pwm_pwm_core_period_counter1[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[11]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
-	.D(n_6055),
-	.Q(soc_top_u_pwm_pwm_core_period_counter1[11]),
-	.SCD(n_6106),
-	.SCE(soc_top_u_pwm_pwm_core_period_counter1[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[12]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
-	.D(n_6279),
-	.Q(soc_top_u_pwm_pwm_core_period_counter1[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[13]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
-	.D(n_6172),
-	.Q(soc_top_u_pwm_pwm_core_period_counter1[13]),
-	.SCD(n_6229),
-	.SCE(soc_top_u_pwm_pwm_core_period_counter1[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[14]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
-	.D(n_6323),
-	.Q(soc_top_u_pwm_pwm_core_period_counter1[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[15]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
-	.D(n_6375),
-	.Q(soc_top_u_pwm_pwm_core_period_counter1[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[0]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
-	.D(n_6031),
-	.Q(soc_top_u_pwm_pwm_core_period_counter2[0]),
-	.SCD(n_1369),
-	.SCE(soc_top_u_pwm_pwm_core_period_counter2[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[1]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
-	.D(n_6150),
-	.Q(soc_top_u_pwm_pwm_core_period_counter2[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[2]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
-	.D(n_6149),
-	.Q(soc_top_u_pwm_pwm_core_period_counter2[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[3]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
-	.D(n_6274),
-	.Q(soc_top_u_pwm_pwm_core_period_counter2[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[4]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
-	.D(n_6288),
-	.Q(soc_top_u_pwm_pwm_core_period_counter2[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[5]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
-	.D(n_6148),
-	.Q(soc_top_u_pwm_pwm_core_period_counter2[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[6]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
-	.D(n_6147),
-	.Q(soc_top_u_pwm_pwm_core_period_counter2[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[7]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
-	.D(n_6146),
-	.Q(soc_top_u_pwm_pwm_core_period_counter2[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[8]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
-	.D(n_6152),
-	.Q(soc_top_u_pwm_pwm_core_period_counter2[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[9]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
-	.D(n_6301),
-	.Q(soc_top_u_pwm_pwm_core_period_counter2[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[10]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
-	.D(n_6376),
-	.Q(soc_top_u_pwm_pwm_core_period_counter2[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[11]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
-	.D(n_6311),
-	.Q(soc_top_u_pwm_pwm_core_period_counter2[11]),
-	.SCD(n_6344),
-	.SCE(soc_top_u_pwm_pwm_core_period_counter2[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[12]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
-	.D(n_6364),
-	.Q(soc_top_u_pwm_pwm_core_period_counter2[12]),
-	.SCD(n_6385),
-	.SCE(soc_top_u_pwm_pwm_core_period_counter2[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[13]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
-	.D(n_6380),
-	.Q(soc_top_u_pwm_pwm_core_period_counter2[13]),
-	.SCD(n_6396),
-	.SCE(soc_top_u_pwm_pwm_core_period_counter2[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[14]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
-	.D(n_6459),
-	.Q(soc_top_u_pwm_pwm_core_period_counter2[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[15]  (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
-	.D(n_6517),
-	.Q(soc_top_u_pwm_pwm_core_period_counter2[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[0]  (
-	.CLK(CTS_42),
-	.D(n_3590),
-	.Q(soc_top_u_pwm_pwm_core_period[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[1]  (
-	.CLK(CTS_42),
-	.D(n_3591),
-	.Q(soc_top_u_pwm_pwm_core_period[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[2]  (
-	.CLK(CTS_42),
-	.D(n_3546),
-	.Q(soc_top_u_pwm_pwm_core_period[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[3]  (
-	.CLK(CTS_42),
-	.D(n_3592),
-	.Q(soc_top_u_pwm_pwm_core_period[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[4]  (
-	.CLK(CTS_42),
-	.D(n_3620),
-	.Q(soc_top_u_pwm_pwm_core_period[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[5]  (
-	.CLK(CTS_88),
-	.D(n_3621),
-	.Q(soc_top_u_pwm_pwm_core_period[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[6]  (
-	.CLK(CTS_42),
-	.D(n_3623),
-	.Q(soc_top_u_pwm_pwm_core_period[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[7]  (
-	.CLK(CTS_42),
-	.D(n_3624),
-	.Q(soc_top_u_pwm_pwm_core_period[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[8]  (
-	.CLK(CTS_42),
-	.D(n_3625),
-	.Q(soc_top_u_pwm_pwm_core_period[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[9]  (
-	.CLK(CTS_42),
-	.D(n_3626),
-	.Q(soc_top_u_pwm_pwm_core_period[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[10]  (
-	.CLK(CTS_42),
-	.D(n_3536),
-	.Q(soc_top_u_pwm_pwm_core_period[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[11]  (
-	.CLK(CTS_42),
-	.D(n_3627),
-	.Q(soc_top_u_pwm_pwm_core_period[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[12]  (
-	.CLK(CTS_42),
-	.D(n_3629),
-	.Q(soc_top_u_pwm_pwm_core_period[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[13]  (
-	.CLK(CTS_42),
-	.D(n_3550),
-	.Q(soc_top_u_pwm_pwm_core_period[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[14]  (
-	.CLK(CTS_42),
-	.D(n_3648),
-	.Q(soc_top_u_pwm_pwm_core_period[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[15]  (
-	.CLK(CTS_44),
-	.D(n_3476),
-	.Q(soc_top_u_pwm_pwm_core_period[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 soc_top_u_pwm_pwm_core_pts_2_reg (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
-	.D(n_6130),
-	.Q(soc_top_u_pwm_pwm_core_pts_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 soc_top_u_pwm_pwm_core_pts_reg (
-	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
-	.D(n_6129),
-	.Q(soc_top_u_pwm_pwm_core_pts), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_pwm_u_reg_if_outstanding_reg (
-	.CLK(CTS_16),
-	.D(n_1357),
-	.Q(\soc_top_pwm_to_xbar[d_valid] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_pwm_to_xbar[d_valid] ),
-	.SCE(n_1725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[0]  (
-	.CLK(CTS_88),
-	.D(n_4435),
-	.Q(\soc_top_pwm_to_xbar[d_data] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[1]  (
-	.CLK(CTS_88),
-	.D(n_4452),
-	.Q(\soc_top_pwm_to_xbar[d_data] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[2]  (
-	.CLK(CTS_42),
-	.D(n_4436),
-	.Q(\soc_top_pwm_to_xbar[d_data] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[3]  (
-	.CLK(CTS_42),
-	.D(n_4439),
-	.Q(\soc_top_pwm_to_xbar[d_data] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[4]  (
-	.CLK(CTS_88),
-	.D(n_4440),
-	.Q(\soc_top_pwm_to_xbar[d_data] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[5]  (
-	.CLK(CTS_88),
-	.D(n_4449),
-	.Q(\soc_top_pwm_to_xbar[d_data] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[6]  (
-	.CLK(CTS_88),
-	.D(n_4450),
-	.Q(\soc_top_pwm_to_xbar[d_data] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[7]  (
-	.CLK(CTS_88),
-	.D(n_4451),
-	.Q(\soc_top_pwm_to_xbar[d_data] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[8]  (
-	.CLK(CTS_89),
-	.D(n_4184),
-	.Q(\soc_top_pwm_to_xbar[d_data] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[9]  (
-	.CLK(CTS_89),
-	.D(n_4185),
-	.Q(\soc_top_pwm_to_xbar[d_data] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[10]  (
-	.CLK(CTS_88),
-	.D(n_4141),
-	.Q(\soc_top_pwm_to_xbar[d_data] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[11]  (
-	.CLK(CTS_89),
-	.D(n_4186),
-	.Q(\soc_top_pwm_to_xbar[d_data] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[12]  (
-	.CLK(CTS_38),
-	.D(n_4085),
-	.Q(\soc_top_pwm_to_xbar[d_data] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[13]  (
-	.CLK(CTS_38),
-	.D(n_4188),
-	.Q(\soc_top_pwm_to_xbar[d_data] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[14]  (
-	.CLK(CTS_88),
-	.D(n_4189),
-	.Q(\soc_top_pwm_to_xbar[d_data] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[15]  (
-	.CLK(CTS_88),
-	.D(n_4190),
-	.Q(\soc_top_pwm_to_xbar[d_data] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_u_reg_if_rspop_reg[0]  (
-	.CLK(CTS_16),
-	.D(n_14994),
-	.Q(\soc_top_pwm_to_xbar[d_opcode] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_pwm_to_xbar[d_opcode] [0]),
-	.SCE(n_1358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[0]  (
-	.CLK(CTS_16),
-	.D(n_3977),
-	.Q(soc_top_u_spi_host_spi_host_ctrl[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[1]  (
-	.CLK(CTS_16),
-	.D(n_3805),
-	.Q(soc_top_u_spi_host_spi_host_ctrl[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[2]  (
-	.CLK(CTS_16),
-	.D(n_3838),
-	.Q(soc_top_u_spi_host_spi_host_ctrl[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[3]  (
-	.CLK(CTS_16),
-	.D(n_3964),
-	.Q(soc_top_u_spi_host_spi_host_ctrl[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[4]  (
-	.CLK(CTS_26),
-	.D(n_3959),
-	.Q(soc_top_u_spi_host_spi_host_ctrl[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[5]  (
-	.CLK(CTS_16),
-	.D(n_3965),
-	.Q(soc_top_u_spi_host_spi_host_ctrl[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[6]  (
-	.CLK(CTS_16),
-	.D(n_3967),
-	.Q(soc_top_u_spi_host_spi_host_ctrl[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[7]  (
-	.CLK(CTS_16),
-	.D(n_3836),
-	.Q(soc_top_u_spi_host_spi_host_ctrl[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[8]  (
-	.CLK(CTS_16),
-	.D(n_3979),
-	.Q(soc_top_u_spi_host_spi_host_ctrl[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[9]  (
-	.CLK(CTS_16),
-	.D(n_3980),
-	.Q(soc_top_u_spi_host_spi_host_ctrl[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[10]  (
-	.CLK(CTS_16),
-	.D(n_3834),
-	.Q(soc_top_u_spi_host_spi_host_ctrl[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[11]  (
-	.CLK(CTS_16),
-	.D(n_3806),
-	.Q(soc_top_u_spi_host_spi_host_ctrl[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[12]  (
-	.CLK(CTS_16),
-	.D(n_3837),
-	.Q(soc_top_u_spi_host_spi_host_ctrl[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[13]  (
-	.CLK(CTS_16),
-	.D(n_3981),
-	.Q(soc_top_u_spi_host_spi_host_ctrl[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[14]  (
-	.CLK(CTS_16),
-	.D(n_3822),
-	.Q(soc_top_u_spi_host_spi_host_ctrl[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[15]  (
-	.CLK(CTS_16),
-	.D(n_3839),
-	.Q(soc_top_u_spi_host_spi_host_ctrl[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[0]  (
-	.CLK(CTS_16),
-	.D(n_3471),
-	.Q(soc_top_u_spi_host_spi_host_divider[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[1]  (
-	.CLK(CTS_16),
-	.D(n_3663),
-	.Q(soc_top_u_spi_host_spi_host_divider[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[2]  (
-	.CLK(CTS_16),
-	.D(n_3533),
-	.Q(soc_top_u_spi_host_spi_host_divider[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[3]  (
-	.CLK(CTS_16),
-	.D(n_3470),
-	.Q(soc_top_u_spi_host_spi_host_divider[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[4]  (
-	.CLK(CTS_22),
-	.D(n_3631),
-	.Q(soc_top_u_spi_host_spi_host_divider[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[5]  (
-	.CLK(CTS_22),
-	.D(n_3525),
-	.Q(soc_top_u_spi_host_spi_host_divider[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[6]  (
-	.CLK(CTS_22),
-	.D(n_3531),
-	.Q(soc_top_u_spi_host_spi_host_divider[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[7]  (
-	.CLK(CTS_22),
-	.D(n_3539),
-	.Q(soc_top_u_spi_host_spi_host_divider[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[8]  (
-	.CLK(CTS_22),
-	.D(n_3535),
-	.Q(soc_top_u_spi_host_spi_host_divider[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[9]  (
-	.CLK(CTS_22),
-	.D(n_3632),
-	.Q(soc_top_u_spi_host_spi_host_divider[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[10]  (
-	.CLK(CTS_22),
-	.D(n_3633),
-	.Q(soc_top_u_spi_host_spi_host_divider[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[11]  (
-	.CLK(CTS_22),
-	.D(n_3634),
-	.Q(soc_top_u_spi_host_spi_host_divider[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[12]  (
-	.CLK(CTS_22),
-	.D(n_3534),
-	.Q(soc_top_u_spi_host_spi_host_divider[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[13]  (
-	.CLK(CTS_22),
-	.D(n_3545),
-	.Q(soc_top_u_spi_host_spi_host_divider[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[14]  (
-	.CLK(CTS_22),
-	.D(n_3635),
-	.Q(soc_top_u_spi_host_spi_host_divider[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[15]  (
-	.CLK(CTS_22),
-	.D(n_3636),
-	.Q(soc_top_u_spi_host_spi_host_divider[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ss_reg[0]  (
-	.CLK(CTS_16),
-	.D(n_3469),
-	.Q(soc_top_u_spi_host_spi_host_ss[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ss_reg[1]  (
-	.CLK(CTS_16),
-	.D(n_3637),
-	.Q(soc_top_u_spi_host_spi_host_ss[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ss_reg[2]  (
-	.CLK(CTS_16),
-	.D(n_3638),
-	.Q(soc_top_u_spi_host_spi_host_ss[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ss_reg[3]  (
-	.CLK(CTS_16),
-	.D(n_3639),
-	.Q(soc_top_u_spi_host_spi_host_ss[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_spi_host_u_reg_if_outstanding_reg (
-	.CLK(CTS_19),
-	.D(n_457),
-	.Q(\soc_top_spi_to_xbar[d_valid] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_valid] ),
-	.SCE(n_551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[0]  (
-	.CLK(CTS_21),
-	.D(soc_top_u_spi_host_rdata[0]),
-	.Q(\soc_top_spi_to_xbar[d_data] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [0]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[1]  (
-	.CLK(CTS_21),
-	.D(soc_top_u_spi_host_rdata[1]),
-	.Q(\soc_top_spi_to_xbar[d_data] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [1]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[2]  (
-	.CLK(CTS_21),
-	.D(soc_top_u_spi_host_rdata[2]),
-	.Q(\soc_top_spi_to_xbar[d_data] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [2]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[3]  (
-	.CLK(CTS_21),
-	.D(soc_top_u_spi_host_rdata[3]),
-	.Q(\soc_top_spi_to_xbar[d_data] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [3]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[4]  (
-	.CLK(CTS_21),
-	.D(soc_top_u_spi_host_rdata[4]),
-	.Q(\soc_top_spi_to_xbar[d_data] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [4]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[5]  (
-	.CLK(CTS_21),
-	.D(soc_top_u_spi_host_rdata[5]),
-	.Q(\soc_top_spi_to_xbar[d_data] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [5]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[6]  (
-	.CLK(CTS_21),
-	.D(soc_top_u_spi_host_rdata[6]),
-	.Q(\soc_top_spi_to_xbar[d_data] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [6]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[7]  (
-	.CLK(CTS_21),
-	.D(soc_top_u_spi_host_rdata[7]),
-	.Q(\soc_top_spi_to_xbar[d_data] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [7]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[8]  (
-	.CLK(CTS_21),
-	.D(soc_top_u_spi_host_rdata[8]),
-	.Q(\soc_top_spi_to_xbar[d_data] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [8]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[9]  (
-	.CLK(CTS_21),
-	.D(soc_top_u_spi_host_rdata[9]),
-	.Q(\soc_top_spi_to_xbar[d_data] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [9]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[10]  (
-	.CLK(CTS_21),
-	.D(soc_top_u_spi_host_rdata[10]),
-	.Q(\soc_top_spi_to_xbar[d_data] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [10]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[11]  (
-	.CLK(CTS_21),
-	.D(soc_top_u_spi_host_rdata[11]),
-	.Q(\soc_top_spi_to_xbar[d_data] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [11]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[12]  (
-	.CLK(CTS_21),
-	.D(soc_top_u_spi_host_rdata[12]),
-	.Q(\soc_top_spi_to_xbar[d_data] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [12]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[13]  (
-	.CLK(CTS_21),
-	.D(soc_top_u_spi_host_rdata[13]),
-	.Q(\soc_top_spi_to_xbar[d_data] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [13]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[14]  (
-	.CLK(CTS_21),
-	.D(soc_top_u_spi_host_rdata[14]),
-	.Q(\soc_top_spi_to_xbar[d_data] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [14]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[15]  (
-	.CLK(CTS_21),
-	.D(soc_top_u_spi_host_rdata[15]),
-	.Q(\soc_top_spi_to_xbar[d_data] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [15]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[16]  (
-	.CLK(CTS_21),
-	.D(soc_top_u_spi_host_rdata[16]),
-	.Q(\soc_top_spi_to_xbar[d_data] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [16]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[17]  (
-	.CLK(CTS_21),
-	.D(soc_top_u_spi_host_rdata[17]),
-	.Q(\soc_top_spi_to_xbar[d_data] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [17]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[18]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_rdata[18]),
-	.Q(\soc_top_spi_to_xbar[d_data] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [18]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[19]  (
-	.CLK(CTS_21),
-	.D(soc_top_u_spi_host_rdata[19]),
-	.Q(\soc_top_spi_to_xbar[d_data] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [19]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[20]  (
-	.CLK(CTS_21),
-	.D(soc_top_u_spi_host_rdata[20]),
-	.Q(\soc_top_spi_to_xbar[d_data] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [20]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[21]  (
-	.CLK(CTS_21),
-	.D(soc_top_u_spi_host_rdata[21]),
-	.Q(\soc_top_spi_to_xbar[d_data] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [21]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[22]  (
-	.CLK(CTS_21),
-	.D(soc_top_u_spi_host_rdata[22]),
-	.Q(\soc_top_spi_to_xbar[d_data] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [22]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[23]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_rdata[23]),
-	.Q(\soc_top_spi_to_xbar[d_data] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [23]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[24]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_rdata[24]),
-	.Q(\soc_top_spi_to_xbar[d_data] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [24]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[25]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_rdata[25]),
-	.Q(\soc_top_spi_to_xbar[d_data] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [25]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[26]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_rdata[26]),
-	.Q(\soc_top_spi_to_xbar[d_data] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [26]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[27]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_rdata[27]),
-	.Q(\soc_top_spi_to_xbar[d_data] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [27]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[28]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_rdata[28]),
-	.Q(\soc_top_spi_to_xbar[d_data] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [28]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[29]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_rdata[29]),
-	.Q(\soc_top_spi_to_xbar[d_data] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [29]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[30]  (
-	.CLK(CTS_16),
-	.D(soc_top_u_spi_host_rdata[30]),
-	.Q(\soc_top_spi_to_xbar[d_data] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [30]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[31]  (
-	.CLK(CTS_16),
-	.D(soc_top_u_spi_host_rdata[31]),
-	.Q(\soc_top_spi_to_xbar[d_data] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_data] [31]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rspop_reg[0]  (
-	.CLK(CTS_16),
-	.D(n_14994),
-	.Q(\soc_top_spi_to_xbar[d_opcode] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_spi_to_xbar[d_opcode] [0]),
-	.SCE(n_14993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q_reg[0]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q[0]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q[0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15362),
-	.SCE(n_898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q_reg[2]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q[2]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q[2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15364),
-	.SCE(n_898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[0]  (
-	.CLK(CTS_61),
-	.D(n_2417),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[1]  (
-	.CLK(CTS_61),
-	.D(n_2416),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[2]  (
-	.CLK(CTS_61),
-	.D(n_2415),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[3]  (
-	.CLK(CTS_61),
-	.D(n_2414),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[4]  (
-	.CLK(CTS_61),
-	.D(n_2750),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[5]  (
-	.CLK(CTS_46),
-	.D(n_2413),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[6]  (
-	.CLK(CTS_46),
-	.D(n_2412),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[7]  (
-	.CLK(CTS_46),
-	.D(n_2432),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[8]  (
-	.CLK(CTS_46),
-	.D(n_2411),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[9]  (
-	.CLK(CTS_46),
-	.D(n_2410),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[10]  (
-	.CLK(CTS_46),
-	.D(n_2409),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[11]  (
-	.CLK(CTS_46),
-	.D(n_2408),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[12]  (
-	.CLK(CTS_46),
-	.D(n_2407),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[13]  (
-	.CLK(CTS_46),
-	.D(n_2431),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[14]  (
-	.CLK(CTS_61),
-	.D(n_2757),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[15]  (
-	.CLK(CTS_61),
-	.D(n_2433),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[16]  (
-	.CLK(CTS_62),
-	.D(n_2406),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[17]  (
-	.CLK(CTS_62),
-	.D(n_2446),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[18]  (
-	.CLK(CTS_62),
-	.D(n_2752),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[19]  (
-	.CLK(CTS_62),
-	.D(n_2405),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[20]  (
-	.CLK(CTS_62),
-	.D(n_2404),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[21]  (
-	.CLK(CTS_62),
-	.D(n_2421),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[22]  (
-	.CLK(CTS_62),
-	.D(n_2403),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[23]  (
-	.CLK(CTS_62),
-	.D(n_2441),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[24]  (
-	.CLK(CTS_62),
-	.D(n_2751),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[25]  (
-	.CLK(CTS_62),
-	.D(n_2402),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[26]  (
-	.CLK(CTS_62),
-	.D(n_2401),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[27]  (
-	.CLK(CTS_56),
-	.D(n_2400),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[28]  (
-	.CLK(CTS_56),
-	.D(n_2399),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[29]  (
-	.CLK(CTS_62),
-	.D(n_2434),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[30]  (
-	.CLK(CTS_62),
-	.D(n_2680),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[31]  (
-	.CLK(CTS_62),
-	.D(n_2679),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[32]  (
-	.CLK(CTS_61),
-	.D(n_2422),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[33]  (
-	.CLK(CTS_61),
-	.D(n_2681),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[34]  (
-	.CLK(CTS_61),
-	.D(n_2682),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[35]  (
-	.CLK(CTS_61),
-	.D(n_2683),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[36]  (
-	.CLK(CTS_61),
-	.D(n_2684),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[37]  (
-	.CLK(CTS_46),
-	.D(n_2685),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[38]  (
-	.CLK(CTS_46),
-	.D(n_2686),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[39]  (
-	.CLK(CTS_46),
-	.D(n_2445),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[40]  (
-	.CLK(CTS_46),
-	.D(n_2687),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[41]  (
-	.CLK(CTS_46),
-	.D(n_2688),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[42]  (
-	.CLK(CTS_46),
-	.D(n_2689),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[43]  (
-	.CLK(CTS_46),
-	.D(n_2749),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[44]  (
-	.CLK(CTS_46),
-	.D(n_2690),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[45]  (
-	.CLK(CTS_46),
-	.D(n_2447),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[46]  (
-	.CLK(CTS_61),
-	.D(n_2435),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[47]  (
-	.CLK(CTS_61),
-	.D(n_2692),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[48]  (
-	.CLK(CTS_61),
-	.D(n_2437),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[49]  (
-	.CLK(CTS_62),
-	.D(n_2442),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[50]  (
-	.CLK(CTS_62),
-	.D(n_2430),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[51]  (
-	.CLK(CTS_62),
-	.D(n_2693),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[52]  (
-	.CLK(CTS_62),
-	.D(n_2694),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[53]  (
-	.CLK(CTS_62),
-	.D(n_2695),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[54]  (
-	.CLK(CTS_62),
-	.D(n_2420),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[55]  (
-	.CLK(CTS_62),
-	.D(n_2748),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[56]  (
-	.CLK(CTS_62),
-	.D(n_2755),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [56]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[57]  (
-	.CLK(CTS_62),
-	.D(n_2696),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [57]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[58]  (
-	.CLK(CTS_62),
-	.D(n_2697),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[59]  (
-	.CLK(CTS_62),
-	.D(n_2418),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[60]  (
-	.CLK(CTS_56),
-	.D(n_2423),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [60]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[61]  (
-	.CLK(CTS_62),
-	.D(n_2440),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[62]  (
-	.CLK(CTS_101),
-	.D(n_2698),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[63]  (
-	.CLK(CTS_101),
-	.D(n_2699),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[0]  (
-	.CLK(CTS_93),
-	.D(n_2700),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[1]  (
-	.CLK(CTS_61),
-	.D(n_2701),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[2]  (
-	.CLK(CTS_61),
-	.D(n_2702),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[3]  (
-	.CLK(CTS_61),
-	.D(n_2703),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[4]  (
-	.CLK(CTS_61),
-	.D(n_2756),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[5]  (
-	.CLK(CTS_46),
-	.D(n_2704),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[6]  (
-	.CLK(CTS_46),
-	.D(n_2429),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[7]  (
-	.CLK(CTS_46),
-	.D(n_2705),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[8]  (
-	.CLK(CTS_46),
-	.D(n_2419),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[9]  (
-	.CLK(CTS_46),
-	.D(n_2706),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[10]  (
-	.CLK(CTS_46),
-	.D(n_2707),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[11]  (
-	.CLK(CTS_46),
-	.D(n_2708),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[12]  (
-	.CLK(CTS_46),
-	.D(n_2709),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[13]  (
-	.CLK(CTS_61),
-	.D(n_2710),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[14]  (
-	.CLK(CTS_61),
-	.D(n_2711),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[15]  (
-	.CLK(CTS_61),
-	.D(n_2712),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[16]  (
-	.CLK(CTS_61),
-	.D(n_2713),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[17]  (
-	.CLK(CTS_62),
-	.D(n_2714),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[18]  (
-	.CLK(CTS_62),
-	.D(n_2715),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[19]  (
-	.CLK(CTS_62),
-	.D(n_2716),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[20]  (
-	.CLK(CTS_62),
-	.D(n_2717),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[21]  (
-	.CLK(CTS_62),
-	.D(n_2718),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[22]  (
-	.CLK(CTS_62),
-	.D(n_2719),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[23]  (
-	.CLK(CTS_62),
-	.D(n_2720),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[24]  (
-	.CLK(CTS_62),
-	.D(n_2721),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[25]  (
-	.CLK(CTS_62),
-	.D(n_2722),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[26]  (
-	.CLK(CTS_62),
-	.D(n_2428),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[27]  (
-	.CLK(CTS_56),
-	.D(n_2723),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[28]  (
-	.CLK(CTS_62),
-	.D(n_2424),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[29]  (
-	.CLK(CTS_62),
-	.D(n_2724),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[30]  (
-	.CLK(CTS_62),
-	.D(n_2725),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[31]  (
-	.CLK(CTS_61),
-	.D(n_2726),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[32]  (
-	.CLK(CTS_61),
-	.D(n_2436),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[33]  (
-	.CLK(CTS_101),
-	.D(n_2727),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[34]  (
-	.CLK(CTS_61),
-	.D(n_2444),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[35]  (
-	.CLK(CTS_61),
-	.D(n_2728),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[36]  (
-	.CLK(CTS_61),
-	.D(n_2427),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[37]  (
-	.CLK(CTS_46),
-	.D(n_2438),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[38]  (
-	.CLK(CTS_46),
-	.D(n_2753),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[39]  (
-	.CLK(CTS_46),
-	.D(n_2691),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[40]  (
-	.CLK(CTS_46),
-	.D(n_2426),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[41]  (
-	.CLK(CTS_46),
-	.D(n_2425),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[42]  (
-	.CLK(CTS_46),
-	.D(n_2443),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[43]  (
-	.CLK(CTS_46),
-	.D(n_2439),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[44]  (
-	.CLK(CTS_46),
-	.D(n_2754),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[45]  (
-	.CLK(CTS_46),
-	.D(n_2729),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[46]  (
-	.CLK(CTS_61),
-	.D(n_2730),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[47]  (
-	.CLK(CTS_61),
-	.D(n_2731),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[48]  (
-	.CLK(CTS_61),
-	.D(n_2732),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[49]  (
-	.CLK(CTS_101),
-	.D(n_2733),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[50]  (
-	.CLK(CTS_101),
-	.D(n_2734),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[51]  (
-	.CLK(CTS_101),
-	.D(n_2735),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[52]  (
-	.CLK(CTS_101),
-	.D(n_2736),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[53]  (
-	.CLK(CTS_101),
-	.D(n_2737),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[54]  (
-	.CLK(CTS_56),
-	.D(n_2738),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[55]  (
-	.CLK(CTS_56),
-	.D(n_2739),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[56]  (
-	.CLK(CTS_56),
-	.D(n_2740),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [56]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[57]  (
-	.CLK(CTS_56),
-	.D(n_2741),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [57]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[58]  (
-	.CLK(CTS_56),
-	.D(n_2742),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[59]  (
-	.CLK(CTS_56),
-	.D(n_2743),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[60]  (
-	.CLK(CTS_56),
-	.D(n_2744),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [60]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[61]  (
-	.CLK(CTS_101),
-	.D(n_2745),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[62]  (
-	.CLK(CTS_101),
-	.D(n_2746),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[63]  (
-	.CLK(CTS_101),
-	.D(n_2747),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_cs_registers_i_priv_lvl_q_reg[0]  (
-	.CLK(CTS_100),
-	.D(n_2578),
-	.Q(soc_top_u_top_u_core_priv_mode_id[0]),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_cs_registers_i_priv_lvl_q_reg[1]  (
-	.CLK(CTS_100),
-	.D(n_2577),
-	.Q(soc_top_u_top_u_core_priv_mode_id[1]),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q_reg  (
-	.CLK(CTS_72),
-	.D(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q ),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_541),
-	.SCE(n_2759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q_reg[0]  (
-	.CLK(CTS_72),
-	.D(n_6603),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q_reg[1]  (
-	.CLK(CTS_72),
-	.D(n_6650),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q_reg[2]  (
-	.CLK(CTS_72),
-	.D(n_269),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[3]  (
-	.CLK(CTS_72),
-	.D(n_270),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[0]  (
-	.CLK(CTS_107),
-	.D(n_4778),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[1]  (
-	.CLK(CTS_107),
-	.D(n_4901),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[2]  (
-	.CLK(CTS_107),
-	.D(n_5078),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[3]  (
-	.CLK(CTS_107),
-	.D(n_5098),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[4]  (
-	.CLK(CTS_104),
-	.D(n_5077),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[5]  (
-	.CLK(CTS_104),
-	.D(n_5080),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[6]  (
-	.CLK(CTS_107),
-	.D(n_5085),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[7]  (
-	.CLK(CTS_107),
-	.D(n_5151),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[8]  (
-	.CLK(CTS_104),
-	.D(n_5076),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[9]  (
-	.CLK(CTS_104),
-	.D(n_5083),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[10]  (
-	.CLK(CTS_104),
-	.D(n_5100),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[11]  (
-	.CLK(CTS_104),
-	.D(n_5155),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[12]  (
-	.CLK(CTS_104),
-	.D(n_5081),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[13]  (
-	.CLK(CTS_104),
-	.D(n_5148),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[14]  (
-	.CLK(CTS_106),
-	.D(n_5153),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[15]  (
-	.CLK(CTS_106),
-	.D(n_5157),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[16]  (
-	.CLK(CTS_72),
-	.D(n_5060),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[17]  (
-	.CLK(CTS_106),
-	.D(n_5075),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[18]  (
-	.CLK(CTS_106),
-	.D(n_5074),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[19]  (
-	.CLK(CTS_72),
-	.D(n_5073),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[20]  (
-	.CLK(CTS_104),
-	.D(n_5072),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[21]  (
-	.CLK(CTS_107),
-	.D(n_5071),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[22]  (
-	.CLK(CTS_107),
-	.D(n_5070),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[23]  (
-	.CLK(CTS_107),
-	.D(n_5068),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[24]  (
-	.CLK(CTS_107),
-	.D(n_5069),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[25]  (
-	.CLK(CTS_107),
-	.D(n_5067),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[26]  (
-	.CLK(CTS_107),
-	.D(n_5066),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[27]  (
-	.CLK(CTS_107),
-	.D(n_5065),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[28]  (
-	.CLK(CTS_107),
-	.D(n_5064),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[29]  (
-	.CLK(CTS_107),
-	.D(n_5063),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[30]  (
-	.CLK(CTS_107),
-	.D(n_5062),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[31]  (
-	.CLK(CTS_107),
-	.D(n_5097),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[32]  (
-	.CLK(CTS_107),
-	.D(n_4842),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [32]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[0]  (
-	.CLK(CTS_104),
-	.D(n_5192),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[1]  (
-	.CLK(CTS_104),
-	.D(n_5191),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[2]  (
-	.CLK(CTS_104),
-	.D(n_5190),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[3]  (
-	.CLK(CTS_107),
-	.D(n_5189),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[4]  (
-	.CLK(CTS_107),
-	.D(n_5188),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[5]  (
-	.CLK(CTS_104),
-	.D(n_5187),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[6]  (
-	.CLK(CTS_104),
-	.D(n_5186),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[7]  (
-	.CLK(CTS_104),
-	.D(n_5185),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[8]  (
-	.CLK(CTS_104),
-	.D(n_5184),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[9]  (
-	.CLK(CTS_104),
-	.D(n_5183),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[10]  (
-	.CLK(CTS_104),
-	.D(n_5182),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[11]  (
-	.CLK(CTS_104),
-	.D(n_5181),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[12]  (
-	.CLK(CTS_104),
-	.D(n_5180),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[13]  (
-	.CLK(CTS_104),
-	.D(n_5179),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[14]  (
-	.CLK(CTS_104),
-	.D(n_5178),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[15]  (
-	.CLK(CTS_104),
-	.D(n_5177),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[16]  (
-	.CLK(CTS_104),
-	.D(n_5176),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[17]  (
-	.CLK(CTS_104),
-	.D(n_5175),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[18]  (
-	.CLK(CTS_104),
-	.D(n_5174),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[19]  (
-	.CLK(CTS_107),
-	.D(n_5173),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[20]  (
-	.CLK(CTS_107),
-	.D(n_5242),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[21]  (
-	.CLK(CTS_107),
-	.D(n_5171),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[22]  (
-	.CLK(CTS_107),
-	.D(n_5170),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[23]  (
-	.CLK(CTS_107),
-	.D(n_5169),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[24]  (
-	.CLK(CTS_107),
-	.D(n_5168),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[25]  (
-	.CLK(CTS_107),
-	.D(n_5167),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[26]  (
-	.CLK(CTS_107),
-	.D(n_5166),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[27]  (
-	.CLK(CTS_110),
-	.D(n_5165),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[28]  (
-	.CLK(CTS_110),
-	.D(n_5164),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[29]  (
-	.CLK(CTS_110),
-	.D(n_5163),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[30]  (
-	.CLK(CTS_110),
-	.D(n_5162),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][0]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][1]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [1]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17433),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][2]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [2]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11706),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][3]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][4]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [4]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11708),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][5]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [5]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17431),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][6]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [6]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17430),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][7]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [7]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11711),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][8]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [8]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17429),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][9]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [9]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11728),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][10]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [10]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11729),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][11]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [11]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17428),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][12]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [12]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17427),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][13]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][14]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [14]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18287),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][15]  (
-	.CLK(CTS_29),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [15]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9471),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][16]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [16]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11762),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][17]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [17]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17420),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][18]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [18]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18286),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][19]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [19]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17419),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][20]  (
-	.CLK(CTS_29),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [20]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9474),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][21]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [21]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17417),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][22]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [22]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11753),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][23]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [23]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17425),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][24]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][25]  (
-	.CLK(CTS_31),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [25]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11732),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][26]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [26]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17421),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][27]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [27]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17418),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][28]  (
-	.CLK(CTS_91),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [28]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11726),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][29]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [29]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17422),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][30]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][31]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [31]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17438),
-	.SCE(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][0]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][1]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [1]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17433),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][2]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [2]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11706),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][3]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][4]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [4]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11708),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][5]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [5]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17431),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][6]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [6]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17430),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][7]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [7]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11711),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][8]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [8]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17429),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][9]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [9]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11728),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][10]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [10]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11729),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][11]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [11]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17428),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][12]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [12]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17427),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][13]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][14]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [14]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18287),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][15]  (
-	.CLK(CTS_29),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [15]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9471),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][16]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [16]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11762),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][17]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [17]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17420),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][18]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [18]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18286),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][19]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [19]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17419),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][20]  (
-	.CLK(CTS_29),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [20]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9474),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][21]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [21]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17417),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][22]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [22]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11753),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][23]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [23]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17425),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][24]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][25]  (
-	.CLK(CTS_31),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [25]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11732),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][26]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [26]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17421),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][27]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [27]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17418),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][28]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [28]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11726),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][29]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [29]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17422),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][30]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][31]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [31]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17438),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][0]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][1]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [1]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17433),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][2]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [2]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11706),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][3]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][4]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [4]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11708),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][5]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [5]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17431),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][6]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [6]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17430),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][7]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [7]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11711),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][8]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [8]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17429),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][9]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [9]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11728),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][10]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [10]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11729),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][11]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [11]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17428),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][12]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [12]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17427),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][13]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][14]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [14]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18287),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][15]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [15]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9471),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][16]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [16]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11762),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][17]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [17]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17420),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][18]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [18]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18286),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][19]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [19]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17419),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][20]  (
-	.CLK(CTS_29),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [20]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9474),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][21]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [21]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17417),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][22]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [22]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11753),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][23]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [23]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17425),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][24]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][25]  (
-	.CLK(CTS_31),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [25]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11732),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][26]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [26]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17421),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][27]  (
-	.CLK(CTS_91),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [27]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17418),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][28]  (
-	.CLK(CTS_91),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [28]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11726),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][29]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [29]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17422),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][30]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][31]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [31]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17438),
-	.SCE(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][0]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][1]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [1]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17433),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][2]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [2]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11706),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][3]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][4]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [4]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11708),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][5]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [5]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17431),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][6]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [6]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17430),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][7]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [7]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11711),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][8]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [8]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17429),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][9]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [9]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11728),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][10]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [10]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11729),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][11]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [11]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17428),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][12]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [12]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17427),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][13]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][14]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [14]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18287),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][15]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [15]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9471),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][16]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [16]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11762),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][17]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [17]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17420),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][18]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [18]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18286),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][19]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [19]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17419),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][20]  (
-	.CLK(CTS_29),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [20]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9474),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][21]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [21]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17417),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][22]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [22]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11753),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][23]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [23]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17425),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][24]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][25]  (
-	.CLK(CTS_31),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [25]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11732),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][26]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [26]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17421),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][27]  (
-	.CLK(CTS_91),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [27]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17418),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][28]  (
-	.CLK(CTS_91),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [28]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11726),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][29]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [29]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17422),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][30]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][31]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [31]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17438),
-	.SCE(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][0]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][1]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [1]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17433),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][2]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [2]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11706),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][3]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][4]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [4]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11708),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][5]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [5]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17431),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][6]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [6]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17430),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][7]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [7]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11711),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][8]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [8]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17429),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][9]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [9]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11728),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][10]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [10]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11729),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][11]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [11]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17428),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][12]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [12]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17427),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][13]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][14]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [14]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18287),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][15]  (
-	.CLK(CTS_29),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [15]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9471),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][16]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [16]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11762),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][17]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [17]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17420),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][18]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [18]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18286),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][19]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [19]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17419),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][20]  (
-	.CLK(CTS_29),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [20]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9474),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][21]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [21]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17417),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][22]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [22]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11753),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][23]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [23]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17425),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][24]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][25]  (
-	.CLK(CTS_31),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [25]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11732),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][26]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [26]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17421),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][27]  (
-	.CLK(CTS_91),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [27]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17418),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][28]  (
-	.CLK(CTS_91),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [28]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11726),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][29]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [29]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17422),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][30]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][31]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [31]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17438),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][0]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][1]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [1]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17433),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][2]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [2]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11706),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][3]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][4]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [4]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11708),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][5]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [5]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17431),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][6]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [6]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17430),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][7]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [7]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11711),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][8]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [8]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17429),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][9]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [9]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11728),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][10]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [10]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11729),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][11]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [11]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17428),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][12]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [12]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17427),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][13]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][14]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [14]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18287),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][15]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [15]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9471),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][16]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [16]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11762),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][17]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [17]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17420),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][18]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [18]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18286),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][19]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [19]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17419),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][20]  (
-	.CLK(CTS_29),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [20]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9474),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][21]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [21]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17417),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][22]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [22]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11753),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][23]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [23]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17425),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][24]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][25]  (
-	.CLK(CTS_31),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [25]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11732),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][26]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [26]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17421),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][27]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [27]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17418),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][28]  (
-	.CLK(CTS_91),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [28]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11726),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][29]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [29]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17422),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][30]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][31]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [31]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17438),
-	.SCE(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][0]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][1]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [1]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17433),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][2]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [2]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11706),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][3]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][4]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [4]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11708),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][5]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [5]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17431),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][6]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [6]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17430),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][7]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [7]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11711),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][8]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [8]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17429),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][9]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [9]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11728),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][10]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [10]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11729),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][11]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [11]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17428),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][12]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [12]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17427),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][13]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][14]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [14]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18287),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][15]  (
-	.CLK(CTS_29),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [15]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9471),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][16]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [16]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11762),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][17]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [17]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17420),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][18]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [18]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18286),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][19]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [19]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17419),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][20]  (
-	.CLK(CTS_29),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [20]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9474),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][21]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [21]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17417),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][22]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [22]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11753),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][23]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [23]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17425),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][24]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][25]  (
-	.CLK(CTS_31),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [25]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11732),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][26]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [26]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17421),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][27]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [27]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17418),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][28]  (
-	.CLK(CTS_91),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [28]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11726),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][29]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [29]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17422),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][30]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][31]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [31]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17438),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][0]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][1]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [1]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17433),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][2]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [2]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11706),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][3]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][4]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [4]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11708),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][5]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [5]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17431),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][6]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [6]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17430),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][7]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [7]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11711),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][8]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [8]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17429),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][9]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [9]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11728),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][10]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [10]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11729),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][11]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [11]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17428),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][12]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [12]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17427),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][13]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][14]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [14]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18287),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][15]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [15]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9471),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][16]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [16]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11762),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][17]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [17]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17420),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][18]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [18]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18286),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][19]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [19]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17419),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][20]  (
-	.CLK(CTS_29),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [20]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9474),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][21]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [21]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17417),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][22]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [22]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11753),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][23]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [23]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17425),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][24]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][25]  (
-	.CLK(CTS_31),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [25]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11732),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][26]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [26]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17421),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][27]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [27]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17418),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][28]  (
-	.CLK(CTS_91),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [28]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11726),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][29]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [29]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17422),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][30]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][31]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [31]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17438),
-	.SCE(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][0]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][1]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [1]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17433),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][2]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [2]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11706),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][3]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][4]  (
-	.CLK(CTS_31),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [4]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11708),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][5]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [5]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17431),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][6]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [6]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17430),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][7]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [7]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11711),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][8]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [8]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17429),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][9]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [9]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11728),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][10]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [10]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11729),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][11]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [11]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17428),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][12]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [12]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17427),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][13]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][14]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [14]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18287),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][15]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [15]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9471),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][16]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [16]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11762),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][17]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [17]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17420),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][18]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [18]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18286),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][19]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [19]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17419),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][20]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [20]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9474),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][21]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [21]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17417),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][22]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [22]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11753),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][23]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [23]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17425),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][24]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][25]  (
-	.CLK(CTS_31),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [25]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11732),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][26]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [26]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17421),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][27]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [27]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17418),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][28]  (
-	.CLK(CTS_91),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [28]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11726),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][29]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [29]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17422),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][30]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][31]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [31]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17438),
-	.SCE(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][0]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][1]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [1]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17433),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][2]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [2]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11706),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][3]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][4]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [4]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11708),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][5]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [5]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17431),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][6]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [6]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17430),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][7]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [7]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11711),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][8]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [8]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17429),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][9]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [9]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11728),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][10]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [10]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11729),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][11]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [11]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17428),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][12]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [12]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17427),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][13]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][14]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [14]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18287),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][15]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [15]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9471),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][16]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [16]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11762),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][17]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [17]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17420),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][18]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [18]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18286),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][19]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [19]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17419),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][20]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [20]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9474),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][21]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [21]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17417),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][22]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [22]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11753),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][23]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [23]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17425),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][24]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][25]  (
-	.CLK(CTS_31),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [25]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11732),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][26]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [26]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17421),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][27]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [27]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17418),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][28]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [28]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11726),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][29]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [29]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17422),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][30]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][31]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [31]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17438),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][0]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][1]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [1]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17433),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][2]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [2]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11706),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][3]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][4]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [4]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11708),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][5]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [5]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17431),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][6]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [6]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17430),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][7]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [7]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11711),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][8]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [8]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17429),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][9]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [9]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11728),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][10]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [10]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11729),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][11]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [11]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17428),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][12]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [12]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17427),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][13]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][14]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [14]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18287),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][15]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [15]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9471),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][16]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [16]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11762),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][17]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [17]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17420),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][18]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [18]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18286),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][19]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [19]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17419),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][20]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [20]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9474),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][21]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [21]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17417),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][22]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [22]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11753),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][23]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [23]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17425),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][24]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][25]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [25]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11732),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][26]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [26]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17421),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][27]  (
-	.CLK(CTS_91),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [27]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17418),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][28]  (
-	.CLK(CTS_91),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [28]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11726),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][29]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [29]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17422),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][30]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][31]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [31]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17438),
-	.SCE(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][0]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][1]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [1]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17433),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][2]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [2]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11706),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][3]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][4]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [4]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11708),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][5]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [5]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17431),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][6]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [6]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17430),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][7]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [7]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11711),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][8]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [8]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17429),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][9]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [9]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11728),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][10]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [10]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11729),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][11]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [11]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17428),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][12]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [12]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17427),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][13]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][14]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [14]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18287),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][15]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [15]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9471),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][16]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [16]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11762),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][17]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [17]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17420),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][18]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [18]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18286),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][19]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [19]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17419),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][20]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [20]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9474),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][21]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [21]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17417),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][22]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [22]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11753),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][23]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [23]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17425),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][24]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][25]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [25]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11732),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][26]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [26]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17421),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][27]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [27]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17418),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][28]  (
-	.CLK(CTS_91),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [28]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11726),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][29]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [29]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17422),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][30]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][31]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [31]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17438),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][0]  (
-	.CLK(CTS_80),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [0]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][1]  (
-	.CLK(CTS_80),
-	.D(n_17433),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [1]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][2]  (
-	.CLK(CTS_32),
-	.D(n_11706),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [2]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][3]  (
-	.CLK(CTS_78),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [3]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][4]  (
-	.CLK(CTS_32),
-	.D(n_11708),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [4]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][5]  (
-	.CLK(CTS_81),
-	.D(n_17431),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [5]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][6]  (
-	.CLK(CTS_80),
-	.D(n_17430),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [6]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][7]  (
-	.CLK(CTS_85),
-	.D(n_11711),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [7]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][8]  (
-	.CLK(CTS_81),
-	.D(n_17429),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [8]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][9]  (
-	.CLK(CTS_85),
-	.D(n_11728),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [9]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][10]  (
-	.CLK(CTS_81),
-	.D(n_11729),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [10]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][11]  (
-	.CLK(CTS_78),
-	.D(n_17428),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [11]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][12]  (
-	.CLK(CTS_82),
-	.D(n_17427),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [12]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][13]  (
-	.CLK(CTS_78),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [13]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][14]  (
-	.CLK(CTS_82),
-	.D(n_18287),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [14]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][15]  (
-	.CLK(CTS_86),
-	.D(n_9471),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [15]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][16]  (
-	.CLK(CTS_83),
-	.D(n_11762),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [16]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][17]  (
-	.CLK(CTS_82),
-	.D(n_17420),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [17]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][18]  (
-	.CLK(CTS_86),
-	.D(n_18286),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [18]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][19]  (
-	.CLK(CTS_114),
-	.D(n_17419),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [19]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][20]  (
-	.CLK(CTS_86),
-	.D(n_9474),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [20]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][21]  (
-	.CLK(CTS_82),
-	.D(n_17417),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [21]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][22]  (
-	.CLK(CTS_83),
-	.D(n_11753),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [22]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][23]  (
-	.CLK(CTS_114),
-	.D(n_17425),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [23]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][24]  (
-	.CLK(CTS_82),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [24]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][25]  (
-	.CLK(CTS_86),
-	.D(n_11732),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [25]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][26]  (
-	.CLK(CTS_94),
-	.D(n_17421),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [26]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][27]  (
-	.CLK(CTS_91),
-	.D(n_17418),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [27]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][28]  (
-	.CLK(CTS_91),
-	.D(n_11726),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [28]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][29]  (
-	.CLK(CTS_82),
-	.D(n_17422),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [29]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][30]  (
-	.CLK(CTS_94),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [30]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][31]  (
-	.CLK(CTS_94),
-	.D(n_17438),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [31]),
-	.SCE(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][0]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][1]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [1]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17433),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][2]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [2]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11706),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][3]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][4]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [4]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11708),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][5]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [5]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17431),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][6]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [6]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17430),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][7]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [7]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11711),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][8]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [8]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17429),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][9]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [9]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11728),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][10]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [10]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11729),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][11]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [11]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17428),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][12]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [12]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17427),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][13]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][14]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [14]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18287),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][15]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [15]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9471),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][16]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [16]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11762),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][17]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [17]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17420),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][18]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [18]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18286),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][19]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [19]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17419),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][20]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [20]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9474),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][21]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [21]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17417),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][22]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [22]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11753),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][23]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [23]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17425),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][24]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][25]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [25]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11732),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][26]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [26]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17421),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][27]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [27]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17418),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][28]  (
-	.CLK(CTS_91),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [28]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11726),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][29]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [29]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17422),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][30]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][31]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [31]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17438),
-	.SCE(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][0]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][1]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [1]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17433),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][2]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [2]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11706),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][3]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][4]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [4]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11708),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][5]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [5]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17431),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][6]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [6]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17430),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][7]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [7]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11711),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][8]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [8]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17429),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][9]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [9]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11728),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][10]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [10]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11729),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][11]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [11]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17428),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][12]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [12]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17427),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][13]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][14]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [14]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18287),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][15]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [15]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9471),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][16]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [16]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11762),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][17]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [17]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17420),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][18]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [18]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18286),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][19]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [19]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17419),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][20]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [20]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9474),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][21]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [21]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17417),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][22]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [22]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11753),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][23]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [23]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17425),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][24]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][25]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [25]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11732),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][26]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [26]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17421),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][27]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [27]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17418),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][28]  (
-	.CLK(CTS_91),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [28]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11726),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][29]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [29]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17422),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][30]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][31]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [31]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17438),
-	.SCE(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][0]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][1]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [1]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17433),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][2]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [2]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11706),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][3]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][4]  (
-	.CLK(CTS_31),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [4]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11708),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][5]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [5]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17431),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][6]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [6]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17430),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][7]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [7]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11711),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][8]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [8]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17429),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][9]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [9]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11728),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][10]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [10]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11729),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][11]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [11]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17428),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][12]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [12]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17427),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][13]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][14]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [14]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18287),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][15]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [15]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9471),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][16]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [16]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11762),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][17]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [17]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17420),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][18]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [18]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18286),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][19]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [19]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17419),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][20]  (
-	.CLK(CTS_29),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [20]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9474),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][21]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [21]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17417),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][22]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [22]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11753),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][23]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [23]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17425),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][24]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][25]  (
-	.CLK(CTS_31),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [25]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11732),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][26]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [26]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17421),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][27]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [27]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17418),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][28]  (
-	.CLK(CTS_91),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [28]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11726),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][29]  (
-	.CLK(CTS_84),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [29]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17422),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][30]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][31]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [31]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17438),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][0]  (
-	.CLK(CTS_80),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [0]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][1]  (
-	.CLK(CTS_78),
-	.D(n_17433),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [1]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][2]  (
-	.CLK(CTS_32),
-	.D(n_11706),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [2]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][3]  (
-	.CLK(CTS_81),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [3]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][4]  (
-	.CLK(CTS_32),
-	.D(n_11708),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [4]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][5]  (
-	.CLK(CTS_81),
-	.D(n_17431),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [5]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][6]  (
-	.CLK(CTS_85),
-	.D(n_17430),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [6]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][7]  (
-	.CLK(CTS_85),
-	.D(n_11711),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [7]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][8]  (
-	.CLK(CTS_85),
-	.D(n_17429),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [8]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][9]  (
-	.CLK(CTS_85),
-	.D(n_11728),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [9]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][10]  (
-	.CLK(CTS_81),
-	.D(n_11729),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [10]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][11]  (
-	.CLK(CTS_78),
-	.D(n_17428),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [11]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][12]  (
-	.CLK(CTS_84),
-	.D(n_17427),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [12]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][13]  (
-	.CLK(CTS_80),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [13]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][14]  (
-	.CLK(CTS_84),
-	.D(n_18287),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [14]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][15]  (
-	.CLK(CTS_86),
-	.D(n_9471),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [15]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][16]  (
-	.CLK(CTS_83),
-	.D(n_11762),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [16]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][17]  (
-	.CLK(CTS_84),
-	.D(n_17420),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [17]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][18]  (
-	.CLK(CTS_86),
-	.D(n_18286),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [18]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][19]  (
-	.CLK(CTS_83),
-	.D(n_17419),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [19]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][20]  (
-	.CLK(CTS_86),
-	.D(n_9474),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [20]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][21]  (
-	.CLK(CTS_84),
-	.D(n_17417),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [21]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][22]  (
-	.CLK(CTS_83),
-	.D(n_11753),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [22]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][23]  (
-	.CLK(CTS_114),
-	.D(n_17425),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [23]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][24]  (
-	.CLK(CTS_84),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [24]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][25]  (
-	.CLK(CTS_31),
-	.D(n_11732),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [25]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][26]  (
-	.CLK(CTS_84),
-	.D(n_17421),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [26]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][27]  (
-	.CLK(CTS_83),
-	.D(n_17418),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [27]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][28]  (
-	.CLK(CTS_91),
-	.D(n_11726),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [28]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][29]  (
-	.CLK(CTS_84),
-	.D(n_17422),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [29]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][30]  (
-	.CLK(CTS_94),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [30]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][31]  (
-	.CLK(CTS_94),
-	.D(n_17438),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [31]),
-	.SCE(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][0]  (
-	.CLK(CTS_85),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [0]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][1]  (
-	.CLK(CTS_85),
-	.D(n_17433),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [1]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][2]  (
-	.CLK(CTS_32),
-	.D(n_11706),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [2]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][3]  (
-	.CLK(CTS_81),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [3]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][4]  (
-	.CLK(CTS_32),
-	.D(n_11708),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [4]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][5]  (
-	.CLK(CTS_81),
-	.D(n_17431),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [5]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][6]  (
-	.CLK(CTS_85),
-	.D(n_17430),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [6]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][7]  (
-	.CLK(CTS_85),
-	.D(n_11711),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [7]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][8]  (
-	.CLK(CTS_81),
-	.D(n_17429),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [8]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][9]  (
-	.CLK(CTS_85),
-	.D(n_11728),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [9]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][10]  (
-	.CLK(CTS_81),
-	.D(n_11729),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [10]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][11]  (
-	.CLK(CTS_81),
-	.D(n_17428),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [11]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][12]  (
-	.CLK(CTS_84),
-	.D(n_17427),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [12]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][13]  (
-	.CLK(CTS_85),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [13]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][14]  (
-	.CLK(CTS_84),
-	.D(n_18287),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [14]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][15]  (
-	.CLK(CTS_86),
-	.D(n_9471),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [15]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][16]  (
-	.CLK(CTS_83),
-	.D(n_11762),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [16]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][17]  (
-	.CLK(CTS_84),
-	.D(n_17420),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [17]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][18]  (
-	.CLK(CTS_83),
-	.D(n_18286),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [18]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][19]  (
-	.CLK(CTS_114),
-	.D(n_17419),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [19]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][20]  (
-	.CLK(CTS_86),
-	.D(n_9474),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [20]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][21]  (
-	.CLK(CTS_84),
-	.D(n_17417),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [21]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][22]  (
-	.CLK(CTS_83),
-	.D(n_11753),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [22]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][23]  (
-	.CLK(CTS_114),
-	.D(n_17425),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [23]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][24]  (
-	.CLK(CTS_84),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [24]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][25]  (
-	.CLK(CTS_31),
-	.D(n_11732),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [25]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][26]  (
-	.CLK(CTS_94),
-	.D(n_17421),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [26]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][27]  (
-	.CLK(CTS_114),
-	.D(n_17418),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [27]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][28]  (
-	.CLK(CTS_91),
-	.D(n_11726),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [28]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][29]  (
-	.CLK(CTS_84),
-	.D(n_17422),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [29]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][30]  (
-	.CLK(CTS_94),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [30]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][31]  (
-	.CLK(CTS_94),
-	.D(n_17438),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [31]),
-	.SCE(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][0]  (
-	.CLK(CTS_85),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [0]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][1]  (
-	.CLK(CTS_85),
-	.D(n_17433),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [1]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][2]  (
-	.CLK(CTS_32),
-	.D(n_11706),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [2]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][3]  (
-	.CLK(CTS_81),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [3]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][4]  (
-	.CLK(CTS_32),
-	.D(n_11708),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [4]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][5]  (
-	.CLK(CTS_81),
-	.D(n_17431),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [5]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][6]  (
-	.CLK(CTS_85),
-	.D(n_17430),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [6]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][7]  (
-	.CLK(CTS_85),
-	.D(n_11711),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [7]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][8]  (
-	.CLK(CTS_85),
-	.D(n_17429),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [8]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][9]  (
-	.CLK(CTS_85),
-	.D(n_11728),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [9]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][10]  (
-	.CLK(CTS_81),
-	.D(n_11729),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [10]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][11]  (
-	.CLK(CTS_85),
-	.D(n_17428),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [11]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][12]  (
-	.CLK(CTS_84),
-	.D(n_17427),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [12]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][13]  (
-	.CLK(CTS_85),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [13]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][14]  (
-	.CLK(CTS_84),
-	.D(n_18287),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [14]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][15]  (
-	.CLK(CTS_86),
-	.D(n_9471),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [15]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][16]  (
-	.CLK(CTS_83),
-	.D(n_11762),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [16]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][17]  (
-	.CLK(CTS_84),
-	.D(n_17420),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [17]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][18]  (
-	.CLK(CTS_83),
-	.D(n_18286),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [18]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][19]  (
-	.CLK(CTS_114),
-	.D(n_17419),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [19]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][20]  (
-	.CLK(CTS_29),
-	.D(n_9474),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [20]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][21]  (
-	.CLK(CTS_84),
-	.D(n_17417),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [21]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][22]  (
-	.CLK(CTS_83),
-	.D(n_11753),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [22]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][23]  (
-	.CLK(CTS_114),
-	.D(n_17425),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [23]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][24]  (
-	.CLK(CTS_84),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [24]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][25]  (
-	.CLK(CTS_31),
-	.D(n_11732),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [25]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][26]  (
-	.CLK(CTS_94),
-	.D(n_17421),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [26]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][27]  (
-	.CLK(CTS_114),
-	.D(n_17418),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [27]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][28]  (
-	.CLK(CTS_91),
-	.D(n_11726),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [28]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][29]  (
-	.CLK(CTS_84),
-	.D(n_17422),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [29]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][30]  (
-	.CLK(CTS_94),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [30]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][31]  (
-	.CLK(CTS_94),
-	.D(n_17438),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [31]),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][0]  (
-	.CLK(CTS_85),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [0]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][1]  (
-	.CLK(CTS_80),
-	.D(n_17433),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [1]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][2]  (
-	.CLK(CTS_32),
-	.D(n_11706),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [2]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][3]  (
-	.CLK(CTS_81),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [3]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][4]  (
-	.CLK(CTS_32),
-	.D(n_11708),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [4]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][5]  (
-	.CLK(CTS_81),
-	.D(n_17431),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [5]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][6]  (
-	.CLK(CTS_85),
-	.D(n_17430),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [6]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][7]  (
-	.CLK(CTS_85),
-	.D(n_11711),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [7]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][8]  (
-	.CLK(CTS_81),
-	.D(n_17429),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [8]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][9]  (
-	.CLK(CTS_85),
-	.D(n_11728),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [9]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][10]  (
-	.CLK(CTS_81),
-	.D(n_11729),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [10]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][11]  (
-	.CLK(CTS_81),
-	.D(n_17428),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [11]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][12]  (
-	.CLK(CTS_84),
-	.D(n_17427),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [12]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][13]  (
-	.CLK(CTS_78),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [13]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][14]  (
-	.CLK(CTS_84),
-	.D(n_18287),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [14]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][15]  (
-	.CLK(CTS_86),
-	.D(n_9471),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [15]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][16]  (
-	.CLK(CTS_83),
-	.D(n_11762),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [16]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][17]  (
-	.CLK(CTS_84),
-	.D(n_17420),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [17]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][18]  (
-	.CLK(CTS_83),
-	.D(n_18286),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [18]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][19]  (
-	.CLK(CTS_83),
-	.D(n_17419),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [19]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][20]  (
-	.CLK(CTS_86),
-	.D(n_9474),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [20]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][21]  (
-	.CLK(CTS_84),
-	.D(n_17417),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [21]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][22]  (
-	.CLK(CTS_83),
-	.D(n_11753),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [22]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][23]  (
-	.CLK(CTS_114),
-	.D(n_17425),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [23]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][24]  (
-	.CLK(CTS_84),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [24]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][25]  (
-	.CLK(CTS_86),
-	.D(n_11732),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [25]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][26]  (
-	.CLK(CTS_94),
-	.D(n_17421),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [26]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][27]  (
-	.CLK(CTS_114),
-	.D(n_17418),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [27]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][28]  (
-	.CLK(CTS_91),
-	.D(n_11726),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [28]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][29]  (
-	.CLK(CTS_84),
-	.D(n_17422),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [29]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][30]  (
-	.CLK(CTS_94),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [30]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][31]  (
-	.CLK(CTS_94),
-	.D(n_17438),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [31]),
-	.SCE(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][0]  (
-	.CLK(CTS_85),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [0]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][1]  (
-	.CLK(CTS_80),
-	.D(n_17433),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [1]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][2]  (
-	.CLK(CTS_32),
-	.D(n_11706),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [2]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][3]  (
-	.CLK(CTS_81),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [3]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][4]  (
-	.CLK(CTS_31),
-	.D(n_11708),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [4]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][5]  (
-	.CLK(CTS_85),
-	.D(n_17431),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [5]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][6]  (
-	.CLK(CTS_85),
-	.D(n_17430),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [6]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][7]  (
-	.CLK(CTS_81),
-	.D(n_11711),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [7]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][8]  (
-	.CLK(CTS_81),
-	.D(n_17429),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [8]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][9]  (
-	.CLK(CTS_85),
-	.D(n_11728),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [9]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][10]  (
-	.CLK(CTS_81),
-	.D(n_11729),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [10]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][11]  (
-	.CLK(CTS_80),
-	.D(n_17428),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [11]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][12]  (
-	.CLK(CTS_84),
-	.D(n_17427),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [12]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][13]  (
-	.CLK(CTS_80),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [13]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][14]  (
-	.CLK(CTS_84),
-	.D(n_18287),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [14]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][15]  (
-	.CLK(CTS_86),
-	.D(n_9471),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [15]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][16]  (
-	.CLK(CTS_83),
-	.D(n_11762),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [16]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][17]  (
-	.CLK(CTS_84),
-	.D(n_17420),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [17]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][18]  (
-	.CLK(CTS_83),
-	.D(n_18286),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [18]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][19]  (
-	.CLK(CTS_114),
-	.D(n_17419),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [19]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][20]  (
-	.CLK(CTS_29),
-	.D(n_9474),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [20]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][21]  (
-	.CLK(CTS_84),
-	.D(n_17417),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [21]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][22]  (
-	.CLK(CTS_83),
-	.D(n_11753),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [22]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][23]  (
-	.CLK(CTS_114),
-	.D(n_17425),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [23]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][24]  (
-	.CLK(CTS_84),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [24]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][25]  (
-	.CLK(CTS_31),
-	.D(n_11732),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [25]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][26]  (
-	.CLK(CTS_94),
-	.D(n_17421),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [26]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][27]  (
-	.CLK(CTS_114),
-	.D(n_17418),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [27]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][28]  (
-	.CLK(CTS_91),
-	.D(n_11726),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [28]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][29]  (
-	.CLK(CTS_84),
-	.D(n_17422),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [29]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][30]  (
-	.CLK(CTS_94),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [30]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][31]  (
-	.CLK(CTS_94),
-	.D(n_17438),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [31]),
-	.SCE(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][0]  (
-	.CLK(CTS_80),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [0]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][1]  (
-	.CLK(CTS_78),
-	.D(n_17433),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [1]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][2]  (
-	.CLK(CTS_32),
-	.D(n_11706),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [2]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][3]  (
-	.CLK(CTS_78),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [3]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][4]  (
-	.CLK(CTS_31),
-	.D(n_11708),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [4]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][5]  (
-	.CLK(CTS_85),
-	.D(n_17431),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [5]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][6]  (
-	.CLK(CTS_85),
-	.D(n_17430),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [6]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][7]  (
-	.CLK(CTS_85),
-	.D(n_11711),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [7]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][8]  (
-	.CLK(CTS_81),
-	.D(n_17429),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [8]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][9]  (
-	.CLK(CTS_85),
-	.D(n_11728),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [9]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][10]  (
-	.CLK(CTS_81),
-	.D(n_11729),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [10]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][11]  (
-	.CLK(CTS_78),
-	.D(n_17428),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [11]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][12]  (
-	.CLK(CTS_84),
-	.D(n_17427),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [12]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][13]  (
-	.CLK(CTS_78),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [13]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][14]  (
-	.CLK(CTS_84),
-	.D(n_18287),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [14]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][15]  (
-	.CLK(CTS_86),
-	.D(n_9471),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [15]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][16]  (
-	.CLK(CTS_83),
-	.D(n_11762),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [16]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][17]  (
-	.CLK(CTS_84),
-	.D(n_17420),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [17]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][18]  (
-	.CLK(CTS_83),
-	.D(n_18286),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [18]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][19]  (
-	.CLK(CTS_114),
-	.D(n_17419),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [19]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][20]  (
-	.CLK(CTS_86),
-	.D(n_9474),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [20]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][21]  (
-	.CLK(CTS_84),
-	.D(n_17417),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [21]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][22]  (
-	.CLK(CTS_83),
-	.D(n_11753),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [22]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][23]  (
-	.CLK(CTS_114),
-	.D(n_17425),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [23]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][24]  (
-	.CLK(CTS_84),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [24]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][25]  (
-	.CLK(CTS_31),
-	.D(n_11732),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [25]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][26]  (
-	.CLK(CTS_94),
-	.D(n_17421),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [26]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][27]  (
-	.CLK(CTS_91),
-	.D(n_17418),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [27]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][28]  (
-	.CLK(CTS_91),
-	.D(n_11726),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [28]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][29]  (
-	.CLK(CTS_84),
-	.D(n_17422),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [29]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][30]  (
-	.CLK(CTS_94),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [30]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][31]  (
-	.CLK(CTS_94),
-	.D(n_17438),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [31]),
-	.SCE(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][0]  (
-	.CLK(CTS_80),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [0]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][1]  (
-	.CLK(CTS_80),
-	.D(n_17433),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [1]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][2]  (
-	.CLK(CTS_32),
-	.D(n_11706),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [2]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][3]  (
-	.CLK(CTS_78),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [3]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][4]  (
-	.CLK(CTS_31),
-	.D(n_11708),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [4]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][5]  (
-	.CLK(CTS_81),
-	.D(n_17431),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [5]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][6]  (
-	.CLK(CTS_80),
-	.D(n_17430),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [6]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][7]  (
-	.CLK(CTS_81),
-	.D(n_11711),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [7]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][8]  (
-	.CLK(CTS_85),
-	.D(n_17429),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [8]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][9]  (
-	.CLK(CTS_85),
-	.D(n_11728),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [9]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][10]  (
-	.CLK(CTS_81),
-	.D(n_11729),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [10]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][11]  (
-	.CLK(CTS_78),
-	.D(n_17428),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [11]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][12]  (
-	.CLK(CTS_84),
-	.D(n_17427),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [12]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][13]  (
-	.CLK(CTS_78),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [13]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][14]  (
-	.CLK(CTS_84),
-	.D(n_18287),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [14]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][15]  (
-	.CLK(CTS_86),
-	.D(n_9471),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [15]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][16]  (
-	.CLK(CTS_83),
-	.D(n_11762),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [16]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][17]  (
-	.CLK(CTS_84),
-	.D(n_17420),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [17]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][18]  (
-	.CLK(CTS_83),
-	.D(n_18286),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [18]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][19]  (
-	.CLK(CTS_114),
-	.D(n_17419),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [19]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][20]  (
-	.CLK(CTS_29),
-	.D(n_9474),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [20]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][21]  (
-	.CLK(CTS_84),
-	.D(n_17417),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [21]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][22]  (
-	.CLK(CTS_83),
-	.D(n_11753),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [22]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][23]  (
-	.CLK(CTS_114),
-	.D(n_17425),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [23]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][24]  (
-	.CLK(CTS_84),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [24]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][25]  (
-	.CLK(CTS_31),
-	.D(n_11732),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [25]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][26]  (
-	.CLK(CTS_94),
-	.D(n_17421),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [26]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][27]  (
-	.CLK(CTS_91),
-	.D(n_17418),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [27]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][28]  (
-	.CLK(CTS_91),
-	.D(n_11726),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [28]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][29]  (
-	.CLK(CTS_84),
-	.D(n_17422),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [29]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][30]  (
-	.CLK(CTS_94),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [30]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][31]  (
-	.CLK(CTS_94),
-	.D(n_17438),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [31]),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][0]  (
-	.CLK(CTS_80),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [0]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][1]  (
-	.CLK(CTS_80),
-	.D(n_17433),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [1]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][2]  (
-	.CLK(CTS_32),
-	.D(n_11706),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [2]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][3]  (
-	.CLK(CTS_78),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [3]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][4]  (
-	.CLK(CTS_31),
-	.D(n_11708),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [4]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][5]  (
-	.CLK(CTS_85),
-	.D(n_17431),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [5]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][6]  (
-	.CLK(CTS_80),
-	.D(n_17430),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [6]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][7]  (
-	.CLK(CTS_85),
-	.D(n_11711),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [7]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][8]  (
-	.CLK(CTS_81),
-	.D(n_17429),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [8]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][9]  (
-	.CLK(CTS_85),
-	.D(n_11728),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [9]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][10]  (
-	.CLK(CTS_81),
-	.D(n_11729),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [10]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][11]  (
-	.CLK(CTS_78),
-	.D(n_17428),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [11]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][12]  (
-	.CLK(CTS_84),
-	.D(n_17427),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [12]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][13]  (
-	.CLK(CTS_78),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [13]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][14]  (
-	.CLK(CTS_84),
-	.D(n_18287),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [14]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][15]  (
-	.CLK(CTS_86),
-	.D(n_9471),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [15]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][16]  (
-	.CLK(CTS_83),
-	.D(n_11762),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [16]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][17]  (
-	.CLK(CTS_84),
-	.D(n_17420),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [17]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][18]  (
-	.CLK(CTS_83),
-	.D(n_18286),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [18]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][19]  (
-	.CLK(CTS_114),
-	.D(n_17419),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [19]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][20]  (
-	.CLK(CTS_86),
-	.D(n_9474),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [20]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][21]  (
-	.CLK(CTS_80),
-	.D(n_17417),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [21]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][22]  (
-	.CLK(CTS_83),
-	.D(n_11753),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [22]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][23]  (
-	.CLK(CTS_114),
-	.D(n_17425),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [23]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][24]  (
-	.CLK(CTS_82),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [24]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][25]  (
-	.CLK(CTS_29),
-	.D(n_11732),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [25]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][26]  (
-	.CLK(CTS_94),
-	.D(n_17421),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [26]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][27]  (
-	.CLK(CTS_91),
-	.D(n_17418),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [27]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][28]  (
-	.CLK(CTS_91),
-	.D(n_11726),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [28]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][29]  (
-	.CLK(CTS_84),
-	.D(n_17422),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [29]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][30]  (
-	.CLK(CTS_94),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [30]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][31]  (
-	.CLK(CTS_94),
-	.D(n_17438),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [31]),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][0]  (
-	.CLK(CTS_80),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [0]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][1]  (
-	.CLK(CTS_80),
-	.D(n_17433),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [1]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][2]  (
-	.CLK(CTS_32),
-	.D(n_11706),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [2]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][3]  (
-	.CLK(CTS_78),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [3]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][4]  (
-	.CLK(CTS_86),
-	.D(n_11708),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [4]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][5]  (
-	.CLK(CTS_78),
-	.D(n_17431),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [5]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][6]  (
-	.CLK(CTS_80),
-	.D(n_17430),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [6]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][7]  (
-	.CLK(CTS_81),
-	.D(n_11711),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [7]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][8]  (
-	.CLK(CTS_81),
-	.D(n_17429),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [8]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][9]  (
-	.CLK(CTS_85),
-	.D(n_11728),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [9]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][10]  (
-	.CLK(CTS_81),
-	.D(n_11729),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [10]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][11]  (
-	.CLK(CTS_78),
-	.D(n_17428),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [11]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][12]  (
-	.CLK(CTS_82),
-	.D(n_17427),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [12]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][13]  (
-	.CLK(CTS_78),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [13]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][14]  (
-	.CLK(CTS_82),
-	.D(n_18287),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [14]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][15]  (
-	.CLK(CTS_86),
-	.D(n_9471),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [15]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][16]  (
-	.CLK(CTS_83),
-	.D(n_11762),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [16]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][17]  (
-	.CLK(CTS_84),
-	.D(n_17420),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [17]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][18]  (
-	.CLK(CTS_83),
-	.D(n_18286),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [18]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][19]  (
-	.CLK(CTS_114),
-	.D(n_17419),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [19]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][20]  (
-	.CLK(CTS_86),
-	.D(n_9474),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [20]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][21]  (
-	.CLK(CTS_80),
-	.D(n_17417),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [21]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][22]  (
-	.CLK(CTS_83),
-	.D(n_11753),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [22]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][23]  (
-	.CLK(CTS_114),
-	.D(n_17425),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [23]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][24]  (
-	.CLK(CTS_82),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [24]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][25]  (
-	.CLK(CTS_31),
-	.D(n_11732),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [25]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][26]  (
-	.CLK(CTS_94),
-	.D(n_17421),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [26]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][27]  (
-	.CLK(CTS_114),
-	.D(n_17418),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [27]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][28]  (
-	.CLK(CTS_91),
-	.D(n_11726),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [28]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][29]  (
-	.CLK(CTS_82),
-	.D(n_17422),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [29]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][30]  (
-	.CLK(CTS_94),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [30]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][31]  (
-	.CLK(CTS_94),
-	.D(n_17438),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [31]),
-	.SCE(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][0]  (
-	.CLK(CTS_80),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [0]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][1]  (
-	.CLK(CTS_80),
-	.D(n_17433),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [1]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][2]  (
-	.CLK(CTS_32),
-	.D(n_11706),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [2]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][3]  (
-	.CLK(CTS_78),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [3]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][4]  (
-	.CLK(CTS_31),
-	.D(n_11708),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [4]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][5]  (
-	.CLK(CTS_81),
-	.D(n_17431),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [5]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][6]  (
-	.CLK(CTS_80),
-	.D(n_17430),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [6]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][7]  (
-	.CLK(CTS_85),
-	.D(n_11711),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [7]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][8]  (
-	.CLK(CTS_81),
-	.D(n_17429),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [8]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][9]  (
-	.CLK(CTS_85),
-	.D(n_11728),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [9]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][10]  (
-	.CLK(CTS_81),
-	.D(n_11729),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [10]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][11]  (
-	.CLK(CTS_78),
-	.D(n_17428),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [11]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][12]  (
-	.CLK(CTS_82),
-	.D(n_17427),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [12]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][13]  (
-	.CLK(CTS_78),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [13]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][14]  (
-	.CLK(CTS_82),
-	.D(n_18287),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [14]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][15]  (
-	.CLK(CTS_86),
-	.D(n_9471),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [15]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][16]  (
-	.CLK(CTS_83),
-	.D(n_11762),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [16]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][17]  (
-	.CLK(CTS_94),
-	.D(n_17420),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [17]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][18]  (
-	.CLK(CTS_83),
-	.D(n_18286),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [18]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][19]  (
-	.CLK(CTS_114),
-	.D(n_17419),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [19]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][20]  (
-	.CLK(CTS_86),
-	.D(n_9474),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [20]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][21]  (
-	.CLK(CTS_82),
-	.D(n_17417),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [21]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][22]  (
-	.CLK(CTS_83),
-	.D(n_11753),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [22]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][23]  (
-	.CLK(CTS_114),
-	.D(n_17425),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [23]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][24]  (
-	.CLK(CTS_82),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [24]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][25]  (
-	.CLK(CTS_31),
-	.D(n_11732),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [25]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][26]  (
-	.CLK(CTS_94),
-	.D(n_17421),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [26]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][27]  (
-	.CLK(CTS_91),
-	.D(n_17418),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [27]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][28]  (
-	.CLK(CTS_91),
-	.D(n_11726),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [28]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][29]  (
-	.CLK(CTS_82),
-	.D(n_17422),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [29]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][30]  (
-	.CLK(CTS_94),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [30]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][31]  (
-	.CLK(CTS_94),
-	.D(n_17438),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [31]),
-	.SCE(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][0]  (
-	.CLK(CTS_80),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [0]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][1]  (
-	.CLK(CTS_80),
-	.D(n_17433),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [1]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][2]  (
-	.CLK(CTS_32),
-	.D(n_11706),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [2]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][3]  (
-	.CLK(CTS_78),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [3]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][4]  (
-	.CLK(CTS_32),
-	.D(n_11708),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [4]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][5]  (
-	.CLK(CTS_81),
-	.D(n_17431),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [5]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][6]  (
-	.CLK(CTS_80),
-	.D(n_17430),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [6]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][7]  (
-	.CLK(CTS_85),
-	.D(n_11711),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [7]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][8]  (
-	.CLK(CTS_81),
-	.D(n_17429),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [8]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][9]  (
-	.CLK(CTS_85),
-	.D(n_11728),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [9]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][10]  (
-	.CLK(CTS_81),
-	.D(n_11729),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [10]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][11]  (
-	.CLK(CTS_78),
-	.D(n_17428),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [11]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][12]  (
-	.CLK(CTS_82),
-	.D(n_17427),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [12]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][13]  (
-	.CLK(CTS_80),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [13]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][14]  (
-	.CLK(CTS_82),
-	.D(n_18287),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [14]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][15]  (
-	.CLK(CTS_86),
-	.D(n_9471),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [15]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][16]  (
-	.CLK(CTS_83),
-	.D(n_11762),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [16]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][17]  (
-	.CLK(CTS_84),
-	.D(n_17420),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [17]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][18]  (
-	.CLK(CTS_83),
-	.D(n_18286),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [18]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][19]  (
-	.CLK(CTS_114),
-	.D(n_17419),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [19]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][20]  (
-	.CLK(CTS_86),
-	.D(n_9474),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [20]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][21]  (
-	.CLK(CTS_80),
-	.D(n_17417),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [21]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][22]  (
-	.CLK(CTS_83),
-	.D(n_11753),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [22]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][23]  (
-	.CLK(CTS_114),
-	.D(n_17425),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [23]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][24]  (
-	.CLK(CTS_82),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [24]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][25]  (
-	.CLK(CTS_86),
-	.D(n_11732),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [25]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][26]  (
-	.CLK(CTS_94),
-	.D(n_17421),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [26]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][27]  (
-	.CLK(CTS_91),
-	.D(n_17418),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [27]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][28]  (
-	.CLK(CTS_91),
-	.D(n_11726),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [28]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][29]  (
-	.CLK(CTS_82),
-	.D(n_17422),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [29]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][30]  (
-	.CLK(CTS_94),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [30]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][31]  (
-	.CLK(CTS_94),
-	.D(n_17438),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [31]),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][0]  (
-	.CLK(CTS_80),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [0]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][1]  (
-	.CLK(CTS_80),
-	.D(n_17433),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [1]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][2]  (
-	.CLK(CTS_32),
-	.D(n_11706),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [2]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][3]  (
-	.CLK(CTS_78),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [3]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][4]  (
-	.CLK(CTS_31),
-	.D(n_11708),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [4]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][5]  (
-	.CLK(CTS_78),
-	.D(n_17431),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [5]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][6]  (
-	.CLK(CTS_80),
-	.D(n_17430),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [6]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][7]  (
-	.CLK(CTS_85),
-	.D(n_11711),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [7]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][8]  (
-	.CLK(CTS_81),
-	.D(n_17429),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [8]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][9]  (
-	.CLK(CTS_85),
-	.D(n_11728),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [9]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][10]  (
-	.CLK(CTS_81),
-	.D(n_11729),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [10]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][11]  (
-	.CLK(CTS_78),
-	.D(n_17428),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [11]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][12]  (
-	.CLK(CTS_82),
-	.D(n_17427),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [12]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][13]  (
-	.CLK(CTS_80),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [13]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][14]  (
-	.CLK(CTS_82),
-	.D(n_18287),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [14]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][15]  (
-	.CLK(CTS_86),
-	.D(n_9471),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [15]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][16]  (
-	.CLK(CTS_83),
-	.D(n_11762),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [16]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][17]  (
-	.CLK(CTS_94),
-	.D(n_17420),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [17]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][18]  (
-	.CLK(CTS_86),
-	.D(n_18286),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [18]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][19]  (
-	.CLK(CTS_114),
-	.D(n_17419),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [19]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][20]  (
-	.CLK(CTS_86),
-	.D(n_9474),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [20]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][21]  (
-	.CLK(CTS_80),
-	.D(n_17417),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [21]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][22]  (
-	.CLK(CTS_83),
-	.D(n_11753),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [22]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][23]  (
-	.CLK(CTS_114),
-	.D(n_17425),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [23]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][24]  (
-	.CLK(CTS_82),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [24]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][25]  (
-	.CLK(CTS_86),
-	.D(n_11732),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [25]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][26]  (
-	.CLK(CTS_94),
-	.D(n_17421),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [26]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][27]  (
-	.CLK(CTS_91),
-	.D(n_17418),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [27]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][28]  (
-	.CLK(CTS_91),
-	.D(n_11726),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [28]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][29]  (
-	.CLK(CTS_82),
-	.D(n_17422),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [29]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][30]  (
-	.CLK(CTS_94),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [30]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][31]  (
-	.CLK(CTS_94),
-	.D(n_17438),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [31]),
-	.SCE(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][0]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][1]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [1]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17433),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][2]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [2]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11706),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][3]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][4]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [4]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11708),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][5]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [5]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17431),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][6]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [6]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17430),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][7]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [7]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11711),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][8]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [8]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17429),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][9]  (
-	.CLK(CTS_85),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [9]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11728),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][10]  (
-	.CLK(CTS_81),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [10]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11729),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][11]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [11]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17428),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][12]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [12]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17427),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][13]  (
-	.CLK(CTS_78),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][14]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [14]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18287),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][15]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [15]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9471),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][16]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [16]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11762),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][17]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [17]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17420),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][18]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [18]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18286),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][19]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [19]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17419),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][20]  (
-	.CLK(CTS_86),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [20]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_9474),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][21]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [21]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17417),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][22]  (
-	.CLK(CTS_83),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [22]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11753),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][23]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [23]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17425),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][24]  (
-	.CLK(CTS_80),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][25]  (
-	.CLK(CTS_31),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [25]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11732),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][26]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [26]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17421),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][27]  (
-	.CLK(CTS_114),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [27]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17418),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][28]  (
-	.CLK(CTS_91),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [28]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_11726),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][29]  (
-	.CLK(CTS_82),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [29]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17422),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][30]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][31]  (
-	.CLK(CTS_94),
-	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [31]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_17438),
-	.SCE(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][0]  (
-	.CLK(CTS_80),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [0]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][1]  (
-	.CLK(CTS_80),
-	.D(n_17433),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [1]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][2]  (
-	.CLK(CTS_32),
-	.D(n_11706),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [2]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][3]  (
-	.CLK(CTS_78),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [3]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][4]  (
-	.CLK(CTS_32),
-	.D(n_11708),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [4]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][5]  (
-	.CLK(CTS_78),
-	.D(n_17431),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [5]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][6]  (
-	.CLK(CTS_80),
-	.D(n_17430),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [6]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][7]  (
-	.CLK(CTS_81),
-	.D(n_11711),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [7]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][8]  (
-	.CLK(CTS_81),
-	.D(n_17429),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [8]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][9]  (
-	.CLK(CTS_85),
-	.D(n_11728),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [9]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][10]  (
-	.CLK(CTS_81),
-	.D(n_11729),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [10]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][11]  (
-	.CLK(CTS_78),
-	.D(n_17428),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [11]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][12]  (
-	.CLK(CTS_82),
-	.D(n_17427),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [12]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][13]  (
-	.CLK(CTS_78),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [13]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][14]  (
-	.CLK(CTS_82),
-	.D(n_18287),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [14]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][15]  (
-	.CLK(CTS_86),
-	.D(n_9471),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [15]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][16]  (
-	.CLK(CTS_83),
-	.D(n_11762),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [16]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][17]  (
-	.CLK(CTS_94),
-	.D(n_17420),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [17]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][18]  (
-	.CLK(CTS_86),
-	.D(n_18286),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [18]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][19]  (
-	.CLK(CTS_114),
-	.D(n_17419),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [19]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][20]  (
-	.CLK(CTS_86),
-	.D(n_9474),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [20]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][21]  (
-	.CLK(CTS_80),
-	.D(n_17417),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [21]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][22]  (
-	.CLK(CTS_83),
-	.D(n_11753),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [22]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][23]  (
-	.CLK(CTS_114),
-	.D(n_17425),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [23]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][24]  (
-	.CLK(CTS_82),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [24]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][25]  (
-	.CLK(CTS_31),
-	.D(n_11732),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [25]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][26]  (
-	.CLK(CTS_94),
-	.D(n_17421),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [26]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][27]  (
-	.CLK(CTS_91),
-	.D(n_17418),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [27]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][28]  (
-	.CLK(CTS_91),
-	.D(n_11726),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [28]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][29]  (
-	.CLK(CTS_82),
-	.D(n_17422),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [29]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][30]  (
-	.CLK(CTS_94),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [30]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][31]  (
-	.CLK(CTS_94),
-	.D(n_17438),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [31]),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][0]  (
-	.CLK(CTS_80),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [0]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][1]  (
-	.CLK(CTS_80),
-	.D(n_17433),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [1]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][2]  (
-	.CLK(CTS_32),
-	.D(n_11706),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [2]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][3]  (
-	.CLK(CTS_78),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [3]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][4]  (
-	.CLK(CTS_32),
-	.D(n_11708),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [4]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][5]  (
-	.CLK(CTS_81),
-	.D(n_17431),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [5]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][6]  (
-	.CLK(CTS_80),
-	.D(n_17430),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [6]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][7]  (
-	.CLK(CTS_81),
-	.D(n_11711),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [7]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][8]  (
-	.CLK(CTS_81),
-	.D(n_17429),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [8]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][9]  (
-	.CLK(CTS_85),
-	.D(n_11728),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [9]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][10]  (
-	.CLK(CTS_81),
-	.D(n_11729),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [10]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][11]  (
-	.CLK(CTS_78),
-	.D(n_17428),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [11]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][12]  (
-	.CLK(CTS_82),
-	.D(n_17427),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [12]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][13]  (
-	.CLK(CTS_78),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [13]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][14]  (
-	.CLK(CTS_82),
-	.D(n_18287),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [14]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][15]  (
-	.CLK(CTS_86),
-	.D(n_9471),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [15]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][16]  (
-	.CLK(CTS_83),
-	.D(n_11762),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [16]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][17]  (
-	.CLK(CTS_94),
-	.D(n_17420),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [17]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][18]  (
-	.CLK(CTS_83),
-	.D(n_18286),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [18]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][19]  (
-	.CLK(CTS_114),
-	.D(n_17419),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [19]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][20]  (
-	.CLK(CTS_86),
-	.D(n_9474),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [20]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][21]  (
-	.CLK(CTS_82),
-	.D(n_17417),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [21]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][22]  (
-	.CLK(CTS_83),
-	.D(n_11753),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [22]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][23]  (
-	.CLK(CTS_114),
-	.D(n_17425),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [23]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][24]  (
-	.CLK(CTS_82),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [24]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][25]  (
-	.CLK(CTS_86),
-	.D(n_11732),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [25]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][26]  (
-	.CLK(CTS_94),
-	.D(n_17421),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [26]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][27]  (
-	.CLK(CTS_114),
-	.D(n_17418),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [27]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][28]  (
-	.CLK(CTS_91),
-	.D(n_11726),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [28]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][29]  (
-	.CLK(CTS_82),
-	.D(n_17422),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [29]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][30]  (
-	.CLK(CTS_94),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [30]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][31]  (
-	.CLK(CTS_94),
-	.D(n_17438),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [31]),
-	.SCE(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][0]  (
-	.CLK(CTS_80),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [0]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][1]  (
-	.CLK(CTS_80),
-	.D(n_17433),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [1]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][2]  (
-	.CLK(CTS_32),
-	.D(n_11706),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [2]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][3]  (
-	.CLK(CTS_78),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [3]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][4]  (
-	.CLK(CTS_32),
-	.D(n_11708),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [4]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][5]  (
-	.CLK(CTS_78),
-	.D(n_17431),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [5]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][6]  (
-	.CLK(CTS_80),
-	.D(n_17430),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [6]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][7]  (
-	.CLK(CTS_81),
-	.D(n_11711),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [7]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][8]  (
-	.CLK(CTS_81),
-	.D(n_17429),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [8]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][9]  (
-	.CLK(CTS_85),
-	.D(n_11728),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [9]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][10]  (
-	.CLK(CTS_81),
-	.D(n_11729),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [10]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][11]  (
-	.CLK(CTS_78),
-	.D(n_17428),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [11]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][12]  (
-	.CLK(CTS_82),
-	.D(n_17427),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [12]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][13]  (
-	.CLK(CTS_78),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[13]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [13]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][14]  (
-	.CLK(CTS_84),
-	.D(n_18287),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [14]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][15]  (
-	.CLK(CTS_86),
-	.D(n_9471),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [15]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][16]  (
-	.CLK(CTS_83),
-	.D(n_11762),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [16]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][17]  (
-	.CLK(CTS_94),
-	.D(n_17420),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [17]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][18]  (
-	.CLK(CTS_86),
-	.D(n_18286),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [18]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][19]  (
-	.CLK(CTS_114),
-	.D(n_17419),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [19]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][20]  (
-	.CLK(CTS_29),
-	.D(n_9474),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [20]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][21]  (
-	.CLK(CTS_84),
-	.D(n_17417),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [21]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][22]  (
-	.CLK(CTS_83),
-	.D(n_11753),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [22]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][23]  (
-	.CLK(CTS_114),
-	.D(n_17425),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [23]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][24]  (
-	.CLK(CTS_80),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [24]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][25]  (
-	.CLK(CTS_86),
-	.D(n_11732),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [25]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][26]  (
-	.CLK(CTS_94),
-	.D(n_17421),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [26]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][27]  (
-	.CLK(CTS_114),
-	.D(n_17418),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [27]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][28]  (
-	.CLK(CTS_91),
-	.D(n_11726),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [28]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][29]  (
-	.CLK(CTS_82),
-	.D(n_17422),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [29]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][30]  (
-	.CLK(CTS_94),
-	.D(soc_top_u_top_u_core_fp_rf_wdata_wb[30]),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [30]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][31]  (
-	.CLK(CTS_94),
-	.D(n_17438),
-	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [31]),
-	.SCE(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][0]  (
-	.CLK(CTS_31),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [0]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][1]  (
-	.CLK(CTS_32),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [1]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][2]  (
-	.CLK(CTS_32),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [2]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][3]  (
-	.CLK(CTS_31),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [3]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][4]  (
-	.CLK(CTS_31),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [4]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][5]  (
-	.CLK(CTS_32),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [5]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][6]  (
-	.CLK(CTS_31),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [6]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][7]  (
-	.CLK(CTS_32),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [7]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][8]  (
-	.CLK(CTS_32),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [8]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][9]  (
-	.CLK(CTS_32),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [9]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][10]  (
-	.CLK(CTS_37),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [10]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][11]  (
-	.CLK(CTS_32),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [11]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][12]  (
-	.CLK(CTS_37),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [12]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][13]  (
-	.CLK(CTS_37),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [13]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][14]  (
-	.CLK(CTS_31),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [14]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][15]  (
-	.CLK(CTS_29),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [15]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][16]  (
-	.CLK(CTS_29),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [16]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][17]  (
-	.CLK(CTS_31),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [17]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][18]  (
-	.CLK(CTS_29),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [18]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][19]  (
-	.CLK(CTS_29),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [19]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][20]  (
-	.CLK(CTS_29),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [20]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][21]  (
-	.CLK(CTS_31),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [21]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][22]  (
-	.CLK(CTS_31),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [22]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][23]  (
-	.CLK(CTS_31),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [23]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][24]  (
-	.CLK(CTS_31),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [24]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][25]  (
-	.CLK(CTS_37),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [25]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][26]  (
-	.CLK(CTS_37),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [26]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][27]  (
-	.CLK(CTS_29),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [27]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][28]  (
-	.CLK(CTS_29),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [28]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][29]  (
-	.CLK(CTS_29),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [29]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][30]  (
-	.CLK(CTS_37),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [30]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][31]  (
-	.CLK(CTS_31),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [31]),
-	.SCE(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][0]  (
-	.CLK(CTS_31),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [0]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][1]  (
-	.CLK(CTS_32),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [1]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][2]  (
-	.CLK(CTS_32),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [2]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][3]  (
-	.CLK(CTS_31),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [3]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][4]  (
-	.CLK(CTS_31),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [4]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][5]  (
-	.CLK(CTS_32),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [5]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][6]  (
-	.CLK(CTS_31),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [6]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][7]  (
-	.CLK(CTS_32),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [7]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][8]  (
-	.CLK(CTS_32),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [8]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][9]  (
-	.CLK(CTS_31),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [9]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][10]  (
-	.CLK(CTS_37),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [10]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][11]  (
-	.CLK(CTS_32),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [11]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][12]  (
-	.CLK(CTS_37),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [12]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][13]  (
-	.CLK(CTS_37),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [13]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][14]  (
-	.CLK(CTS_31),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [14]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][15]  (
-	.CLK(CTS_29),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [15]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][16]  (
-	.CLK(CTS_31),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [16]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][17]  (
-	.CLK(CTS_31),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [17]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][18]  (
-	.CLK(CTS_29),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [18]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][19]  (
-	.CLK(CTS_29),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [19]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][20]  (
-	.CLK(CTS_29),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [20]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][21]  (
-	.CLK(CTS_31),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [21]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][22]  (
-	.CLK(CTS_31),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [22]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][23]  (
-	.CLK(CTS_31),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [23]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][24]  (
-	.CLK(CTS_29),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [24]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][25]  (
-	.CLK(CTS_37),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [25]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][26]  (
-	.CLK(CTS_37),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [26]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][27]  (
-	.CLK(CTS_29),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [27]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][28]  (
-	.CLK(CTS_37),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [28]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][29]  (
-	.CLK(CTS_29),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [29]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][30]  (
-	.CLK(CTS_37),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [30]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][31]  (
-	.CLK(CTS_31),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [31]),
-	.SCE(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][0]  (
-	.CLK(CTS_31),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [0]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1111),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][1]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [1]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1112),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][2]  (
-	.CLK(CTS_31),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [2]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1113),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][3]  (
-	.CLK(CTS_30),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [3]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1114),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][4]  (
-	.CLK(CTS_30),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [4]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1115),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][5]  (
-	.CLK(CTS_31),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [5]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1116),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][6]  (
-	.CLK(CTS_30),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [6]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1117),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][7]  (
-	.CLK(CTS_32),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [7]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1118),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][8]  (
-	.CLK(CTS_31),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [8]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1119),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][9]  (
-	.CLK(CTS_30),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [9]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1096),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][10]  (
-	.CLK(CTS_29),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [10]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1098),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][11]  (
-	.CLK(CTS_30),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [11]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1120),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][12]  (
-	.CLK(CTS_30),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [12]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1121),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][13]  (
-	.CLK(CTS_29),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [13]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1122),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][14]  (
-	.CLK(CTS_30),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [14]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1123),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][15]  (
-	.CLK(CTS_30),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [15]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1100),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][16]  (
-	.CLK(CTS_31),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [16]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1124),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][17]  (
-	.CLK(CTS_30),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [17]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1125),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][18]  (
-	.CLK(CTS_31),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [18]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1101),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][19]  (
-	.CLK(CTS_30),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [19]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1126),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][20]  (
-	.CLK(CTS_29),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [20]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1127),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][21]  (
-	.CLK(CTS_30),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [21]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1097),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][22]  (
-	.CLK(CTS_30),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [22]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1099),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][23]  (
-	.CLK(CTS_30),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [23]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1110),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][24]  (
-	.CLK(CTS_30),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [24]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1102),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][25]  (
-	.CLK(CTS_29),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [25]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1109),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][26]  (
-	.CLK(CTS_29),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [26]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1108),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][27]  (
-	.CLK(CTS_30),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [27]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1107),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][28]  (
-	.CLK(CTS_30),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [28]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1103),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][29]  (
-	.CLK(CTS_29),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [29]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1106),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][30]  (
-	.CLK(CTS_29),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [30]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1104),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][31]  (
-	.CLK(CTS_30),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [31]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1105),
-	.SCE(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][0]  (
-	.CLK(CTS_31),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [0]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][1]  (
-	.CLK(CTS_32),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [1]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][2]  (
-	.CLK(CTS_32),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [2]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][3]  (
-	.CLK(CTS_30),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [3]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][4]  (
-	.CLK(CTS_30),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [4]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][5]  (
-	.CLK(CTS_31),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [5]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][6]  (
-	.CLK(CTS_30),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [6]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][7]  (
-	.CLK(CTS_32),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [7]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][8]  (
-	.CLK(CTS_31),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [8]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][9]  (
-	.CLK(CTS_32),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [9]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][10]  (
-	.CLK(CTS_29),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [10]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][11]  (
-	.CLK(CTS_32),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [11]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][12]  (
-	.CLK(CTS_30),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [12]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][13]  (
-	.CLK(CTS_29),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [13]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][14]  (
-	.CLK(CTS_30),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [14]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][15]  (
-	.CLK(CTS_30),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [15]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][16]  (
-	.CLK(CTS_29),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [16]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][17]  (
-	.CLK(CTS_24),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [17]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][18]  (
-	.CLK(CTS_29),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [18]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][19]  (
-	.CLK(CTS_30),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [19]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][20]  (
-	.CLK(CTS_29),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [20]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][21]  (
-	.CLK(CTS_30),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [21]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][22]  (
-	.CLK(CTS_24),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [22]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][23]  (
-	.CLK(CTS_24),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [23]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][24]  (
-	.CLK(CTS_30),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [24]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][25]  (
-	.CLK(CTS_29),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [25]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][26]  (
-	.CLK(CTS_29),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [26]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][27]  (
-	.CLK(CTS_24),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [27]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][28]  (
-	.CLK(CTS_24),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [28]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][29]  (
-	.CLK(CTS_29),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [29]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][30]  (
-	.CLK(CTS_29),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [30]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][31]  (
-	.CLK(CTS_24),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [31]),
-	.SCE(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][0]  (
-	.CLK(CTS_31),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [0]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][1]  (
-	.CLK(CTS_32),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [1]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][2]  (
-	.CLK(CTS_32),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [2]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][3]  (
-	.CLK(CTS_31),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [3]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][4]  (
-	.CLK(CTS_30),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [4]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][5]  (
-	.CLK(CTS_31),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [5]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][6]  (
-	.CLK(CTS_30),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [6]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][7]  (
-	.CLK(CTS_32),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [7]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][8]  (
-	.CLK(CTS_31),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [8]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][9]  (
-	.CLK(CTS_30),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [9]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][10]  (
-	.CLK(CTS_37),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [10]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][11]  (
-	.CLK(CTS_30),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [11]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][12]  (
-	.CLK(CTS_30),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [12]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][13]  (
-	.CLK(CTS_37),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [13]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][14]  (
-	.CLK(CTS_30),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [14]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][15]  (
-	.CLK(CTS_30),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [15]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][16]  (
-	.CLK(CTS_31),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [16]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][17]  (
-	.CLK(CTS_30),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [17]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][18]  (
-	.CLK(CTS_29),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [18]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][19]  (
-	.CLK(CTS_30),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [19]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][20]  (
-	.CLK(CTS_29),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [20]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][21]  (
-	.CLK(CTS_30),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [21]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][22]  (
-	.CLK(CTS_24),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [22]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][23]  (
-	.CLK(CTS_24),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [23]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][24]  (
-	.CLK(CTS_24),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [24]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][25]  (
-	.CLK(CTS_37),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [25]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][26]  (
-	.CLK(CTS_37),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [26]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][27]  (
-	.CLK(CTS_30),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [27]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][28]  (
-	.CLK(CTS_30),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [28]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][29]  (
-	.CLK(CTS_37),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [29]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][30]  (
-	.CLK(CTS_37),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [30]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][31]  (
-	.CLK(CTS_24),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [31]),
-	.SCE(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][0]  (
-	.CLK(CTS_31),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [0]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][1]  (
-	.CLK(CTS_32),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [1]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][2]  (
-	.CLK(CTS_31),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [2]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][3]  (
-	.CLK(CTS_30),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [3]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][4]  (
-	.CLK(CTS_30),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [4]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][5]  (
-	.CLK(CTS_31),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [5]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][6]  (
-	.CLK(CTS_30),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [6]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][7]  (
-	.CLK(CTS_32),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [7]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][8]  (
-	.CLK(CTS_31),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [8]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][9]  (
-	.CLK(CTS_30),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [9]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][10]  (
-	.CLK(CTS_29),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [10]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][11]  (
-	.CLK(CTS_30),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [11]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][12]  (
-	.CLK(CTS_30),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [12]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][13]  (
-	.CLK(CTS_29),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [13]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][14]  (
-	.CLK(CTS_30),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [14]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][15]  (
-	.CLK(CTS_30),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [15]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][16]  (
-	.CLK(CTS_31),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [16]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][17]  (
-	.CLK(CTS_30),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [17]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][18]  (
-	.CLK(CTS_29),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [18]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][19]  (
-	.CLK(CTS_30),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [19]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][20]  (
-	.CLK(CTS_29),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [20]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][21]  (
-	.CLK(CTS_30),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [21]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][22]  (
-	.CLK(CTS_30),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [22]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][23]  (
-	.CLK(CTS_30),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [23]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][24]  (
-	.CLK(CTS_30),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [24]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][25]  (
-	.CLK(CTS_29),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [25]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][26]  (
-	.CLK(CTS_29),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [26]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][27]  (
-	.CLK(CTS_30),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [27]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][28]  (
-	.CLK(CTS_30),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [28]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][29]  (
-	.CLK(CTS_29),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [29]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][30]  (
-	.CLK(CTS_29),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [30]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][31]  (
-	.CLK(CTS_30),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [31]),
-	.SCE(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][0]  (
-	.CLK(CTS_24),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [0]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][1]  (
-	.CLK(CTS_24),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [1]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][2]  (
-	.CLK(CTS_24),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [2]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][3]  (
-	.CLK(CTS_24),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [3]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][4]  (
-	.CLK(CTS_24),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [4]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][5]  (
-	.CLK(CTS_24),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [5]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][6]  (
-	.CLK(CTS_24),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [6]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][7]  (
-	.CLK(CTS_30),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [7]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][8]  (
-	.CLK(CTS_21),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [8]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][9]  (
-	.CLK(CTS_21),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [9]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][10]  (
-	.CLK(CTS_30),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [10]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][11]  (
-	.CLK(CTS_24),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [11]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][12]  (
-	.CLK(CTS_24),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [12]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][13]  (
-	.CLK(CTS_24),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [13]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][14]  (
-	.CLK(CTS_21),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [14]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][15]  (
-	.CLK(CTS_24),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [15]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][16]  (
-	.CLK(CTS_37),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [16]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][17]  (
-	.CLK(CTS_24),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [17]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][18]  (
-	.CLK(CTS_41),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [18]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][19]  (
-	.CLK(CTS_24),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [19]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][20]  (
-	.CLK(CTS_37),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [20]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][21]  (
-	.CLK(CTS_24),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [21]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][22]  (
-	.CLK(CTS_24),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [22]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][23]  (
-	.CLK(CTS_21),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [23]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][24]  (
-	.CLK(CTS_24),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [24]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][25]  (
-	.CLK(CTS_24),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [25]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][26]  (
-	.CLK(CTS_37),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [26]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][27]  (
-	.CLK(CTS_41),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [27]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][28]  (
-	.CLK(CTS_36),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [28]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][29]  (
-	.CLK(CTS_36),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [29]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][30]  (
-	.CLK(CTS_36),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [30]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][31]  (
-	.CLK(CTS_41),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [31]),
-	.SCE(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][0]  (
-	.CLK(CTS_24),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [0]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][1]  (
-	.CLK(CTS_24),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [1]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][2]  (
-	.CLK(CTS_24),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [2]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][3]  (
-	.CLK(CTS_24),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [3]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][4]  (
-	.CLK(CTS_24),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [4]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][5]  (
-	.CLK(CTS_24),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [5]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][6]  (
-	.CLK(CTS_24),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [6]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][7]  (
-	.CLK(CTS_24),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [7]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][8]  (
-	.CLK(CTS_24),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [8]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][9]  (
-	.CLK(CTS_21),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [9]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][10]  (
-	.CLK(CTS_24),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [10]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][11]  (
-	.CLK(CTS_24),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [11]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][12]  (
-	.CLK(CTS_24),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [12]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][13]  (
-	.CLK(CTS_30),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [13]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][14]  (
-	.CLK(CTS_24),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [14]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][15]  (
-	.CLK(CTS_24),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [15]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][16]  (
-	.CLK(CTS_36),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [16]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][17]  (
-	.CLK(CTS_24),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [17]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][18]  (
-	.CLK(CTS_41),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [18]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][19]  (
-	.CLK(CTS_24),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [19]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][20]  (
-	.CLK(CTS_41),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [20]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][21]  (
-	.CLK(CTS_24),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [21]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][22]  (
-	.CLK(CTS_24),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [22]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][23]  (
-	.CLK(CTS_24),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [23]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][24]  (
-	.CLK(CTS_24),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [24]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][25]  (
-	.CLK(CTS_24),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [25]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][26]  (
-	.CLK(CTS_36),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [26]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][27]  (
-	.CLK(CTS_36),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [27]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][28]  (
-	.CLK(CTS_24),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [28]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][29]  (
-	.CLK(CTS_36),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [29]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][30]  (
-	.CLK(CTS_41),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [30]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][31]  (
-	.CLK(CTS_36),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [31]),
-	.SCE(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][0]  (
-	.CLK(CTS_24),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [0]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][1]  (
-	.CLK(CTS_24),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [1]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][2]  (
-	.CLK(CTS_24),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [2]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][3]  (
-	.CLK(CTS_24),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [3]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][4]  (
-	.CLK(CTS_24),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [4]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][5]  (
-	.CLK(CTS_24),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [5]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][6]  (
-	.CLK(CTS_24),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [6]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][7]  (
-	.CLK(CTS_24),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [7]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][8]  (
-	.CLK(CTS_24),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [8]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][9]  (
-	.CLK(CTS_24),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [9]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][10]  (
-	.CLK(CTS_36),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [10]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][11]  (
-	.CLK(CTS_24),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [11]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][12]  (
-	.CLK(CTS_36),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [12]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][13]  (
-	.CLK(CTS_36),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [13]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][14]  (
-	.CLK(CTS_24),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [14]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][15]  (
-	.CLK(CTS_36),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [15]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][16]  (
-	.CLK(CTS_41),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [16]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][17]  (
-	.CLK(CTS_41),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [17]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][18]  (
-	.CLK(CTS_41),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [18]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][19]  (
-	.CLK(CTS_41),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [19]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][20]  (
-	.CLK(CTS_41),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [20]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][21]  (
-	.CLK(CTS_24),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [21]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][22]  (
-	.CLK(CTS_36),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [22]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][23]  (
-	.CLK(CTS_41),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [23]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][24]  (
-	.CLK(CTS_36),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [24]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][25]  (
-	.CLK(CTS_41),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [25]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][26]  (
-	.CLK(CTS_41),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [26]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][27]  (
-	.CLK(CTS_41),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [27]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][28]  (
-	.CLK(CTS_36),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [28]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][29]  (
-	.CLK(CTS_36),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [29]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][30]  (
-	.CLK(CTS_41),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [30]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][31]  (
-	.CLK(CTS_41),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [31]),
-	.SCE(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][0]  (
-	.CLK(CTS_24),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [0]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][1]  (
-	.CLK(CTS_24),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [1]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][2]  (
-	.CLK(CTS_24),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [2]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][3]  (
-	.CLK(CTS_24),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [3]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][4]  (
-	.CLK(CTS_24),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [4]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][5]  (
-	.CLK(CTS_24),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [5]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][6]  (
-	.CLK(CTS_24),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [6]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][7]  (
-	.CLK(CTS_24),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [7]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][8]  (
-	.CLK(CTS_24),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [8]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][9]  (
-	.CLK(CTS_24),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [9]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][10]  (
-	.CLK(CTS_36),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [10]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][11]  (
-	.CLK(CTS_24),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [11]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][12]  (
-	.CLK(CTS_36),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [12]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][13]  (
-	.CLK(CTS_36),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [13]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][14]  (
-	.CLK(CTS_24),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [14]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][15]  (
-	.CLK(CTS_41),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [15]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][16]  (
-	.CLK(CTS_41),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [16]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][17]  (
-	.CLK(CTS_41),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [17]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][18]  (
-	.CLK(CTS_41),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [18]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][19]  (
-	.CLK(CTS_41),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [19]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][20]  (
-	.CLK(CTS_41),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [20]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][21]  (
-	.CLK(CTS_24),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [21]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][22]  (
-	.CLK(CTS_36),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [22]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][23]  (
-	.CLK(CTS_41),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [23]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][24]  (
-	.CLK(CTS_36),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [24]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][25]  (
-	.CLK(CTS_36),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [25]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][26]  (
-	.CLK(CTS_41),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [26]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][27]  (
-	.CLK(CTS_41),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [27]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][28]  (
-	.CLK(CTS_36),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [28]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][29]  (
-	.CLK(CTS_41),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [29]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][30]  (
-	.CLK(CTS_41),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [30]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][31]  (
-	.CLK(CTS_41),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [31]),
-	.SCE(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][0]  (
-	.CLK(CTS_24),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [0]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][1]  (
-	.CLK(CTS_24),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [1]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][2]  (
-	.CLK(CTS_24),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [2]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][3]  (
-	.CLK(CTS_24),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [3]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][4]  (
-	.CLK(CTS_24),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [4]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][5]  (
-	.CLK(CTS_37),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [5]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][6]  (
-	.CLK(CTS_24),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [6]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][7]  (
-	.CLK(CTS_30),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [7]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][8]  (
-	.CLK(CTS_24),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [8]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][9]  (
-	.CLK(CTS_24),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [9]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][10]  (
-	.CLK(CTS_37),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [10]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][11]  (
-	.CLK(CTS_24),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [11]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][12]  (
-	.CLK(CTS_36),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [12]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][13]  (
-	.CLK(CTS_37),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [13]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][14]  (
-	.CLK(CTS_24),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [14]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][15]  (
-	.CLK(CTS_36),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [15]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][16]  (
-	.CLK(CTS_37),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [16]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][17]  (
-	.CLK(CTS_36),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [17]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][18]  (
-	.CLK(CTS_37),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [18]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][19]  (
-	.CLK(CTS_36),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [19]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][20]  (
-	.CLK(CTS_37),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [20]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][21]  (
-	.CLK(CTS_24),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [21]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][22]  (
-	.CLK(CTS_36),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [22]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][23]  (
-	.CLK(CTS_36),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [23]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][24]  (
-	.CLK(CTS_36),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [24]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][25]  (
-	.CLK(CTS_29),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [25]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][26]  (
-	.CLK(CTS_37),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [26]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][27]  (
-	.CLK(CTS_36),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [27]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][28]  (
-	.CLK(CTS_36),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [28]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][29]  (
-	.CLK(CTS_37),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [29]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][30]  (
-	.CLK(CTS_37),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [30]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][31]  (
-	.CLK(CTS_36),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [31]),
-	.SCE(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][0]  (
-	.CLK(CTS_24),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [0]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][1]  (
-	.CLK(CTS_24),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [1]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][2]  (
-	.CLK(CTS_24),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [2]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][3]  (
-	.CLK(CTS_24),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [3]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][4]  (
-	.CLK(CTS_24),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [4]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][5]  (
-	.CLK(CTS_37),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [5]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][6]  (
-	.CLK(CTS_24),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [6]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][7]  (
-	.CLK(CTS_24),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [7]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][8]  (
-	.CLK(CTS_21),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [8]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][9]  (
-	.CLK(CTS_21),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [9]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][10]  (
-	.CLK(CTS_37),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [10]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][11]  (
-	.CLK(CTS_24),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [11]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][12]  (
-	.CLK(CTS_36),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [12]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][13]  (
-	.CLK(CTS_37),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [13]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][14]  (
-	.CLK(CTS_24),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [14]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][15]  (
-	.CLK(CTS_36),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [15]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][16]  (
-	.CLK(CTS_37),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [16]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][17]  (
-	.CLK(CTS_36),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [17]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][18]  (
-	.CLK(CTS_37),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [18]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][19]  (
-	.CLK(CTS_36),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [19]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][20]  (
-	.CLK(CTS_37),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [20]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][21]  (
-	.CLK(CTS_24),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [21]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][22]  (
-	.CLK(CTS_36),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [22]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][23]  (
-	.CLK(CTS_36),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [23]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][24]  (
-	.CLK(CTS_36),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [24]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][25]  (
-	.CLK(CTS_29),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [25]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][26]  (
-	.CLK(CTS_37),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [26]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][27]  (
-	.CLK(CTS_36),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [27]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][28]  (
-	.CLK(CTS_36),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [28]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][29]  (
-	.CLK(CTS_37),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [29]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][30]  (
-	.CLK(CTS_37),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [30]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][31]  (
-	.CLK(CTS_36),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [31]),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][0]  (
-	.CLK(CTS_36),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [0]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][1]  (
-	.CLK(CTS_36),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [1]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][2]  (
-	.CLK(CTS_36),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [2]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][3]  (
-	.CLK(CTS_36),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [3]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][4]  (
-	.CLK(CTS_36),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [4]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][5]  (
-	.CLK(CTS_36),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [5]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][6]  (
-	.CLK(CTS_36),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [6]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][7]  (
-	.CLK(CTS_36),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [7]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][8]  (
-	.CLK(CTS_38),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [8]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][9]  (
-	.CLK(CTS_38),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [9]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][10]  (
-	.CLK(CTS_36),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [10]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][11]  (
-	.CLK(CTS_36),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [11]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][12]  (
-	.CLK(CTS_36),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [12]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][13]  (
-	.CLK(CTS_36),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [13]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][14]  (
-	.CLK(CTS_36),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [14]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][15]  (
-	.CLK(CTS_36),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [15]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][16]  (
-	.CLK(CTS_36),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [16]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][17]  (
-	.CLK(CTS_36),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [17]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][18]  (
-	.CLK(CTS_41),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [18]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][19]  (
-	.CLK(CTS_36),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [19]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][20]  (
-	.CLK(CTS_41),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [20]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][21]  (
-	.CLK(CTS_36),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [21]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][22]  (
-	.CLK(CTS_36),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [22]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][23]  (
-	.CLK(CTS_36),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [23]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][24]  (
-	.CLK(CTS_36),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [24]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][25]  (
-	.CLK(CTS_36),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [25]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][26]  (
-	.CLK(CTS_36),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [26]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][27]  (
-	.CLK(CTS_36),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [27]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][28]  (
-	.CLK(CTS_36),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [28]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][29]  (
-	.CLK(CTS_36),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [29]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][30]  (
-	.CLK(CTS_36),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [30]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][31]  (
-	.CLK(CTS_36),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [31]),
-	.SCE(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][0]  (
-	.CLK(CTS_36),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [0]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][1]  (
-	.CLK(CTS_36),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [1]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][2]  (
-	.CLK(CTS_36),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [2]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][3]  (
-	.CLK(CTS_36),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [3]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][4]  (
-	.CLK(CTS_36),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [4]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][5]  (
-	.CLK(CTS_36),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [5]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][6]  (
-	.CLK(CTS_36),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [6]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][7]  (
-	.CLK(CTS_24),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [7]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][8]  (
-	.CLK(CTS_38),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [8]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][9]  (
-	.CLK(CTS_38),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [9]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][10]  (
-	.CLK(CTS_36),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [10]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][11]  (
-	.CLK(CTS_36),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [11]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][12]  (
-	.CLK(CTS_36),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [12]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][13]  (
-	.CLK(CTS_36),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [13]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][14]  (
-	.CLK(CTS_36),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [14]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][15]  (
-	.CLK(CTS_36),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [15]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][16]  (
-	.CLK(CTS_36),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [16]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][17]  (
-	.CLK(CTS_36),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [17]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][18]  (
-	.CLK(CTS_41),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [18]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][19]  (
-	.CLK(CTS_36),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [19]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][20]  (
-	.CLK(CTS_41),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [20]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][21]  (
-	.CLK(CTS_36),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [21]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][22]  (
-	.CLK(CTS_36),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [22]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][23]  (
-	.CLK(CTS_36),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [23]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][24]  (
-	.CLK(CTS_36),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [24]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][25]  (
-	.CLK(CTS_24),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [25]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][26]  (
-	.CLK(CTS_36),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [26]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][27]  (
-	.CLK(CTS_36),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [27]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][28]  (
-	.CLK(CTS_36),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [28]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][29]  (
-	.CLK(CTS_36),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [29]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][30]  (
-	.CLK(CTS_41),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [30]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][31]  (
-	.CLK(CTS_36),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [31]),
-	.SCE(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][0]  (
-	.CLK(CTS_31),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [0]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][1]  (
-	.CLK(CTS_32),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [1]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][2]  (
-	.CLK(CTS_32),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [2]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][3]  (
-	.CLK(CTS_30),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [3]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][4]  (
-	.CLK(CTS_30),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [4]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][5]  (
-	.CLK(CTS_31),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [5]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][6]  (
-	.CLK(CTS_30),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [6]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][7]  (
-	.CLK(CTS_32),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [7]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][8]  (
-	.CLK(CTS_31),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [8]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][9]  (
-	.CLK(CTS_30),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [9]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][10]  (
-	.CLK(CTS_37),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [10]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][11]  (
-	.CLK(CTS_32),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [11]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][12]  (
-	.CLK(CTS_30),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [12]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][13]  (
-	.CLK(CTS_37),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [13]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][14]  (
-	.CLK(CTS_30),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [14]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][15]  (
-	.CLK(CTS_30),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [15]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][16]  (
-	.CLK(CTS_31),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [16]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][17]  (
-	.CLK(CTS_30),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [17]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][18]  (
-	.CLK(CTS_29),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [18]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][19]  (
-	.CLK(CTS_30),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [19]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][20]  (
-	.CLK(CTS_29),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [20]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][21]  (
-	.CLK(CTS_30),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [21]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][22]  (
-	.CLK(CTS_30),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [22]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][23]  (
-	.CLK(CTS_30),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [23]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][24]  (
-	.CLK(CTS_30),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [24]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][25]  (
-	.CLK(CTS_37),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [25]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][26]  (
-	.CLK(CTS_29),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [26]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][27]  (
-	.CLK(CTS_30),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [27]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][28]  (
-	.CLK(CTS_30),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [28]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][29]  (
-	.CLK(CTS_29),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [29]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][30]  (
-	.CLK(CTS_29),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [30]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][31]  (
-	.CLK(CTS_30),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [31]),
-	.SCE(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][0]  (
-	.CLK(CTS_37),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [0]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][1]  (
-	.CLK(CTS_39),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [1]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][2]  (
-	.CLK(CTS_37),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [2]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][3]  (
-	.CLK(CTS_37),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [3]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][4]  (
-	.CLK(CTS_90),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [4]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][5]  (
-	.CLK(CTS_43),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [5]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][6]  (
-	.CLK(CTS_90),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [6]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][7]  (
-	.CLK(CTS_90),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [7]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][8]  (
-	.CLK(CTS_37),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [8]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][9]  (
-	.CLK(CTS_39),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [9]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][10]  (
-	.CLK(CTS_37),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [10]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][11]  (
-	.CLK(CTS_39),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [11]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][12]  (
-	.CLK(CTS_37),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [12]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][13]  (
-	.CLK(CTS_37),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [13]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][14]  (
-	.CLK(CTS_90),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [14]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][15]  (
-	.CLK(CTS_91),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [15]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][16]  (
-	.CLK(CTS_39),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [16]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][17]  (
-	.CLK(CTS_90),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [17]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][18]  (
-	.CLK(CTS_39),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [18]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][19]  (
-	.CLK(CTS_91),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [19]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][20]  (
-	.CLK(CTS_39),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [20]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][21]  (
-	.CLK(CTS_90),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [21]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][22]  (
-	.CLK(CTS_90),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [22]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][23]  (
-	.CLK(CTS_90),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [23]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][24]  (
-	.CLK(CTS_90),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [24]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][25]  (
-	.CLK(CTS_91),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [25]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][26]  (
-	.CLK(CTS_39),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [26]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][27]  (
-	.CLK(CTS_90),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [27]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][28]  (
-	.CLK(CTS_90),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [28]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][29]  (
-	.CLK(CTS_39),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [29]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][30]  (
-	.CLK(CTS_39),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [30]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][31]  (
-	.CLK(CTS_39),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [31]),
-	.SCE(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][0]  (
-	.CLK(CTS_37),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [0]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][1]  (
-	.CLK(CTS_39),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [1]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][2]  (
-	.CLK(CTS_37),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [2]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][3]  (
-	.CLK(CTS_37),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [3]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][4]  (
-	.CLK(CTS_39),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [4]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][5]  (
-	.CLK(CTS_43),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [5]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][6]  (
-	.CLK(CTS_90),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [6]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][7]  (
-	.CLK(CTS_90),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [7]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][8]  (
-	.CLK(CTS_37),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [8]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][9]  (
-	.CLK(CTS_39),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [9]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][10]  (
-	.CLK(CTS_37),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [10]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][11]  (
-	.CLK(CTS_39),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [11]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][12]  (
-	.CLK(CTS_91),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [12]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][13]  (
-	.CLK(CTS_37),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [13]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][14]  (
-	.CLK(CTS_90),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [14]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][15]  (
-	.CLK(CTS_91),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [15]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][16]  (
-	.CLK(CTS_39),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [16]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][17]  (
-	.CLK(CTS_90),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [17]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][18]  (
-	.CLK(CTS_39),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [18]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][19]  (
-	.CLK(CTS_37),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [19]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][20]  (
-	.CLK(CTS_39),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [20]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][21]  (
-	.CLK(CTS_90),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [21]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][22]  (
-	.CLK(CTS_90),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [22]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][23]  (
-	.CLK(CTS_90),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [23]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][24]  (
-	.CLK(CTS_90),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [24]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][25]  (
-	.CLK(CTS_37),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [25]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][26]  (
-	.CLK(CTS_39),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [26]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][27]  (
-	.CLK(CTS_90),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [27]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][28]  (
-	.CLK(CTS_90),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [28]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][29]  (
-	.CLK(CTS_39),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [29]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][30]  (
-	.CLK(CTS_90),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [30]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][31]  (
-	.CLK(CTS_39),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [31]),
-	.SCE(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][0]  (
-	.CLK(CTS_43),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [0]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][1]  (
-	.CLK(CTS_43),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [1]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][2]  (
-	.CLK(CTS_37),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [2]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][3]  (
-	.CLK(CTS_37),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [3]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][4]  (
-	.CLK(CTS_43),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [4]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][5]  (
-	.CLK(CTS_43),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [5]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][6]  (
-	.CLK(CTS_91),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [6]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][7]  (
-	.CLK(CTS_91),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [7]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][8]  (
-	.CLK(CTS_37),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [8]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][9]  (
-	.CLK(CTS_43),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [9]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][10]  (
-	.CLK(CTS_37),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [10]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][11]  (
-	.CLK(CTS_43),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [11]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][12]  (
-	.CLK(CTS_37),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [12]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][13]  (
-	.CLK(CTS_43),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [13]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][14]  (
-	.CLK(CTS_90),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [14]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][15]  (
-	.CLK(CTS_37),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [15]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][16]  (
-	.CLK(CTS_43),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [16]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][17]  (
-	.CLK(CTS_91),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [17]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][18]  (
-	.CLK(CTS_43),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [18]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][19]  (
-	.CLK(CTS_37),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [19]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][20]  (
-	.CLK(CTS_43),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [20]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][21]  (
-	.CLK(CTS_91),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [21]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][22]  (
-	.CLK(CTS_91),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [22]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][23]  (
-	.CLK(CTS_91),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [23]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][24]  (
-	.CLK(CTS_43),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [24]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][25]  (
-	.CLK(CTS_37),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [25]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][26]  (
-	.CLK(CTS_43),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [26]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][27]  (
-	.CLK(CTS_90),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [27]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][28]  (
-	.CLK(CTS_90),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [28]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][29]  (
-	.CLK(CTS_43),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [29]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][30]  (
-	.CLK(CTS_43),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [30]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][31]  (
-	.CLK(CTS_43),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [31]),
-	.SCE(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][0]  (
-	.CLK(CTS_37),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [0]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][1]  (
-	.CLK(CTS_43),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [1]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][2]  (
-	.CLK(CTS_37),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [2]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][3]  (
-	.CLK(CTS_37),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [3]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][4]  (
-	.CLK(CTS_43),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [4]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][5]  (
-	.CLK(CTS_43),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [5]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][6]  (
-	.CLK(CTS_91),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [6]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][7]  (
-	.CLK(CTS_90),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [7]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][8]  (
-	.CLK(CTS_37),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [8]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][9]  (
-	.CLK(CTS_43),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [9]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][10]  (
-	.CLK(CTS_37),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [10]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][11]  (
-	.CLK(CTS_43),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [11]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][12]  (
-	.CLK(CTS_37),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [12]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][13]  (
-	.CLK(CTS_43),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [13]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][14]  (
-	.CLK(CTS_90),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [14]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][15]  (
-	.CLK(CTS_91),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [15]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][16]  (
-	.CLK(CTS_43),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [16]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][17]  (
-	.CLK(CTS_90),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [17]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][18]  (
-	.CLK(CTS_43),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [18]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][19]  (
-	.CLK(CTS_37),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [19]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][20]  (
-	.CLK(CTS_43),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [20]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][21]  (
-	.CLK(CTS_91),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [21]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][22]  (
-	.CLK(CTS_91),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [22]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][23]  (
-	.CLK(CTS_91),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [23]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][24]  (
-	.CLK(CTS_43),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [24]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][25]  (
-	.CLK(CTS_37),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [25]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][26]  (
-	.CLK(CTS_43),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [26]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][27]  (
-	.CLK(CTS_90),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [27]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][28]  (
-	.CLK(CTS_90),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [28]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][29]  (
-	.CLK(CTS_43),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [29]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][30]  (
-	.CLK(CTS_43),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [30]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][31]  (
-	.CLK(CTS_43),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [31]),
-	.SCE(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][0]  (
-	.CLK(CTS_90),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [0]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][1]  (
-	.CLK(CTS_90),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [1]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][2]  (
-	.CLK(CTS_91),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [2]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][3]  (
-	.CLK(CTS_91),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [3]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][4]  (
-	.CLK(CTS_90),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [4]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][5]  (
-	.CLK(CTS_91),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [5]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][6]  (
-	.CLK(CTS_90),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [6]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][7]  (
-	.CLK(CTS_90),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [7]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][8]  (
-	.CLK(CTS_91),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [8]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][9]  (
-	.CLK(CTS_90),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [9]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][10]  (
-	.CLK(CTS_91),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [10]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][11]  (
-	.CLK(CTS_90),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [11]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][12]  (
-	.CLK(CTS_91),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [12]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][13]  (
-	.CLK(CTS_91),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [13]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][14]  (
-	.CLK(CTS_90),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [14]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][15]  (
-	.CLK(CTS_91),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [15]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][16]  (
-	.CLK(CTS_90),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [16]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][17]  (
-	.CLK(CTS_90),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [17]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][18]  (
-	.CLK(CTS_39),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [18]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][19]  (
-	.CLK(CTS_91),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [19]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][20]  (
-	.CLK(CTS_39),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [20]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][21]  (
-	.CLK(CTS_90),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [21]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][22]  (
-	.CLK(CTS_90),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [22]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][23]  (
-	.CLK(CTS_90),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [23]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][24]  (
-	.CLK(CTS_90),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [24]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][25]  (
-	.CLK(CTS_91),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [25]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][26]  (
-	.CLK(CTS_90),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [26]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][27]  (
-	.CLK(CTS_90),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [27]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][28]  (
-	.CLK(CTS_90),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [28]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][29]  (
-	.CLK(CTS_90),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [29]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][30]  (
-	.CLK(CTS_90),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [30]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][31]  (
-	.CLK(CTS_90),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [31]),
-	.SCE(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][0]  (
-	.CLK(CTS_90),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [0]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][1]  (
-	.CLK(CTS_90),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [1]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][2]  (
-	.CLK(CTS_91),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [2]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][3]  (
-	.CLK(CTS_91),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [3]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][4]  (
-	.CLK(CTS_90),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [4]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][5]  (
-	.CLK(CTS_91),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [5]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][6]  (
-	.CLK(CTS_90),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [6]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][7]  (
-	.CLK(CTS_90),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [7]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][8]  (
-	.CLK(CTS_37),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [8]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][9]  (
-	.CLK(CTS_90),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [9]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][10]  (
-	.CLK(CTS_91),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [10]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][11]  (
-	.CLK(CTS_90),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [11]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][12]  (
-	.CLK(CTS_91),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [12]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][13]  (
-	.CLK(CTS_91),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [13]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][14]  (
-	.CLK(CTS_90),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [14]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][15]  (
-	.CLK(CTS_91),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [15]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][16]  (
-	.CLK(CTS_90),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [16]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][17]  (
-	.CLK(CTS_90),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [17]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][18]  (
-	.CLK(CTS_90),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [18]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][19]  (
-	.CLK(CTS_91),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [19]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][20]  (
-	.CLK(CTS_90),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [20]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][21]  (
-	.CLK(CTS_114),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [21]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][22]  (
-	.CLK(CTS_90),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [22]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][23]  (
-	.CLK(CTS_90),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [23]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][24]  (
-	.CLK(CTS_90),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [24]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][25]  (
-	.CLK(CTS_91),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [25]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][26]  (
-	.CLK(CTS_90),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [26]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][27]  (
-	.CLK(CTS_90),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [27]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][28]  (
-	.CLK(CTS_90),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [28]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][29]  (
-	.CLK(CTS_90),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [29]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][30]  (
-	.CLK(CTS_90),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [30]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][31]  (
-	.CLK(CTS_90),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [31]),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][0]  (
-	.CLK(CTS_90),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [0]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][1]  (
-	.CLK(CTS_90),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [1]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][2]  (
-	.CLK(CTS_91),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [2]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][3]  (
-	.CLK(CTS_91),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [3]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][4]  (
-	.CLK(CTS_90),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [4]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][5]  (
-	.CLK(CTS_114),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [5]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][6]  (
-	.CLK(CTS_91),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [6]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][7]  (
-	.CLK(CTS_90),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [7]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][8]  (
-	.CLK(CTS_91),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [8]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][9]  (
-	.CLK(CTS_90),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [9]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][10]  (
-	.CLK(CTS_91),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [10]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][11]  (
-	.CLK(CTS_90),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [11]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][12]  (
-	.CLK(CTS_91),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [12]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][13]  (
-	.CLK(CTS_114),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [13]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][14]  (
-	.CLK(CTS_114),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [14]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][15]  (
-	.CLK(CTS_91),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [15]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][16]  (
-	.CLK(CTS_90),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [16]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][17]  (
-	.CLK(CTS_91),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [17]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][18]  (
-	.CLK(CTS_90),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [18]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][19]  (
-	.CLK(CTS_114),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [19]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][20]  (
-	.CLK(CTS_90),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [20]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][21]  (
-	.CLK(CTS_114),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [21]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][22]  (
-	.CLK(CTS_114),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [22]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][23]  (
-	.CLK(CTS_114),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [23]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][24]  (
-	.CLK(CTS_90),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [24]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][25]  (
-	.CLK(CTS_91),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [25]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][26]  (
-	.CLK(CTS_90),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [26]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][27]  (
-	.CLK(CTS_91),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [27]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][28]  (
-	.CLK(CTS_91),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [28]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][29]  (
-	.CLK(CTS_90),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [29]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][30]  (
-	.CLK(CTS_90),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [30]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][31]  (
-	.CLK(CTS_90),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [31]),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][0]  (
-	.CLK(CTS_90),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [0]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][1]  (
-	.CLK(CTS_90),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [1]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][2]  (
-	.CLK(CTS_91),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [2]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][3]  (
-	.CLK(CTS_91),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [3]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][4]  (
-	.CLK(CTS_90),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [4]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][5]  (
-	.CLK(CTS_114),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [5]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][6]  (
-	.CLK(CTS_90),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [6]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][7]  (
-	.CLK(CTS_90),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [7]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][8]  (
-	.CLK(CTS_91),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [8]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][9]  (
-	.CLK(CTS_90),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [9]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][10]  (
-	.CLK(CTS_91),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [10]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][11]  (
-	.CLK(CTS_90),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [11]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][12]  (
-	.CLK(CTS_91),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [12]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][13]  (
-	.CLK(CTS_91),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [13]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][14]  (
-	.CLK(CTS_114),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [14]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][15]  (
-	.CLK(CTS_91),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [15]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][16]  (
-	.CLK(CTS_90),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [16]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][17]  (
-	.CLK(CTS_114),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [17]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][18]  (
-	.CLK(CTS_90),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [18]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][19]  (
-	.CLK(CTS_91),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [19]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][20]  (
-	.CLK(CTS_90),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [20]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][21]  (
-	.CLK(CTS_114),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [21]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][22]  (
-	.CLK(CTS_114),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [22]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][23]  (
-	.CLK(CTS_114),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [23]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][24]  (
-	.CLK(CTS_90),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [24]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][25]  (
-	.CLK(CTS_91),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [25]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][26]  (
-	.CLK(CTS_90),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [26]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][27]  (
-	.CLK(CTS_91),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [27]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][28]  (
-	.CLK(CTS_114),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [28]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][29]  (
-	.CLK(CTS_90),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [29]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][30]  (
-	.CLK(CTS_90),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [30]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][31]  (
-	.CLK(CTS_90),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [31]),
-	.SCE(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][0]  (
-	.CLK(CTS_39),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [0]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][1]  (
-	.CLK(CTS_39),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [1]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][2]  (
-	.CLK(CTS_37),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [2]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][3]  (
-	.CLK(CTS_37),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [3]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][4]  (
-	.CLK(CTS_39),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [4]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][5]  (
-	.CLK(CTS_39),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [5]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][6]  (
-	.CLK(CTS_40),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [6]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][7]  (
-	.CLK(CTS_39),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [7]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][8]  (
-	.CLK(CTS_41),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [8]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][9]  (
-	.CLK(CTS_40),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [9]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][10]  (
-	.CLK(CTS_37),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [10]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][11]  (
-	.CLK(CTS_39),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [11]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][12]  (
-	.CLK(CTS_37),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [12]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][13]  (
-	.CLK(CTS_43),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [13]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][14]  (
-	.CLK(CTS_41),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [14]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][15]  (
-	.CLK(CTS_41),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [15]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][16]  (
-	.CLK(CTS_39),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [16]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][17]  (
-	.CLK(CTS_40),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [17]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][18]  (
-	.CLK(CTS_39),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [18]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][19]  (
-	.CLK(CTS_37),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [19]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][20]  (
-	.CLK(CTS_39),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [20]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][21]  (
-	.CLK(CTS_40),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [21]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][22]  (
-	.CLK(CTS_40),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [22]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][23]  (
-	.CLK(CTS_40),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [23]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][24]  (
-	.CLK(CTS_40),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [24]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][25]  (
-	.CLK(CTS_41),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [25]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][26]  (
-	.CLK(CTS_39),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [26]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][27]  (
-	.CLK(CTS_40),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [27]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][28]  (
-	.CLK(CTS_40),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [28]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][29]  (
-	.CLK(CTS_39),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [29]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][30]  (
-	.CLK(CTS_39),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [30]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][31]  (
-	.CLK(CTS_40),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [31]),
-	.SCE(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][0]  (
-	.CLK(CTS_39),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [0]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][1]  (
-	.CLK(CTS_39),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [1]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][2]  (
-	.CLK(CTS_37),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [2]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][3]  (
-	.CLK(CTS_37),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [3]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][4]  (
-	.CLK(CTS_39),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [4]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][5]  (
-	.CLK(CTS_39),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [5]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][6]  (
-	.CLK(CTS_39),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [6]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][7]  (
-	.CLK(CTS_39),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [7]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][8]  (
-	.CLK(CTS_41),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [8]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][9]  (
-	.CLK(CTS_40),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [9]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][10]  (
-	.CLK(CTS_43),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [10]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][11]  (
-	.CLK(CTS_39),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [11]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][12]  (
-	.CLK(CTS_37),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [12]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][13]  (
-	.CLK(CTS_41),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [13]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][14]  (
-	.CLK(CTS_41),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [14]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][15]  (
-	.CLK(CTS_41),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [15]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][16]  (
-	.CLK(CTS_39),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [16]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][17]  (
-	.CLK(CTS_40),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [17]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][18]  (
-	.CLK(CTS_39),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [18]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][19]  (
-	.CLK(CTS_37),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [19]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][20]  (
-	.CLK(CTS_39),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [20]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][21]  (
-	.CLK(CTS_40),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [21]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][22]  (
-	.CLK(CTS_40),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [22]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][23]  (
-	.CLK(CTS_40),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [23]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][24]  (
-	.CLK(CTS_40),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [24]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][25]  (
-	.CLK(CTS_41),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [25]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][26]  (
-	.CLK(CTS_39),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [26]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][27]  (
-	.CLK(CTS_40),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [27]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][28]  (
-	.CLK(CTS_40),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [28]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][29]  (
-	.CLK(CTS_39),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [29]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][30]  (
-	.CLK(CTS_39),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [30]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][31]  (
-	.CLK(CTS_40),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [31]),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][0]  (
-	.CLK(CTS_43),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [0]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][1]  (
-	.CLK(CTS_43),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [1]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][2]  (
-	.CLK(CTS_43),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [2]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][3]  (
-	.CLK(CTS_43),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [3]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][4]  (
-	.CLK(CTS_43),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [4]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][5]  (
-	.CLK(CTS_39),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [5]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][6]  (
-	.CLK(CTS_39),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [6]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][7]  (
-	.CLK(CTS_43),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [7]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][8]  (
-	.CLK(CTS_43),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [8]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][9]  (
-	.CLK(CTS_39),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [9]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][10]  (
-	.CLK(CTS_43),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [10]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][11]  (
-	.CLK(CTS_43),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [11]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][12]  (
-	.CLK(CTS_43),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [12]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][13]  (
-	.CLK(CTS_43),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [13]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][14]  (
-	.CLK(CTS_43),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [14]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][15]  (
-	.CLK(CTS_43),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [15]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][16]  (
-	.CLK(CTS_43),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [16]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][17]  (
-	.CLK(CTS_43),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [17]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][18]  (
-	.CLK(CTS_43),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [18]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][19]  (
-	.CLK(CTS_43),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [19]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][20]  (
-	.CLK(CTS_39),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [20]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][21]  (
-	.CLK(CTS_43),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [21]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][22]  (
-	.CLK(CTS_43),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [22]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][23]  (
-	.CLK(CTS_43),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [23]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][24]  (
-	.CLK(CTS_43),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [24]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][25]  (
-	.CLK(CTS_43),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [25]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][26]  (
-	.CLK(CTS_43),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [26]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][27]  (
-	.CLK(CTS_43),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [27]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][28]  (
-	.CLK(CTS_43),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [28]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][29]  (
-	.CLK(CTS_39),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [29]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][30]  (
-	.CLK(CTS_43),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [30]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][31]  (
-	.CLK(CTS_43),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [31]),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][0]  (
-	.CLK(CTS_43),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [0]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][1]  (
-	.CLK(CTS_43),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [1]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][2]  (
-	.CLK(CTS_43),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [2]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][3]  (
-	.CLK(CTS_37),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [3]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][4]  (
-	.CLK(CTS_39),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [4]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][5]  (
-	.CLK(CTS_43),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [5]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][6]  (
-	.CLK(CTS_43),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [6]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][7]  (
-	.CLK(CTS_39),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [7]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][8]  (
-	.CLK(CTS_43),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [8]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][9]  (
-	.CLK(CTS_39),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [9]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][10]  (
-	.CLK(CTS_43),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [10]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][11]  (
-	.CLK(CTS_39),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [11]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][12]  (
-	.CLK(CTS_43),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [12]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][13]  (
-	.CLK(CTS_43),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [13]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][14]  (
-	.CLK(CTS_43),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [14]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][15]  (
-	.CLK(CTS_43),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [15]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][16]  (
-	.CLK(CTS_43),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [16]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][17]  (
-	.CLK(CTS_43),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [17]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][18]  (
-	.CLK(CTS_43),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [18]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][19]  (
-	.CLK(CTS_43),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [19]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][20]  (
-	.CLK(CTS_43),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [20]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][21]  (
-	.CLK(CTS_43),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [21]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][22]  (
-	.CLK(CTS_43),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [22]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][23]  (
-	.CLK(CTS_43),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [23]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][24]  (
-	.CLK(CTS_43),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [24]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][25]  (
-	.CLK(CTS_43),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [25]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][26]  (
-	.CLK(CTS_43),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [26]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][27]  (
-	.CLK(CTS_43),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [27]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][28]  (
-	.CLK(CTS_43),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [28]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][29]  (
-	.CLK(CTS_43),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [29]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][30]  (
-	.CLK(CTS_43),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [30]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][31]  (
-	.CLK(CTS_39),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [31]),
-	.SCE(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][0]  (
-	.CLK(CTS_39),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [0]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1111),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][1]  (
-	.CLK(CTS_39),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [1]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1112),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][2]  (
-	.CLK(CTS_37),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [2]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1113),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][3]  (
-	.CLK(CTS_37),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [3]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1114),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][4]  (
-	.CLK(CTS_40),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [4]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1115),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][5]  (
-	.CLK(CTS_39),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [5]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1116),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][6]  (
-	.CLK(CTS_40),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [6]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1117),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][7]  (
-	.CLK(CTS_39),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [7]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1118),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][8]  (
-	.CLK(CTS_41),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [8]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1119),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][9]  (
-	.CLK(CTS_40),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [9]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1096),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][10]  (
-	.CLK(CTS_37),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [10]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1098),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][11]  (
-	.CLK(CTS_40),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [11]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1120),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][12]  (
-	.CLK(CTS_43),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [12]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1121),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][13]  (
-	.CLK(CTS_37),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [13]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1122),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][14]  (
-	.CLK(CTS_41),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [14]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1123),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][15]  (
-	.CLK(CTS_43),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [15]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1100),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][16]  (
-	.CLK(CTS_39),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [16]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1124),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][17]  (
-	.CLK(CTS_40),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [17]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1125),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][18]  (
-	.CLK(CTS_39),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [18]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1101),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][19]  (
-	.CLK(CTS_41),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [19]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1126),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][20]  (
-	.CLK(CTS_39),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [20]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1127),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][21]  (
-	.CLK(CTS_41),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [21]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1097),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][22]  (
-	.CLK(CTS_40),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [22]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1099),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][23]  (
-	.CLK(CTS_40),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [23]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1110),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][24]  (
-	.CLK(CTS_40),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [24]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1102),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][25]  (
-	.CLK(CTS_41),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [25]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1109),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][26]  (
-	.CLK(CTS_39),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [26]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1108),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][27]  (
-	.CLK(CTS_40),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [27]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1107),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][28]  (
-	.CLK(CTS_40),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [28]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1103),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][29]  (
-	.CLK(CTS_39),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [29]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1106),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][30]  (
-	.CLK(CTS_39),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [30]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1104),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][31]  (
-	.CLK(CTS_40),
-	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [31]),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_1105),
-	.SCE(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][0]  (
-	.CLK(CTS_39),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [0]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][1]  (
-	.CLK(CTS_39),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [1]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][2]  (
-	.CLK(CTS_37),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [2]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][3]  (
-	.CLK(CTS_43),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [3]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][4]  (
-	.CLK(CTS_40),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [4]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][5]  (
-	.CLK(CTS_39),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [5]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][6]  (
-	.CLK(CTS_40),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [6]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][7]  (
-	.CLK(CTS_39),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [7]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][8]  (
-	.CLK(CTS_41),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [8]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][9]  (
-	.CLK(CTS_40),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [9]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][10]  (
-	.CLK(CTS_37),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [10]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][11]  (
-	.CLK(CTS_40),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [11]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][12]  (
-	.CLK(CTS_43),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [12]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][13]  (
-	.CLK(CTS_37),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [13]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][14]  (
-	.CLK(CTS_41),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [14]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][15]  (
-	.CLK(CTS_37),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [15]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][16]  (
-	.CLK(CTS_39),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [16]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][17]  (
-	.CLK(CTS_40),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [17]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][18]  (
-	.CLK(CTS_39),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [18]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][19]  (
-	.CLK(CTS_41),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [19]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][20]  (
-	.CLK(CTS_39),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [20]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][21]  (
-	.CLK(CTS_41),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [21]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][22]  (
-	.CLK(CTS_40),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [22]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][23]  (
-	.CLK(CTS_40),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [23]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][24]  (
-	.CLK(CTS_40),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [24]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][25]  (
-	.CLK(CTS_41),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [25]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][26]  (
-	.CLK(CTS_39),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [26]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][27]  (
-	.CLK(CTS_40),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [27]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][28]  (
-	.CLK(CTS_40),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [28]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][29]  (
-	.CLK(CTS_39),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [29]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][30]  (
-	.CLK(CTS_39),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [30]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][31]  (
-	.CLK(CTS_40),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [31]),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][0]  (
-	.CLK(CTS_39),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [0]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][1]  (
-	.CLK(CTS_39),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [1]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][2]  (
-	.CLK(CTS_43),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [2]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][3]  (
-	.CLK(CTS_43),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [3]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][4]  (
-	.CLK(CTS_40),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [4]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][5]  (
-	.CLK(CTS_39),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [5]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][6]  (
-	.CLK(CTS_40),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [6]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][7]  (
-	.CLK(CTS_39),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [7]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][8]  (
-	.CLK(CTS_41),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [8]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][9]  (
-	.CLK(CTS_40),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [9]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][10]  (
-	.CLK(CTS_41),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [10]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][11]  (
-	.CLK(CTS_40),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [11]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][12]  (
-	.CLK(CTS_37),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [12]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][13]  (
-	.CLK(CTS_41),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [13]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][14]  (
-	.CLK(CTS_41),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [14]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][15]  (
-	.CLK(CTS_41),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [15]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][16]  (
-	.CLK(CTS_39),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [16]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][17]  (
-	.CLK(CTS_40),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [17]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][18]  (
-	.CLK(CTS_39),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [18]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][19]  (
-	.CLK(CTS_41),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [19]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][20]  (
-	.CLK(CTS_39),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [20]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][21]  (
-	.CLK(CTS_41),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [21]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][22]  (
-	.CLK(CTS_40),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [22]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][23]  (
-	.CLK(CTS_40),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [23]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][24]  (
-	.CLK(CTS_40),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [24]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][25]  (
-	.CLK(CTS_41),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [25]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][26]  (
-	.CLK(CTS_39),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [26]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][27]  (
-	.CLK(CTS_40),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [27]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][28]  (
-	.CLK(CTS_40),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [28]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][29]  (
-	.CLK(CTS_39),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [29]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][30]  (
-	.CLK(CTS_39),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [30]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][31]  (
-	.CLK(CTS_40),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [31]),
-	.SCE(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][0]  (
-	.CLK(CTS_39),
-	.D(n_1111),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [0]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][1]  (
-	.CLK(CTS_39),
-	.D(n_1112),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [1]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][2]  (
-	.CLK(CTS_37),
-	.D(n_1113),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [2]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][3]  (
-	.CLK(CTS_37),
-	.D(n_1114),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [3]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][4]  (
-	.CLK(CTS_39),
-	.D(n_1115),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [4]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][5]  (
-	.CLK(CTS_39),
-	.D(n_1116),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [5]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][6]  (
-	.CLK(CTS_40),
-	.D(n_1117),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [6]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][7]  (
-	.CLK(CTS_39),
-	.D(n_1118),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [7]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][8]  (
-	.CLK(CTS_41),
-	.D(n_1119),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [8]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][9]  (
-	.CLK(CTS_40),
-	.D(n_1096),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [9]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][10]  (
-	.CLK(CTS_37),
-	.D(n_1098),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [10]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][11]  (
-	.CLK(CTS_40),
-	.D(n_1120),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [11]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][12]  (
-	.CLK(CTS_37),
-	.D(n_1121),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [12]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][13]  (
-	.CLK(CTS_41),
-	.D(n_1122),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [13]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][14]  (
-	.CLK(CTS_41),
-	.D(n_1123),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [14]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][15]  (
-	.CLK(CTS_41),
-	.D(n_1100),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [15]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][16]  (
-	.CLK(CTS_39),
-	.D(n_1124),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [16]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][17]  (
-	.CLK(CTS_40),
-	.D(n_1125),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [17]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][18]  (
-	.CLK(CTS_39),
-	.D(n_1101),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [18]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][19]  (
-	.CLK(CTS_41),
-	.D(n_1126),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [19]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][20]  (
-	.CLK(CTS_39),
-	.D(n_1127),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [20]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][21]  (
-	.CLK(CTS_41),
-	.D(n_1097),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [21]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][22]  (
-	.CLK(CTS_40),
-	.D(n_1099),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [22]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][23]  (
-	.CLK(CTS_40),
-	.D(n_1110),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [23]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][24]  (
-	.CLK(CTS_40),
-	.D(n_1102),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [24]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][25]  (
-	.CLK(CTS_41),
-	.D(n_1109),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [25]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][26]  (
-	.CLK(CTS_39),
-	.D(n_1108),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [26]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][27]  (
-	.CLK(CTS_40),
-	.D(n_1107),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [27]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][28]  (
-	.CLK(CTS_40),
-	.D(n_1103),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [28]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][29]  (
-	.CLK(CTS_39),
-	.D(n_1106),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [29]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][30]  (
-	.CLK(CTS_39),
-	.D(n_1104),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [30]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][31]  (
-	.CLK(CTS_40),
-	.D(n_1105),
-	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [31]),
-	.SCE(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[0]  (
-	.CLK(CTS_105),
-	.D(n_4693),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [0]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [0]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[1]  (
-	.CLK(CTS_69),
-	.D(n_5797),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[2]  (
-	.CLK(CTS_69),
-	.D(n_5987),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [2]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [2]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[3]  (
-	.CLK(CTS_105),
-	.D(n_6099),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [3]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [3]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[4]  (
-	.CLK(CTS_105),
-	.D(n_6291),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [4]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [4]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[5]  (
-	.CLK(CTS_105),
-	.D(n_6382),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [5]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [5]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[6]  (
-	.CLK(CTS_105),
-	.D(n_6434),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [6]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [6]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[7]  (
-	.CLK(CTS_69),
-	.D(n_6548),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [7]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [7]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[8]  (
-	.CLK(CTS_105),
-	.D(n_6623),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [8]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [8]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[9]  (
-	.CLK(CTS_105),
-	.D(n_6662),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [9]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [9]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[10]  (
-	.CLK(CTS_105),
-	.D(n_6676),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [10]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [10]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[11]  (
-	.CLK(CTS_69),
-	.D(n_6685),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [11]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [11]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[12]  (
-	.CLK(CTS_105),
-	.D(n_6692),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [12]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [12]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[13]  (
-	.CLK(CTS_105),
-	.D(n_6702),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [13]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [13]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[14]  (
-	.CLK(CTS_105),
-	.D(n_6706),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [14]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [14]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[15]  (
-	.CLK(CTS_105),
-	.D(n_6713),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [15]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [15]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[16]  (
-	.CLK(CTS_105),
-	.D(n_6717),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [16]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [16]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[17]  (
-	.CLK(CTS_105),
-	.D(n_6722),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [17]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [17]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[18]  (
-	.CLK(CTS_105),
-	.D(n_6727),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [18]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [18]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[19]  (
-	.CLK(CTS_105),
-	.D(n_6731),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [19]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [19]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[20]  (
-	.CLK(CTS_105),
-	.D(n_6735),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [20]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [20]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[21]  (
-	.CLK(CTS_105),
-	.D(n_6740),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [21]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [21]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[22]  (
-	.CLK(CTS_69),
-	.D(n_6744),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [22]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [22]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[23]  (
-	.CLK(CTS_69),
-	.D(n_6752),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [23]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [23]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[24]  (
-	.CLK(CTS_105),
-	.D(n_6768),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[25]  (
-	.CLK(CTS_105),
-	.D(n_6769),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [25]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [25]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[26]  (
-	.CLK(CTS_105),
-	.D(n_6775),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [26]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [26]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[27]  (
-	.CLK(CTS_69),
-	.D(n_6781),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [27]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [27]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[28]  (
-	.CLK(CTS_105),
-	.D(n_6786),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [28]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [28]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[29]  (
-	.CLK(CTS_105),
-	.D(n_6795),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [29]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [29]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[30]  (
-	.CLK(CTS_105),
-	.D(n_6796),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [30]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [30]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[31]  (
-	.CLK(CTS_105),
-	.D(n_2149),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [31]),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [31]),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q_reg[DZ]  (
-	.CLK(CTS_102),
-	.D(n_2198),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[DZ] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q_reg[NV]  (
-	.CLK(CTS_102),
-	.D(n_16624),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[NV] ),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[NV] ),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q_reg[NX]  (
-	.CLK(CTS_102),
-	.D(n_15042),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[NX] ),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[NX] ),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q_reg[OF]  (
-	.CLK(CTS_102),
-	.D(n_16623),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[OF] ),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[OF] ),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q_reg[UF]  (
-	.CLK(CTS_102),
-	.D(n_15137),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[UF] ),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[UF] ),
-	.SCE(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S_reg[0]  (
-	.CLK(CTS_110),
-	.D(n_4054),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S_reg[1]  (
-	.CLK(CTS_111),
-	.D(n_4055),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S_reg[3]  (
-	.CLK(CTS_109),
-	.D(n_4253),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S_reg[4]  (
-	.CLK(CTS_109),
-	.D(n_4945),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S_reg[5]  (
-	.CLK(CTS_109),
-	.D(n_5308),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Div_enable_SO_reg  (
-	.CLK(CTS_69),
-	.D(n_4432),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Div_enable_S ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Div_start_dly_S_reg  (
-	.CLK(CTS_69),
-	.D(n_4074),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Div_start_dly_S_8434 ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[0]  (
-	.CLK(CTS_69),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15649),
-	.SCE(n_875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[1]  (
-	.CLK(CTS_69),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [1]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15650),
-	.SCE(n_875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[2]  (
-	.CLK(CTS_69),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [2]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15651),
-	.SCE(n_875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[3]  (
-	.CLK(CTS_69),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [3]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15652),
-	.SCE(n_875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[4]  (
-	.CLK(CTS_69),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [4]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15653),
-	.SCE(n_875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[5]  (
-	.CLK(CTS_69),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [5]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15654),
-	.SCE(n_875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[6]  (
-	.CLK(CTS_69),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [6]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15655),
-	.SCE(n_875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[7]  (
-	.CLK(CTS_69),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [7]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15656),
-	.SCE(n_875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[8]  (
-	.CLK(CTS_69),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [8]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15657),
-	.SCE(n_875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[9]  (
-	.CLK(CTS_69),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [9]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15658),
-	.SCE(n_875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[10]  (
-	.CLK(CTS_69),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [10]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15659),
-	.SCE(n_875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[11]  (
-	.CLK(CTS_69),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [11]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15660),
-	.SCE(n_875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[12]  (
-	.CLK(CTS_69),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [12]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15661),
-	.SCE(n_875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[0]  (
-	.CLK(CTS_111),
-	.D(n_4453),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[1]  (
-	.CLK(CTS_111),
-	.D(n_4460),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[2]  (
-	.CLK(CTS_111),
-	.D(n_4563),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[3]  (
-	.CLK(CTS_111),
-	.D(n_4562),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[4]  (
-	.CLK(CTS_111),
-	.D(n_4561),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[5]  (
-	.CLK(CTS_111),
-	.D(n_4560),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[6]  (
-	.CLK(CTS_111),
-	.D(n_4559),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[7]  (
-	.CLK(CTS_111),
-	.D(n_4558),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[8]  (
-	.CLK(CTS_111),
-	.D(n_4557),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[9]  (
-	.CLK(CTS_111),
-	.D(n_4556),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[10]  (
-	.CLK(CTS_111),
-	.D(n_4555),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[11]  (
-	.CLK(CTS_111),
-	.D(n_4554),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[12]  (
-	.CLK(CTS_111),
-	.D(n_4553),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[13]  (
-	.CLK(CTS_111),
-	.D(n_4552),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[14]  (
-	.CLK(CTS_111),
-	.D(n_4551),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[15]  (
-	.CLK(CTS_111),
-	.D(n_4550),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[16]  (
-	.CLK(CTS_111),
-	.D(n_4549),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[17]  (
-	.CLK(CTS_111),
-	.D(n_4548),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[18]  (
-	.CLK(CTS_111),
-	.D(n_4547),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[19]  (
-	.CLK(CTS_111),
-	.D(n_4546),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[20]  (
-	.CLK(CTS_111),
-	.D(n_4545),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[21]  (
-	.CLK(CTS_111),
-	.D(n_4544),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[22]  (
-	.CLK(CTS_111),
-	.D(n_4543),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[23]  (
-	.CLK(CTS_111),
-	.D(n_4541),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[24]  (
-	.CLK(CTS_111),
-	.D(n_4542),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[25]  (
-	.CLK(CTS_111),
-	.D(n_4540),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[26]  (
-	.CLK(CTS_111),
-	.D(n_4539),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[27]  (
-	.CLK(CTS_111),
-	.D(n_4538),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[28]  (
-	.CLK(CTS_108),
-	.D(n_4537),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[29]  (
-	.CLK(CTS_108),
-	.D(n_4536),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[30]  (
-	.CLK(CTS_108),
-	.D(n_4535),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[31]  (
-	.CLK(CTS_108),
-	.D(n_4534),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[32]  (
-	.CLK(CTS_108),
-	.D(n_4533),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [32]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[33]  (
-	.CLK(CTS_108),
-	.D(n_4532),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [33]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[34]  (
-	.CLK(CTS_108),
-	.D(n_4531),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [34]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[35]  (
-	.CLK(CTS_108),
-	.D(n_4530),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [35]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[36]  (
-	.CLK(CTS_108),
-	.D(n_4529),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [36]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[37]  (
-	.CLK(CTS_108),
-	.D(n_4528),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [37]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[38]  (
-	.CLK(CTS_108),
-	.D(n_4527),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [38]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[39]  (
-	.CLK(CTS_108),
-	.D(n_4526),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [39]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[40]  (
-	.CLK(CTS_108),
-	.D(n_4525),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [40]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[41]  (
-	.CLK(CTS_108),
-	.D(n_4524),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [41]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[42]  (
-	.CLK(CTS_108),
-	.D(n_4523),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [42]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[43]  (
-	.CLK(CTS_108),
-	.D(n_4522),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [43]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[44]  (
-	.CLK(CTS_108),
-	.D(n_4521),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [44]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[45]  (
-	.CLK(CTS_108),
-	.D(n_4520),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [45]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[46]  (
-	.CLK(CTS_108),
-	.D(n_4519),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [46]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[47]  (
-	.CLK(CTS_109),
-	.D(n_4518),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [47]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[48]  (
-	.CLK(CTS_109),
-	.D(n_4517),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [48]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[49]  (
-	.CLK(CTS_109),
-	.D(n_4516),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [49]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[50]  (
-	.CLK(CTS_109),
-	.D(n_4515),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [50]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[51]  (
-	.CLK(CTS_109),
-	.D(n_4564),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [51]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[52]  (
-	.CLK(CTS_109),
-	.D(n_4565),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [52]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[53]  (
-	.CLK(CTS_109),
-	.D(n_4566),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [53]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[54]  (
-	.CLK(CTS_109),
-	.D(n_4567),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [54]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[55]  (
-	.CLK(CTS_109),
-	.D(n_4568),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [55]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[56]  (
-	.CLK(CTS_109),
-	.D(n_4569),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [56]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[57]  (
-	.CLK(CTS_109),
-	.D(n_15647),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [57]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [57]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[0]  (
-	.CLK(CTS_109),
-	.D(n_4454),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[1]  (
-	.CLK(CTS_111),
-	.D(n_4455),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[2]  (
-	.CLK(CTS_111),
-	.D(n_4456),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[3]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[4]  (
-	.CLK(CTS_110),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[5]  (
-	.CLK(CTS_110),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[6]  (
-	.CLK(CTS_111),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[7]  (
-	.CLK(CTS_111),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[8]  (
-	.CLK(CTS_111),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[9]  (
-	.CLK(CTS_111),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[10]  (
-	.CLK(CTS_111),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[11]  (
-	.CLK(CTS_111),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[12]  (
-	.CLK(CTS_111),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[13]  (
-	.CLK(CTS_111),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[14]  (
-	.CLK(CTS_111),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[15]  (
-	.CLK(CTS_111),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[16]  (
-	.CLK(CTS_111),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[17]  (
-	.CLK(CTS_111),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[18]  (
-	.CLK(CTS_111),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[19]  (
-	.CLK(CTS_111),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[20]  (
-	.CLK(CTS_111),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[21]  (
-	.CLK(CTS_111),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[22]  (
-	.CLK(CTS_111),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[23]  (
-	.CLK(CTS_111),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[24]  (
-	.CLK(CTS_111),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[25]  (
-	.CLK(CTS_110),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[26]  (
-	.CLK(CTS_110),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[27]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [27]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[28]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [28]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[29]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [29]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[30]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [27]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [30]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[31]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [28]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [31]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[32]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [29]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [32]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [32]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[33]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [30]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [33]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [33]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[34]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [31]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [34]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [34]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[35]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [32]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [35]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [35]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[36]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [33]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [36]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [36]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[37]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [34]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [37]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [37]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[38]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [35]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [38]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [38]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[39]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [36]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [39]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [39]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[40]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [37]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [40]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [40]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[41]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [38]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [41]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [41]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[42]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [39]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [42]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [42]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[43]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [40]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [43]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [43]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[44]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [41]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [44]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [44]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[45]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [42]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [45]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [45]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[46]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [43]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [46]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [46]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[47]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [44]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [47]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [47]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[48]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [45]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [48]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [48]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[49]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [46]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [49]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [49]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[50]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [47]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [50]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [50]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[51]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [48]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [51]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [51]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[52]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [49]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [52]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [52]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[53]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [50]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [53]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [53]),
-	.SCE(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Ready_SO_reg  (
-	.CLK(CTS_69),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_ready ),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_ready ),
-	.SCD(n_6414),
-	.SCE(n_4316),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_enable_SO_reg  (
-	.CLK(CTS_109),
-	.D(n_4252),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_reg  (
-	.CLK(CTS_110),
-	.D(n_3713),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[0]  (
-	.CLK(CTS_110),
-	.D(n_3002),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
-	.SCE(n_4262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[1]  (
-	.CLK(CTS_105),
-	.D(n_3970),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [1]),
-	.SCE(n_4262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[2]  (
-	.CLK(CTS_105),
-	.D(n_4975),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [2]),
-	.SCE(n_4262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[3]  (
-	.CLK(CTS_105),
-	.D(n_5910),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [3]),
-	.SCE(n_4262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[4]  (
-	.CLK(CTS_105),
-	.D(n_6256),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [4]),
-	.SCE(n_4262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[5]  (
-	.CLK(CTS_105),
-	.D(n_6401),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [5]),
-	.SCE(n_4262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[6]  (
-	.CLK(CTS_105),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [6]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_6551),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[7]  (
-	.CLK(CTS_105),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [7]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_6655),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[8]  (
-	.CLK(CTS_69),
-	.D(n_6665),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[9]  (
-	.CLK(CTS_69),
-	.D(n_6664),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[10]  (
-	.CLK(CTS_69),
-	.D(n_6663),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[11]  (
-	.CLK(CTS_69),
-	.D(n_6666),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[0]  (
-	.CLK(CTS_105),
-	.D(n_3017),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [0]),
-	.SCE(n_4262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[1]  (
-	.CLK(CTS_69),
-	.D(n_3897),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [1]),
-	.SCE(n_4262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[2]  (
-	.CLK(CTS_69),
-	.D(n_4977),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [2]),
-	.SCE(n_4262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[3]  (
-	.CLK(CTS_69),
-	.D(n_5908),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [3]),
-	.SCE(n_4262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[4]  (
-	.CLK(CTS_69),
-	.D(n_6258),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [4]),
-	.SCE(n_4262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[5]  (
-	.CLK(CTS_69),
-	.D(n_6403),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [5]),
-	.SCE(n_4262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[6]  (
-	.CLK(CTS_69),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [6]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_6550),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[7]  (
-	.CLK(CTS_69),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [7]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_6564),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[8]  (
-	.CLK(CTS_69),
-	.D(n_6607),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[9]  (
-	.CLK(CTS_69),
-	.D(n_6604),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[10]  (
-	.CLK(CTS_69),
-	.D(n_6606),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[11]  (
-	.CLK(CTS_69),
-	.D(n_6605),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Inf_a_SP_reg  (
-	.CLK(CTS_69),
-	.D(n_6286),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_a_S ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Inf_b_SP_reg  (
-	.CLK(CTS_69),
-	.D(n_6287),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_b_S ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[29]  (
-	.CLK(CTS_110),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [29]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15569),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[30]  (
-	.CLK(CTS_110),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [30]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15570),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[31]  (
-	.CLK(CTS_110),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [31]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15571),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[32]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [32]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [32]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15572),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[33]  (
-	.CLK(CTS_110),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [33]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [33]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15573),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[34]  (
-	.CLK(CTS_110),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [34]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [34]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15574),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[35]  (
-	.CLK(CTS_110),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [35]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [35]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15575),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[36]  (
-	.CLK(CTS_110),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [36]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [36]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15576),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[37]  (
-	.CLK(CTS_110),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [37]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [37]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15577),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[38]  (
-	.CLK(CTS_110),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [38]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [38]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15578),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[39]  (
-	.CLK(CTS_110),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [39]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [39]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15579),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[40]  (
-	.CLK(CTS_110),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [40]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [40]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15580),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[41]  (
-	.CLK(CTS_110),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [41]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [41]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15581),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[42]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [42]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [42]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15582),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[43]  (
-	.CLK(CTS_110),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [43]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [43]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15583),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[44]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [44]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [44]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15584),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[45]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [45]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [45]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15585),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[46]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [46]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [46]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15586),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[47]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [47]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [47]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15587),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[48]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [48]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [48]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15588),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[49]  (
-	.CLK(CTS_110),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [49]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [49]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15589),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[50]  (
-	.CLK(CTS_110),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [50]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [50]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15590),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[51]  (
-	.CLK(CTS_110),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [51]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [51]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15591),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[52]  (
-	.CLK(CTS_110),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [52]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [52]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15592),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[29]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [29]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15545),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[30]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [30]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15546),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[31]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [31]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15547),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[32]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [32]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [32]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15548),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[33]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [33]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [33]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15549),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[34]  (
-	.CLK(CTS_108),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [34]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [34]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15550),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[35]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [35]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [35]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15551),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[36]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [36]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [36]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15552),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[37]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [37]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [37]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15553),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[38]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [38]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [38]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15554),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[39]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [39]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [39]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15555),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[40]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [40]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [40]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15556),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[41]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [41]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [41]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15557),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[42]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [42]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [42]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15558),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[43]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [43]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [43]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15559),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[44]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [44]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [44]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15560),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[45]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [45]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [45]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15561),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[46]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [46]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [46]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15562),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[47]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [47]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [47]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15563),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[48]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [48]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [48]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15564),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[49]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [49]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [49]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15565),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[50]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [50]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [50]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15566),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[51]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [51]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [51]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15567),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[52]  (
-	.CLK(CTS_109),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [52]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [52]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15568),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_NaN_a_SP_reg  (
-	.CLK(CTS_63),
-	.D(n_6272),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_NaN_a_S ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_NaN_b_SP_reg  (
-	.CLK(CTS_63),
-	.D(n_6273),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_NaN_b_S ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_RM_DP_reg[0]  (
-	.CLK(CTS_105),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [0]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_frm_fpnew[0]),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_RM_DP_reg[1]  (
-	.CLK(CTS_105),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [1]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_frm_fpnew[1]),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_RM_DP_reg[2]  (
-	.CLK(CTS_105),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [2]),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_fp_frm_fpnew[2]),
-	.SCE(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_SNaN_SP_reg  (
-	.CLK(CTS_63),
-	.D(n_6324),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_SNaN_S ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Special_case_dly_SBO_reg  (
-	.CLK(CTS_69),
-	.D(n_6425),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Special_case_dly_SB ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Zero_a_SP_reg  (
-	.CLK(CTS_105),
-	.D(n_6265),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_a_S ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_a_S ),
-	.SCE(n_4262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Zero_b_SP_reg  (
-	.CLK(CTS_69),
-	.D(n_6261),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_b_S ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_b_S ),
-	.SCE(n_4262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q_reg[0]  (
-	.CLK(CTS_102),
-	.D(n_2207),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q_reg[1]  (
-	.CLK(CTS_102),
-	.D(n_2208),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q_reg[0]  (
-	.CLK(CTS_102),
-	.D(n_3574),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q_reg[1]  (
-	.CLK(CTS_102),
-	.D(n_3266),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_g_branch_set_flop.branch_set_q_reg  (
-	.CLK(CTS_95),
-	.D(n_544),
-	.Q(\soc_top_u_top_u_core_id_stage_i_g_branch_set_flop.branch_set_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 soc_top_u_top_u_core_id_stage_i_id_fsm_q_reg (
-	.CLK(CTS_95),
-	.D(n_3265),
-	.Q(soc_top_u_top_u_core_id_stage_i_id_fsm_q),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][0]  (
-	.CLK(CTS_107),
-	.D(n_6407),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][1]  (
-	.CLK(CTS_107),
-	.D(n_6231),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][2]  (
-	.CLK(CTS_107),
-	.D(n_6246),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][3]  (
-	.CLK(CTS_72),
-	.D(n_6245),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][4]  (
-	.CLK(CTS_72),
-	.D(n_6242),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][5]  (
-	.CLK(CTS_72),
-	.D(n_6247),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][6]  (
-	.CLK(CTS_107),
-	.D(n_6244),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][7]  (
-	.CLK(CTS_104),
-	.D(n_6254),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][8]  (
-	.CLK(CTS_104),
-	.D(n_6243),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][9]  (
-	.CLK(CTS_104),
-	.D(n_6248),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][10]  (
-	.CLK(CTS_104),
-	.D(n_6249),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][11]  (
-	.CLK(CTS_104),
-	.D(n_6250),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][12]  (
-	.CLK(CTS_104),
-	.D(n_6251),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][13]  (
-	.CLK(CTS_104),
-	.D(n_6252),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][14]  (
-	.CLK(CTS_104),
-	.D(n_6253),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][15]  (
-	.CLK(CTS_104),
-	.D(n_6227),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][16]  (
-	.CLK(CTS_104),
-	.D(n_6226),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][17]  (
-	.CLK(CTS_106),
-	.D(n_6225),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][18]  (
-	.CLK(CTS_104),
-	.D(n_6224),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][19]  (
-	.CLK(CTS_72),
-	.D(n_6222),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][20]  (
-	.CLK(CTS_107),
-	.D(n_6223),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][21]  (
-	.CLK(CTS_107),
-	.D(n_6221),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][22]  (
-	.CLK(CTS_107),
-	.D(n_6220),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][23]  (
-	.CLK(CTS_107),
-	.D(n_6219),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][24]  (
-	.CLK(CTS_107),
-	.D(n_6218),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][25]  (
-	.CLK(CTS_110),
-	.D(n_6216),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][26]  (
-	.CLK(CTS_110),
-	.D(n_6217),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][27]  (
-	.CLK(CTS_110),
-	.D(n_6215),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][28]  (
-	.CLK(CTS_110),
-	.D(n_6214),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][29]  (
-	.CLK(CTS_110),
-	.D(n_6213),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][30]  (
-	.CLK(CTS_110),
-	.D(n_6212),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][31]  (
-	.CLK(CTS_110),
-	.D(n_6205),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][32]  (
-	.CLK(CTS_110),
-	.D(n_6169),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [32]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][0]  (
-	.CLK(CTS_72),
-	.D(n_4314),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][1]  (
-	.CLK(CTS_104),
-	.D(n_4177),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][2]  (
-	.CLK(CTS_72),
-	.D(n_4295),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][3]  (
-	.CLK(CTS_72),
-	.D(n_4313),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][4]  (
-	.CLK(CTS_72),
-	.D(n_4145),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][5]  (
-	.CLK(CTS_72),
-	.D(n_4312),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][6]  (
-	.CLK(CTS_72),
-	.D(n_4311),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][7]  (
-	.CLK(CTS_72),
-	.D(n_4146),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][8]  (
-	.CLK(CTS_104),
-	.D(n_4310),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][9]  (
-	.CLK(CTS_72),
-	.D(n_4309),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][10]  (
-	.CLK(CTS_72),
-	.D(n_4308),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][11]  (
-	.CLK(CTS_72),
-	.D(n_4315),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][12]  (
-	.CLK(CTS_106),
-	.D(n_4307),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][13]  (
-	.CLK(CTS_72),
-	.D(n_4306),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][14]  (
-	.CLK(CTS_72),
-	.D(n_4154),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][15]  (
-	.CLK(CTS_72),
-	.D(n_4305),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][16]  (
-	.CLK(CTS_72),
-	.D(n_4304),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][17]  (
-	.CLK(CTS_72),
-	.D(n_4153),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][18]  (
-	.CLK(CTS_72),
-	.D(n_4303),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][19]  (
-	.CLK(CTS_72),
-	.D(n_4152),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][20]  (
-	.CLK(CTS_107),
-	.D(n_4302),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][21]  (
-	.CLK(CTS_107),
-	.D(n_4301),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][22]  (
-	.CLK(CTS_72),
-	.D(n_4151),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][23]  (
-	.CLK(CTS_72),
-	.D(n_4150),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][24]  (
-	.CLK(CTS_63),
-	.D(n_4300),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][25]  (
-	.CLK(CTS_107),
-	.D(n_4299),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][26]  (
-	.CLK(CTS_110),
-	.D(n_4298),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][27]  (
-	.CLK(CTS_110),
-	.D(n_4297),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][28]  (
-	.CLK(CTS_72),
-	.D(n_4149),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][29]  (
-	.CLK(CTS_110),
-	.D(n_4296),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][30]  (
-	.CLK(CTS_72),
-	.D(n_4148),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][31]  (
-	.CLK(CTS_72),
-	.D(n_4147),
-	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_branch_discard_q_reg[0]  (
-	.CLK(CTS_51),
-	.D(n_3347),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_branch_discard_q[0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_3337),
-	.SCE(n_648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_branch_discard_q_reg[1]  (
-	.CLK(CTS_51),
-	.D(n_3660),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_branch_discard_q[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_discard_req_q_reg (
-	.CLK(CTS_51),
-	.D(n_1423),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_discard_req_q),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[2]  (
-	.CLK(CTS_51),
-	.D(n_17451),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[3]  (
-	.CLK(CTS_51),
-	.D(n_4437),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[4]  (
-	.CLK(CTS_51),
-	.D(n_5225),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[5]  (
-	.CLK(CTS_51),
-	.D(n_5780),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[6]  (
-	.CLK(CTS_51),
-	.D(n_6033),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[7]  (
-	.CLK(CTS_51),
-	.D(n_6238),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[8]  (
-	.CLK(CTS_51),
-	.D(n_6351),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[9]  (
-	.CLK(CTS_51),
-	.D(n_6418),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[10]  (
-	.CLK(CTS_51),
-	.D(n_6516),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[11]  (
-	.CLK(CTS_51),
-	.D(n_6597),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[12]  (
-	.CLK(CTS_51),
-	.D(n_6645),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[1]  (
-	.CLK(CTS_100),
-	.D(n_4898),
-	.Q(soc_top_u_top_u_core_pc_if[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[2]  (
-	.CLK(CTS_97),
-	.D(n_4704),
-	.Q(soc_top_u_top_u_core_pc_if[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[3]  (
-	.CLK(CTS_97),
-	.D(n_4703),
-	.Q(soc_top_u_top_u_core_pc_if[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[4]  (
-	.CLK(CTS_97),
-	.D(n_4702),
-	.Q(soc_top_u_top_u_core_pc_if[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[5]  (
-	.CLK(CTS_97),
-	.D(n_4701),
-	.Q(soc_top_u_top_u_core_pc_if[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[6]  (
-	.CLK(CTS_97),
-	.D(n_4700),
-	.Q(soc_top_u_top_u_core_pc_if[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[7]  (
-	.CLK(CTS_97),
-	.D(n_4699),
-	.Q(soc_top_u_top_u_core_pc_if[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[8]  (
-	.CLK(CTS_97),
-	.D(n_4698),
-	.Q(soc_top_u_top_u_core_pc_if[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[9]  (
-	.CLK(CTS_97),
-	.D(n_4697),
-	.Q(soc_top_u_top_u_core_pc_if[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[10]  (
-	.CLK(CTS_99),
-	.D(n_4696),
-	.Q(soc_top_u_top_u_core_pc_if[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[11]  (
-	.CLK(CTS_99),
-	.D(n_4695),
-	.Q(soc_top_u_top_u_core_pc_if[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[12]  (
-	.CLK(CTS_97),
-	.D(n_4694),
-	.Q(soc_top_u_top_u_core_pc_if[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[13]  (
-	.CLK(CTS_99),
-	.D(n_5043),
-	.Q(soc_top_u_top_u_core_pc_if[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[14]  (
-	.CLK(CTS_46),
-	.D(n_5042),
-	.Q(soc_top_u_top_u_core_pc_if[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[15]  (
-	.CLK(CTS_93),
-	.D(n_5041),
-	.Q(soc_top_u_top_u_core_pc_if[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[16]  (
-	.CLK(CTS_93),
-	.D(n_5040),
-	.Q(soc_top_u_top_u_core_pc_if[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[17]  (
-	.CLK(CTS_93),
-	.D(n_5039),
-	.Q(soc_top_u_top_u_core_pc_if[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[18]  (
-	.CLK(CTS_98),
-	.D(n_5038),
-	.Q(soc_top_u_top_u_core_pc_if[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[19]  (
-	.CLK(CTS_98),
-	.D(n_5037),
-	.Q(soc_top_u_top_u_core_pc_if[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[20]  (
-	.CLK(CTS_98),
-	.D(n_5036),
-	.Q(soc_top_u_top_u_core_pc_if[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[21]  (
-	.CLK(CTS_98),
-	.D(n_5035),
-	.Q(soc_top_u_top_u_core_pc_if[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[22]  (
-	.CLK(CTS_56),
-	.D(n_5034),
-	.Q(soc_top_u_top_u_core_pc_if[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[23]  (
-	.CLK(CTS_56),
-	.D(n_5033),
-	.Q(soc_top_u_top_u_core_pc_if[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[24]  (
-	.CLK(CTS_102),
-	.D(n_5032),
-	.Q(soc_top_u_top_u_core_pc_if[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[25]  (
-	.CLK(CTS_98),
-	.D(n_5031),
-	.Q(soc_top_u_top_u_core_pc_if[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[26]  (
-	.CLK(CTS_98),
-	.D(n_5030),
-	.Q(soc_top_u_top_u_core_pc_if[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[27]  (
-	.CLK(CTS_102),
-	.D(n_5029),
-	.Q(soc_top_u_top_u_core_pc_if[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[28]  (
-	.CLK(CTS_98),
-	.D(n_5028),
-	.Q(soc_top_u_top_u_core_pc_if[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[29]  (
-	.CLK(CTS_95),
-	.D(n_5025),
-	.Q(soc_top_u_top_u_core_pc_if[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[30]  (
-	.CLK(CTS_98),
-	.D(n_5027),
-	.Q(soc_top_u_top_u_core_pc_if[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[31]  (
-	.CLK(CTS_98),
-	.D(n_5026),
-	.Q(soc_top_u_top_u_core_pc_if[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][0]  (
-	.CLK(CTS_97),
-	.D(n_5546),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][1]  (
-	.CLK(CTS_97),
-	.D(n_5545),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][2]  (
-	.CLK(CTS_51),
-	.D(n_5445),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][3]  (
-	.CLK(CTS_97),
-	.D(n_5443),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][4]  (
-	.CLK(CTS_97),
-	.D(n_5444),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][5]  (
-	.CLK(CTS_97),
-	.D(n_5442),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][6]  (
-	.CLK(CTS_97),
-	.D(n_5441),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][7]  (
-	.CLK(CTS_51),
-	.D(n_5440),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][8]  (
-	.CLK(CTS_57),
-	.D(n_5439),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][9]  (
-	.CLK(CTS_57),
-	.D(n_5438),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][10]  (
-	.CLK(CTS_57),
-	.D(n_5437),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][11]  (
-	.CLK(CTS_57),
-	.D(n_5436),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][12]  (
-	.CLK(CTS_57),
-	.D(n_5435),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][13]  (
-	.CLK(CTS_57),
-	.D(n_5434),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][14]  (
-	.CLK(CTS_57),
-	.D(n_5433),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][15]  (
-	.CLK(CTS_57),
-	.D(n_5432),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][16]  (
-	.CLK(CTS_51),
-	.D(n_5544),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][17]  (
-	.CLK(CTS_97),
-	.D(n_5543),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][18]  (
-	.CLK(CTS_51),
-	.D(n_5431),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][19]  (
-	.CLK(CTS_97),
-	.D(n_5429),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][20]  (
-	.CLK(CTS_57),
-	.D(n_5430),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][21]  (
-	.CLK(CTS_97),
-	.D(n_5428),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][22]  (
-	.CLK(CTS_51),
-	.D(n_5427),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][23]  (
-	.CLK(CTS_97),
-	.D(n_5426),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][24]  (
-	.CLK(CTS_57),
-	.D(n_5425),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][25]  (
-	.CLK(CTS_57),
-	.D(n_5424),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][26]  (
-	.CLK(CTS_57),
-	.D(n_5423),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][27]  (
-	.CLK(CTS_57),
-	.D(n_5422),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][28]  (
-	.CLK(CTS_57),
-	.D(n_5421),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][29]  (
-	.CLK(CTS_57),
-	.D(n_5420),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][30]  (
-	.CLK(CTS_57),
-	.D(n_5419),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][31]  (
-	.CLK(CTS_57),
-	.D(n_5418),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][0]  (
-	.CLK(CTS_97),
-	.D(n_5542),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][1]  (
-	.CLK(CTS_97),
-	.D(n_5541),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][2]  (
-	.CLK(CTS_51),
-	.D(n_5473),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][3]  (
-	.CLK(CTS_97),
-	.D(n_5538),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][4]  (
-	.CLK(CTS_97),
-	.D(n_5537),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][5]  (
-	.CLK(CTS_97),
-	.D(n_5536),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][6]  (
-	.CLK(CTS_51),
-	.D(n_5469),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][7]  (
-	.CLK(CTS_51),
-	.D(n_5468),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][8]  (
-	.CLK(CTS_57),
-	.D(n_5467),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][9]  (
-	.CLK(CTS_57),
-	.D(n_5466),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][10]  (
-	.CLK(CTS_57),
-	.D(n_5465),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][11]  (
-	.CLK(CTS_57),
-	.D(n_5464),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][12]  (
-	.CLK(CTS_57),
-	.D(n_5463),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][13]  (
-	.CLK(CTS_57),
-	.D(n_5462),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][14]  (
-	.CLK(CTS_57),
-	.D(n_5461),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][15]  (
-	.CLK(CTS_57),
-	.D(n_5460),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][16]  (
-	.CLK(CTS_51),
-	.D(n_5540),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][17]  (
-	.CLK(CTS_51),
-	.D(n_5539),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][18]  (
-	.CLK(CTS_51),
-	.D(n_5459),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][19]  (
-	.CLK(CTS_97),
-	.D(n_5457),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][20]  (
-	.CLK(CTS_57),
-	.D(n_5458),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][21]  (
-	.CLK(CTS_97),
-	.D(n_5456),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][22]  (
-	.CLK(CTS_51),
-	.D(n_5455),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][23]  (
-	.CLK(CTS_51),
-	.D(n_5454),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][24]  (
-	.CLK(CTS_57),
-	.D(n_5453),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][25]  (
-	.CLK(CTS_57),
-	.D(n_5452),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][26]  (
-	.CLK(CTS_57),
-	.D(n_5451),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][27]  (
-	.CLK(CTS_57),
-	.D(n_5450),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][28]  (
-	.CLK(CTS_57),
-	.D(n_5449),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][29]  (
-	.CLK(CTS_57),
-	.D(n_5448),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][30]  (
-	.CLK(CTS_57),
-	.D(n_5447),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][31]  (
-	.CLK(CTS_57),
-	.D(n_5446),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][0]  (
-	.CLK(CTS_97),
-	.D(n_3233),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][1]  (
-	.CLK(CTS_97),
-	.D(n_3213),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][2]  (
-	.CLK(CTS_51),
-	.D(n_3214),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][3]  (
-	.CLK(CTS_97),
-	.D(n_3215),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][4]  (
-	.CLK(CTS_97),
-	.D(n_3216),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][5]  (
-	.CLK(CTS_97),
-	.D(n_3269),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][6]  (
-	.CLK(CTS_51),
-	.D(n_3217),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][7]  (
-	.CLK(CTS_57),
-	.D(n_3218),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][8]  (
-	.CLK(CTS_57),
-	.D(n_3821),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][9]  (
-	.CLK(CTS_57),
-	.D(n_3820),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][10]  (
-	.CLK(CTS_57),
-	.D(n_3819),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][11]  (
-	.CLK(CTS_57),
-	.D(n_3818),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][12]  (
-	.CLK(CTS_57),
-	.D(n_3817),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][13]  (
-	.CLK(CTS_57),
-	.D(n_3816),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][14]  (
-	.CLK(CTS_57),
-	.D(n_3815),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][15]  (
-	.CLK(CTS_57),
-	.D(n_3814),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][16]  (
-	.CLK(CTS_51),
-	.D(n_3162),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][17]  (
-	.CLK(CTS_51),
-	.D(n_3164),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][18]  (
-	.CLK(CTS_51),
-	.D(n_3240),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][19]  (
-	.CLK(CTS_97),
-	.D(n_3210),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][20]  (
-	.CLK(CTS_57),
-	.D(n_3211),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][21]  (
-	.CLK(CTS_97),
-	.D(n_3208),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][22]  (
-	.CLK(CTS_51),
-	.D(n_3219),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][23]  (
-	.CLK(CTS_51),
-	.D(n_3220),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][24]  (
-	.CLK(CTS_57),
-	.D(n_3813),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][25]  (
-	.CLK(CTS_57),
-	.D(n_3812),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][26]  (
-	.CLK(CTS_57),
-	.D(n_3835),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][27]  (
-	.CLK(CTS_57),
-	.D(n_3811),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][28]  (
-	.CLK(CTS_57),
-	.D(n_3810),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][29]  (
-	.CLK(CTS_57),
-	.D(n_3809),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][30]  (
-	.CLK(CTS_57),
-	.D(n_3808),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][31]  (
-	.CLK(CTS_57),
-	.D(n_3807),
-	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q_reg[2]  (
-	.CLK(CTS_51),
-	.D(n_4254),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q_reg[1]  (
-	.CLK(CTS_51),
-	.D(n_3280),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[2]  (
-	.CLK(CTS_51),
-	.D(n_4035),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[3]  (
-	.CLK(CTS_51),
-	.D(n_4027),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[4]  (
-	.CLK(CTS_51),
-	.D(n_4034),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[5]  (
-	.CLK(CTS_51),
-	.D(n_4033),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[6]  (
-	.CLK(CTS_51),
-	.D(n_4032),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[7]  (
-	.CLK(CTS_51),
-	.D(n_4031),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[8]  (
-	.CLK(CTS_51),
-	.D(n_4030),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[9]  (
-	.CLK(CTS_51),
-	.D(n_4029),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[10]  (
-	.CLK(CTS_51),
-	.D(n_4028),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[11]  (
-	.CLK(CTS_51),
-	.D(n_4036),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[12]  (
-	.CLK(CTS_51),
-	.D(n_3221),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_valid_req_q_reg (
-	.CLK(CTS_51),
-	.D(n_2122),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_valid_req_q),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 soc_top_u_top_u_core_if_stage_i_illegal_c_insn_id_o_reg (
-	.CLK(CTS_96),
-	.D(n_6275),
-	.Q(soc_top_u_top_u_core_illegal_c_insn_id), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 soc_top_u_top_u_core_if_stage_i_instr_is_compressed_id_o_reg (
-	.CLK(CTS_96),
-	.D(n_4210),
-	.Q(soc_top_u_top_u_core_instr_is_compressed_id), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[0]  (
-	.CLK(CTS_96),
-	.D(n_5614),
-	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[1]  (
-	.CLK(CTS_96),
-	.D(n_5901),
-	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[2]  (
-	.CLK(CTS_96),
-	.D(n_6125),
-	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[3]  (
-	.CLK(CTS_96),
-	.D(n_5975),
-	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[4]  (
-	.CLK(CTS_96),
-	.D(n_6233),
-	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[5]  (
-	.CLK(CTS_96),
-	.D(n_6234),
-	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[6]  (
-	.CLK(CTS_96),
-	.D(n_6126),
-	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[7]  (
-	.CLK(CTS_96),
-	.D(n_6276),
-	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[8]  (
-	.CLK(CTS_96),
-	.D(n_6170),
-	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[9]  (
-	.CLK(CTS_96),
-	.D(n_6160),
-	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[10]  (
-	.CLK(CTS_96),
-	.D(n_6241),
-	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[11]  (
-	.CLK(CTS_96),
-	.D(n_6159),
-	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[12]  (
-	.CLK(CTS_97),
-	.D(n_6165),
-	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[13]  (
-	.CLK(CTS_97),
-	.D(n_6040),
-	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[14]  (
-	.CLK(CTS_97),
-	.D(n_5964),
-	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[15]  (
-	.CLK(CTS_63),
-	.D(n_6371),
-	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[16]  (
-	.CLK(CTS_63),
-	.D(n_6408),
-	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[17]  (
-	.CLK(CTS_63),
-	.D(n_6368),
-	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[18]  (
-	.CLK(CTS_63),
-	.D(n_6361),
-	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[19]  (
-	.CLK(CTS_63),
-	.D(n_6305),
-	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[20]  (
-	.CLK(CTS_95),
-	.D(n_6271),
-	.Q(soc_top_u_top_u_core_rf_raddr_b[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[21]  (
-	.CLK(CTS_95),
-	.D(n_6369),
-	.Q(soc_top_u_top_u_core_rf_raddr_b[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[22]  (
-	.CLK(CTS_95),
-	.D(n_6277),
-	.Q(soc_top_u_top_u_core_rf_raddr_b[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[23]  (
-	.CLK(CTS_63),
-	.D(n_6230),
-	.Q(soc_top_u_top_u_core_rf_raddr_b[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[24]  (
-	.CLK(CTS_95),
-	.D(n_6235),
-	.Q(soc_top_u_top_u_core_rf_raddr_b[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[25]  (
-	.CLK(CTS_96),
-	.D(n_6206),
-	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[26]  (
-	.CLK(CTS_96),
-	.D(n_6236),
-	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[27]  (
-	.CLK(CTS_95),
-	.D(n_6134),
-	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[29]  (
-	.CLK(CTS_95),
-	.D(n_6237),
-	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[30]  (
-	.CLK(CTS_95),
-	.D(n_6036),
-	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[31]  (
-	.CLK(CTS_57),
-	.D(n_6211),
-	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[0]  (
-	.CLK(CTS_96),
-	.D(n_4197),
-	.Q(soc_top_u_top_u_core_instr_rdata_c_id[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[1]  (
-	.CLK(CTS_96),
-	.D(n_4084),
-	.Q(soc_top_u_top_u_core_instr_rdata_c_id[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[2]  (
-	.CLK(CTS_96),
-	.D(n_4083),
-	.Q(soc_top_u_top_u_core_instr_rdata_c_id[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[3]  (
-	.CLK(CTS_96),
-	.D(n_4126),
-	.Q(soc_top_u_top_u_core_instr_rdata_c_id[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[4]  (
-	.CLK(CTS_96),
-	.D(n_4082),
-	.Q(soc_top_u_top_u_core_instr_rdata_c_id[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[5]  (
-	.CLK(CTS_96),
-	.D(n_4081),
-	.Q(soc_top_u_top_u_core_instr_rdata_c_id[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[6]  (
-	.CLK(CTS_96),
-	.D(n_4080),
-	.Q(soc_top_u_top_u_core_instr_rdata_c_id[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[7]  (
-	.CLK(CTS_96),
-	.D(n_4183),
-	.Q(soc_top_u_top_u_core_instr_rdata_c_id[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[8]  (
-	.CLK(CTS_96),
-	.D(n_4574),
-	.Q(soc_top_u_top_u_core_instr_rdata_c_id[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[9]  (
-	.CLK(CTS_96),
-	.D(n_4573),
-	.Q(soc_top_u_top_u_core_instr_rdata_c_id[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[10]  (
-	.CLK(CTS_96),
-	.D(n_4572),
-	.Q(soc_top_u_top_u_core_instr_rdata_c_id[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[11]  (
-	.CLK(CTS_96),
-	.D(n_4600),
-	.Q(soc_top_u_top_u_core_instr_rdata_c_id[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[12]  (
-	.CLK(CTS_96),
-	.D(n_4602),
-	.Q(soc_top_u_top_u_core_instr_rdata_c_id[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[13]  (
-	.CLK(CTS_96),
-	.D(n_4603),
-	.Q(soc_top_u_top_u_core_instr_rdata_c_id[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[14]  (
-	.CLK(CTS_97),
-	.D(n_4639),
-	.Q(soc_top_u_top_u_core_instr_rdata_c_id[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[15]  (
-	.CLK(CTS_96),
-	.D(n_4653),
-	.Q(soc_top_u_top_u_core_instr_rdata_c_id[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[1]  (
-	.CLK(CTS_96),
-	.D(n_4245),
-	.Q(soc_top_u_top_u_core_pc_id[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[2]  (
-	.CLK(CTS_96),
-	.D(n_4244),
-	.Q(soc_top_u_top_u_core_pc_id[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[3]  (
-	.CLK(CTS_96),
-	.D(n_4248),
-	.Q(soc_top_u_top_u_core_pc_id[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[4]  (
-	.CLK(CTS_96),
-	.D(n_4243),
-	.Q(soc_top_u_top_u_core_pc_id[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[5]  (
-	.CLK(CTS_97),
-	.D(n_4242),
-	.Q(soc_top_u_top_u_core_pc_id[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[6]  (
-	.CLK(CTS_97),
-	.D(n_4241),
-	.Q(soc_top_u_top_u_core_pc_id[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[7]  (
-	.CLK(CTS_97),
-	.D(n_4240),
-	.Q(soc_top_u_top_u_core_pc_id[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[8]  (
-	.CLK(CTS_97),
-	.D(n_4239),
-	.Q(soc_top_u_top_u_core_pc_id[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[9]  (
-	.CLK(CTS_97),
-	.D(n_4247),
-	.Q(soc_top_u_top_u_core_pc_id[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[10]  (
-	.CLK(CTS_97),
-	.D(n_4238),
-	.Q(soc_top_u_top_u_core_pc_id[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[11]  (
-	.CLK(CTS_97),
-	.D(n_4237),
-	.Q(soc_top_u_top_u_core_pc_id[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[12]  (
-	.CLK(CTS_97),
-	.D(n_4236),
-	.Q(soc_top_u_top_u_core_pc_id[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[13]  (
-	.CLK(CTS_100),
-	.D(n_4235),
-	.Q(soc_top_u_top_u_core_pc_id[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[14]  (
-	.CLK(CTS_100),
-	.D(n_4234),
-	.Q(soc_top_u_top_u_core_pc_id[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[15]  (
-	.CLK(CTS_93),
-	.D(n_4233),
-	.Q(soc_top_u_top_u_core_pc_id[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[16]  (
-	.CLK(CTS_95),
-	.D(n_4232),
-	.Q(soc_top_u_top_u_core_pc_id[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[17]  (
-	.CLK(CTS_95),
-	.D(n_4231),
-	.Q(soc_top_u_top_u_core_pc_id[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[18]  (
-	.CLK(CTS_98),
-	.D(n_4230),
-	.Q(soc_top_u_top_u_core_pc_id[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[19]  (
-	.CLK(CTS_98),
-	.D(n_4229),
-	.Q(soc_top_u_top_u_core_pc_id[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[20]  (
-	.CLK(CTS_98),
-	.D(n_4228),
-	.Q(soc_top_u_top_u_core_pc_id[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[21]  (
-	.CLK(CTS_98),
-	.D(n_4227),
-	.Q(soc_top_u_top_u_core_pc_id[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[22]  (
-	.CLK(CTS_102),
-	.D(n_4226),
-	.Q(soc_top_u_top_u_core_pc_id[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[23]  (
-	.CLK(CTS_102),
-	.D(n_4225),
-	.Q(soc_top_u_top_u_core_pc_id[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[24]  (
-	.CLK(CTS_102),
-	.D(n_4224),
-	.Q(soc_top_u_top_u_core_pc_id[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[25]  (
-	.CLK(CTS_102),
-	.D(n_4223),
-	.Q(soc_top_u_top_u_core_pc_id[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[26]  (
-	.CLK(CTS_102),
-	.D(n_4222),
-	.Q(soc_top_u_top_u_core_pc_id[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[27]  (
-	.CLK(CTS_102),
-	.D(n_4221),
-	.Q(soc_top_u_top_u_core_pc_id[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[28]  (
-	.CLK(CTS_102),
-	.D(n_4220),
-	.Q(soc_top_u_top_u_core_pc_id[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[29]  (
-	.CLK(CTS_95),
-	.D(n_4219),
-	.Q(soc_top_u_top_u_core_pc_id[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[30]  (
-	.CLK(CTS_102),
-	.D(n_4218),
-	.Q(soc_top_u_top_u_core_pc_id[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[31]  (
-	.CLK(CTS_95),
-	.D(n_4217),
-	.Q(soc_top_u_top_u_core_pc_id[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[0]  (
-	.CLK(CTS_63),
-	.D(soc_top_u_top_u_core_alu_adder_result_ex[0]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[0]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[1]  (
-	.CLK(CTS_96),
-	.D(soc_top_u_top_u_core_alu_adder_result_ex[1]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[1]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[2]  (
-	.CLK(CTS_96),
-	.D(\soc_top_xbar_to_timer[a_address] [2]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[2]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[3]  (
-	.CLK(CTS_96),
-	.D(\soc_top_xbar_to_timer[a_address] [3]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[3]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[4]  (
-	.CLK(CTS_96),
-	.D(\soc_top_xbar_to_timer[a_address] [4]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[4]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[5]  (
-	.CLK(CTS_96),
-	.D(\soc_top_xbar_to_timer[a_address] [5]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[5]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[6]  (
-	.CLK(CTS_96),
-	.D(\soc_top_xbar_to_timer[a_address] [6]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[6]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[7]  (
-	.CLK(CTS_96),
-	.D(\soc_top_xbar_to_timer[a_address] [7]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[7]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[8]  (
-	.CLK(CTS_96),
-	.D(\soc_top_xbar_to_timer[a_address] [8]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[8]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[9]  (
-	.CLK(CTS_96),
-	.D(\soc_top_lsu_to_xbar[a_address] [9]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[9]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[10]  (
-	.CLK(CTS_96),
-	.D(\soc_top_lsu_to_xbar[a_address] [10]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[10]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[11]  (
-	.CLK(CTS_100),
-	.D(\soc_top_lsu_to_xbar[a_address] [11]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[11]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[12]  (
-	.CLK(CTS_100),
-	.D(\soc_top_lsu_to_xbar[a_address] [12]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[12]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[13]  (
-	.CLK(CTS_100),
-	.D(\soc_top_lsu_to_xbar[a_address] [13]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[13]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[14]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_alu_adder_result_ex[14]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[14]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[15]  (
-	.CLK(CTS_63),
-	.D(soc_top_u_top_u_core_alu_adder_result_ex[15]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[15]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[16]  (
-	.CLK(CTS_63),
-	.D(\soc_top_lsu_to_xbar[a_address] [16]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[16]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[17]  (
-	.CLK(CTS_63),
-	.D(\soc_top_lsu_to_xbar[a_address] [17]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[17]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[18]  (
-	.CLK(CTS_63),
-	.D(\soc_top_lsu_to_xbar[a_address] [18]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[18]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[19]  (
-	.CLK(CTS_63),
-	.D(\soc_top_lsu_to_xbar[a_address] [19]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[19]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[20]  (
-	.CLK(CTS_63),
-	.D(\soc_top_lsu_to_xbar[a_address] [20]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[20]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[21]  (
-	.CLK(CTS_63),
-	.D(\soc_top_lsu_to_xbar[a_address] [21]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[21]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[22]  (
-	.CLK(CTS_63),
-	.D(\soc_top_lsu_to_xbar[a_address] [22]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[22]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[23]  (
-	.CLK(CTS_63),
-	.D(\soc_top_lsu_to_xbar[a_address] [23]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[23]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[24]  (
-	.CLK(CTS_63),
-	.D(\soc_top_lsu_to_xbar[a_address] [24]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[24]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[25]  (
-	.CLK(CTS_63),
-	.D(\soc_top_lsu_to_xbar[a_address] [25]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[25]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[26]  (
-	.CLK(CTS_107),
-	.D(\soc_top_lsu_to_xbar[a_address] [26]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[26]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[27]  (
-	.CLK(CTS_110),
-	.D(\soc_top_lsu_to_xbar[a_address] [27]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[27]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[28]  (
-	.CLK(CTS_107),
-	.D(n_17561),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[28]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[29]  (
-	.CLK(CTS_110),
-	.D(\soc_top_lsu_to_xbar[a_address] [29]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[29]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[30]  (
-	.CLK(CTS_110),
-	.D(\soc_top_lsu_to_xbar[a_address] [30]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[30]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[31]  (
-	.CLK(CTS_110),
-	.D(\soc_top_lsu_to_xbar[a_address] [31]),
-	.Q(soc_top_u_top_u_core_lsu_addr_last[31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_lsu_addr_last[31]),
-	.SCE(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_top_u_core_load_store_unit_i_data_sign_ext_q_reg (
-	.CLK(CTS_106),
-	.D(n_772),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_data_sign_ext_q),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_load_store_unit_i_data_sign_ext_q),
-	.SCE(n_1363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_data_type_q_reg[0]  (
-	.CLK(CTS_106),
-	.D(n_2007),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_data_type_q[0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_data_type_q_reg[1]  (
-	.CLK(CTS_106),
-	.D(n_1985),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_data_type_q[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_top_u_core_load_store_unit_i_data_we_q_reg (
-	.CLK(CTS_106),
-	.D(n_484),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_data_we_q),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_load_store_unit_i_data_we_q),
-	.SCE(n_1363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 soc_top_u_top_u_core_load_store_unit_i_handle_misaligned_q_reg (
-	.CLK(CTS_106),
-	.D(n_3271),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_handle_misaligned_q),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs_reg[0]  (
-	.CLK(CTS_72),
-	.D(soc_top_u_top_u_core_load_store_unit_i_n_937),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs_reg[1]  (
-	.CLK(CTS_72),
-	.D(soc_top_u_top_u_core_load_store_unit_i_n_936),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs_reg[2]  (
-	.CLK(CTS_72),
-	.D(soc_top_u_top_u_core_load_store_unit_i_n_935),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 soc_top_u_top_u_core_load_store_unit_i_lsu_err_q_reg (
-	.CLK(CTS_106),
-	.D(n_2453),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_lsu_err_q),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q_reg[0]  (
-	.CLK(CTS_106),
-	.D(soc_top_u_top_u_core_alu_adder_result_ex[0]),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
-	.SCE(n_1363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q_reg[1]  (
-	.CLK(CTS_106),
-	.D(soc_top_u_top_u_core_alu_adder_result_ex[1]),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
-	.SCE(n_1363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[8]  (
-	.CLK(CTS_106),
-	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[8]),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_lsu[d_data] [8]),
-	.SCE(n_1818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[9]  (
-	.CLK(CTS_106),
-	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[9]),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_lsu[d_data] [9]),
-	.SCE(n_1818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[10]  (
-	.CLK(CTS_106),
-	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[10]),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_lsu[d_data] [10]),
-	.SCE(n_1818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[11]  (
-	.CLK(CTS_106),
-	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[11]),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_lsu[d_data] [11]),
-	.SCE(n_1818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[12]  (
-	.CLK(CTS_106),
-	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[12]),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_lsu[d_data] [12]),
-	.SCE(n_1818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[13]  (
-	.CLK(CTS_104),
-	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[13]),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_lsu[d_data] [13]),
-	.SCE(n_1818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[14]  (
-	.CLK(CTS_106),
-	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[14]),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_lsu[d_data] [14]),
-	.SCE(n_1818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[15]  (
-	.CLK(CTS_104),
-	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[15]),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_lsu[d_data] [15]),
-	.SCE(n_1818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[16]  (
-	.CLK(CTS_106),
-	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[16]),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_lsu[d_data] [16]),
-	.SCE(n_1818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[17]  (
-	.CLK(CTS_106),
-	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[17]),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_lsu[d_data] [17]),
-	.SCE(n_1818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[18]  (
-	.CLK(CTS_106),
-	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[18]),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_lsu[d_data] [18]),
-	.SCE(n_1818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[19]  (
-	.CLK(CTS_106),
-	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[19]),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_lsu[d_data] [19]),
-	.SCE(n_1818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[20]  (
-	.CLK(CTS_106),
-	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[20]),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_lsu[d_data] [20]),
-	.SCE(n_1818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[21]  (
-	.CLK(CTS_106),
-	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[21]),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_lsu[d_data] [21]),
-	.SCE(n_1818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[22]  (
-	.CLK(CTS_106),
-	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[22]),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_lsu[d_data] [22]),
-	.SCE(n_1818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[23]  (
-	.CLK(CTS_106),
-	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[23]),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_18517),
-	.SCE(n_1818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[24]  (
-	.CLK(CTS_106),
-	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[24]),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_lsu[d_data] [24]),
-	.SCE(n_1818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[25]  (
-	.CLK(CTS_106),
-	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[25]),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_lsu[d_data] [25]),
-	.SCE(n_1818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[26]  (
-	.CLK(CTS_106),
-	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[26]),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_lsu[d_data] [26]),
-	.SCE(n_1818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[27]  (
-	.CLK(CTS_106),
-	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[27]),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_lsu[d_data] [27]),
-	.SCE(n_1818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[28]  (
-	.CLK(CTS_106),
-	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[28]),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_lsu[d_data] [28]),
-	.SCE(n_1818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[29]  (
-	.CLK(CTS_104),
-	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[29]),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_lsu[d_data] [29]),
-	.SCE(n_1818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[30]  (
-	.CLK(CTS_106),
-	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[30]),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_lsu[d_data] [30]),
-	.SCE(n_1818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[31]  (
-	.CLK(CTS_104),
-	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[31]),
-	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_xbar_to_lsu[d_data] [31]),
-	.SCE(n_1818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_load_q_reg  (
-	.CLK(CTS_63),
-	.D(n_1534),
-	.Q(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_load_q ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_rf_we_wb_q_reg  (
-	.CLK(CTS_63),
-	.D(n_5194),
-	.Q(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_rf_we_wb_q ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[0]  (
-	.CLK(CTS_63),
-	.D(n_1556),
-	.Q(soc_top_u_top_u_core_rf_waddr_wb[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[1]  (
-	.CLK(CTS_63),
-	.D(n_1572),
-	.Q(soc_top_u_top_u_core_rf_waddr_wb[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[4]  (
-	.CLK(CTS_63),
-	.D(n_1547),
-	.Q(soc_top_u_top_u_core_rf_waddr_wb[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[0]  (
-	.CLK(CTS_102),
-	.D(n_6386),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[1]  (
-	.CLK(CTS_105),
-	.D(n_6320),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[2]  (
-	.CLK(CTS_105),
-	.D(n_6379),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[3]  (
-	.CLK(CTS_105),
-	.D(n_6540),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[4]  (
-	.CLK(CTS_105),
-	.D(n_6652),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[5]  (
-	.CLK(CTS_102),
-	.D(n_6667),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[6]  (
-	.CLK(CTS_102),
-	.D(n_6677),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[7]  (
-	.CLK(CTS_69),
-	.D(n_6687),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[8]  (
-	.CLK(CTS_105),
-	.D(n_6686),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[9]  (
-	.CLK(CTS_102),
-	.D(n_6700),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[10]  (
-	.CLK(CTS_105),
-	.D(n_6701),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[11]  (
-	.CLK(CTS_105),
-	.D(n_6709),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[12]  (
-	.CLK(CTS_105),
-	.D(n_6714),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[13]  (
-	.CLK(CTS_105),
-	.D(n_6715),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[14]  (
-	.CLK(CTS_105),
-	.D(n_6723),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[15]  (
-	.CLK(CTS_105),
-	.D(n_6725),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[16]  (
-	.CLK(CTS_105),
-	.D(n_6729),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[17]  (
-	.CLK(CTS_105),
-	.D(n_6733),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[18]  (
-	.CLK(CTS_105),
-	.D(n_6737),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[19]  (
-	.CLK(CTS_105),
-	.D(n_6749),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[20]  (
-	.CLK(CTS_102),
-	.D(n_6753),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[21]  (
-	.CLK(CTS_105),
-	.D(n_6760),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[22]  (
-	.CLK(CTS_69),
-	.D(n_6772),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[23]  (
-	.CLK(CTS_102),
-	.D(n_6771),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[24]  (
-	.CLK(CTS_102),
-	.D(n_6791),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[25]  (
-	.CLK(CTS_105),
-	.D(n_6785),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[26]  (
-	.CLK(CTS_102),
-	.D(n_6793),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[27]  (
-	.CLK(CTS_105),
-	.D(n_6802),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[28]  (
-	.CLK(CTS_102),
-	.D(n_6801),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[29]  (
-	.CLK(CTS_102),
-	.D(n_6808),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[30]  (
-	.CLK(CTS_102),
-	.D(n_6807),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[31]  (
-	.CLK(CTS_102),
-	.D(n_6387),
-	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q_reg  (
-	.CLK(CTS_63),
-	.D(n_5564),
-	.Q(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q_reg  (
-	.CLK(CTS_63),
-	.D(n_3065),
-	.Q(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q_reg[0]  (
-	.CLK(CTS_63),
-	.D(n_1940),
-	.Q(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q_reg[1]  (
-	.CLK(CTS_63),
-	.D(n_1978),
-	.Q(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[1]  (
-	.CLK(CTS_100),
-	.D(n_1593),
-	.Q(soc_top_u_top_u_core_pc_wb[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[2]  (
-	.CLK(CTS_96),
-	.D(n_1575),
-	.Q(soc_top_u_top_u_core_pc_wb[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[3]  (
-	.CLK(CTS_97),
-	.D(n_1595),
-	.Q(soc_top_u_top_u_core_pc_wb[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[4]  (
-	.CLK(CTS_96),
-	.D(n_1594),
-	.Q(soc_top_u_top_u_core_pc_wb[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[5]  (
-	.CLK(CTS_97),
-	.D(n_1558),
-	.Q(soc_top_u_top_u_core_pc_wb[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[6]  (
-	.CLK(CTS_97),
-	.D(n_1606),
-	.Q(soc_top_u_top_u_core_pc_wb[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[7]  (
-	.CLK(CTS_97),
-	.D(n_1601),
-	.Q(soc_top_u_top_u_core_pc_wb[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[8]  (
-	.CLK(CTS_99),
-	.D(n_1582),
-	.Q(soc_top_u_top_u_core_pc_wb[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[9]  (
-	.CLK(CTS_99),
-	.D(n_1568),
-	.Q(soc_top_u_top_u_core_pc_wb[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[10]  (
-	.CLK(CTS_99),
-	.D(n_1566),
-	.Q(soc_top_u_top_u_core_pc_wb[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[11]  (
-	.CLK(CTS_99),
-	.D(n_1592),
-	.Q(soc_top_u_top_u_core_pc_wb[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[12]  (
-	.CLK(CTS_99),
-	.D(n_1550),
-	.Q(soc_top_u_top_u_core_pc_wb[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[13]  (
-	.CLK(CTS_99),
-	.D(n_1597),
-	.Q(soc_top_u_top_u_core_pc_wb[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[14]  (
-	.CLK(CTS_99),
-	.D(n_1604),
-	.Q(soc_top_u_top_u_core_pc_wb[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[15]  (
-	.CLK(CTS_100),
-	.D(n_1613),
-	.Q(soc_top_u_top_u_core_pc_wb[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[16]  (
-	.CLK(CTS_95),
-	.D(n_1573),
-	.Q(soc_top_u_top_u_core_pc_wb[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[17]  (
-	.CLK(CTS_93),
-	.D(n_1612),
-	.Q(soc_top_u_top_u_core_pc_wb[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[18]  (
-	.CLK(CTS_98),
-	.D(n_1602),
-	.Q(soc_top_u_top_u_core_pc_wb[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[19]  (
-	.CLK(CTS_95),
-	.D(n_1611),
-	.Q(soc_top_u_top_u_core_pc_wb[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[20]  (
-	.CLK(CTS_98),
-	.D(n_1569),
-	.Q(soc_top_u_top_u_core_pc_wb[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[21]  (
-	.CLK(CTS_95),
-	.D(n_1549),
-	.Q(soc_top_u_top_u_core_pc_wb[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[22]  (
-	.CLK(CTS_102),
-	.D(n_1610),
-	.Q(soc_top_u_top_u_core_pc_wb[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[23]  (
-	.CLK(CTS_102),
-	.D(n_1599),
-	.Q(soc_top_u_top_u_core_pc_wb[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[24]  (
-	.CLK(CTS_102),
-	.D(n_1587),
-	.Q(soc_top_u_top_u_core_pc_wb[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[25]  (
-	.CLK(CTS_98),
-	.D(n_1600),
-	.Q(soc_top_u_top_u_core_pc_wb[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[26]  (
-	.CLK(CTS_102),
-	.D(n_1581),
-	.Q(soc_top_u_top_u_core_pc_wb[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[27]  (
-	.CLK(CTS_102),
-	.D(n_1565),
-	.Q(soc_top_u_top_u_core_pc_wb[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[28]  (
-	.CLK(CTS_102),
-	.D(n_1567),
-	.Q(soc_top_u_top_u_core_pc_wb[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[29]  (
-	.CLK(CTS_95),
-	.D(n_1571),
-	.Q(soc_top_u_top_u_core_pc_wb[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[30]  (
-	.CLK(CTS_98),
-	.D(n_1596),
-	.Q(soc_top_u_top_u_core_pc_wb[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[31]  (
-	.CLK(CTS_95),
-	.D(n_1609),
-	.Q(soc_top_u_top_u_core_pc_wb[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q_reg  (
-	.CLK(CTS_63),
-	.D(n_805),
-	.Q(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_uart_u_reg_if_outstanding_reg (
-	.CLK(CTS_16),
-	.D(n_1354),
-	.Q(\soc_top_uart_to_xbar[d_valid] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_uart_to_xbar[d_valid] ),
-	.SCE(n_1729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[0]  (
-	.CLK(CTS_89),
-	.D(n_3063),
-	.Q(\soc_top_uart_to_xbar[d_data] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[1]  (
-	.CLK(CTS_41),
-	.D(n_3062),
-	.Q(\soc_top_uart_to_xbar[d_data] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[2]  (
-	.CLK(CTS_41),
-	.D(n_3056),
-	.Q(\soc_top_uart_to_xbar[d_data] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[3]  (
-	.CLK(CTS_41),
-	.D(n_3061),
-	.Q(\soc_top_uart_to_xbar[d_data] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[4]  (
-	.CLK(CTS_41),
-	.D(n_3060),
-	.Q(\soc_top_uart_to_xbar[d_data] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[5]  (
-	.CLK(CTS_40),
-	.D(n_3059),
-	.Q(\soc_top_uart_to_xbar[d_data] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[6]  (
-	.CLK(CTS_41),
-	.D(n_3058),
-	.Q(\soc_top_uart_to_xbar[d_data] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[7]  (
-	.CLK(CTS_41),
-	.D(n_3057),
-	.Q(\soc_top_uart_to_xbar[d_data] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[8]  (
-	.CLK(CTS_89),
-	.D(n_2175),
-	.Q(\soc_top_uart_to_xbar[d_data] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[9]  (
-	.CLK(CTS_89),
-	.D(n_2163),
-	.Q(\soc_top_uart_to_xbar[d_data] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[10]  (
-	.CLK(CTS_41),
-	.D(n_2164),
-	.Q(\soc_top_uart_to_xbar[d_data] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[11]  (
-	.CLK(CTS_41),
-	.D(n_2161),
-	.Q(\soc_top_uart_to_xbar[d_data] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[12]  (
-	.CLK(CTS_41),
-	.D(n_2174),
-	.Q(\soc_top_uart_to_xbar[d_data] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[13]  (
-	.CLK(CTS_41),
-	.D(n_2160),
-	.Q(\soc_top_uart_to_xbar[d_data] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[14]  (
-	.CLK(CTS_89),
-	.D(n_2173),
-	.Q(\soc_top_uart_to_xbar[d_data] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[15]  (
-	.CLK(CTS_41),
-	.D(n_2196),
-	.Q(\soc_top_uart_to_xbar[d_data] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[16]  (
-	.CLK(CTS_38),
-	.D(n_2155),
-	.Q(\soc_top_uart_to_xbar[d_data] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[17]  (
-	.CLK(CTS_21),
-	.D(n_2159),
-	.Q(\soc_top_uart_to_xbar[d_data] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[18]  (
-	.CLK(CTS_38),
-	.D(n_2156),
-	.Q(\soc_top_uart_to_xbar[d_data] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_reg_if_rspop_reg[0]  (
-	.CLK(CTS_16),
-	.D(n_14994),
-	.Q(\soc_top_uart_to_xbar[d_opcode] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_uart_to_xbar[d_opcode] [0]),
-	.SCE(n_1353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_control_reg[0]  (
-	.CLK(CTS_89),
-	.D(n_3207),
-	.Q(soc_top_u_uart_u_uart_core_control[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_control_reg[1]  (
-	.CLK(CTS_41),
-	.D(n_3206),
-	.Q(soc_top_u_uart_u_uart_core_control[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_control_reg[2]  (
-	.CLK(CTS_41),
-	.D(n_3205),
-	.Q(soc_top_u_uart_u_uart_core_control[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_control_reg[3]  (
-	.CLK(CTS_89),
-	.D(n_3204),
-	.Q(soc_top_u_uart_u_uart_core_control[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_control_reg[4]  (
-	.CLK(CTS_89),
-	.D(n_3203),
-	.Q(soc_top_u_uart_u_uart_core_control[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_control_reg[5]  (
-	.CLK(CTS_89),
-	.D(n_3202),
-	.Q(soc_top_u_uart_u_uart_core_control[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_control_reg[6]  (
-	.CLK(CTS_89),
-	.D(n_3201),
-	.Q(soc_top_u_uart_u_uart_core_control[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_control_reg[7]  (
-	.CLK(CTS_89),
-	.D(n_3200),
-	.Q(soc_top_u_uart_u_uart_core_control[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_control_reg[8]  (
-	.CLK(CTS_89),
-	.D(n_3199),
-	.Q(soc_top_u_uart_u_uart_core_control[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_control_reg[9]  (
-	.CLK(CTS_89),
-	.D(n_3167),
-	.Q(soc_top_u_uart_u_uart_core_control[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_control_reg[10]  (
-	.CLK(CTS_89),
-	.D(n_3198),
-	.Q(soc_top_u_uart_u_uart_core_control[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_control_reg[11]  (
-	.CLK(CTS_89),
-	.D(n_3197),
-	.Q(soc_top_u_uart_u_uart_core_control[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_control_reg[12]  (
-	.CLK(CTS_89),
-	.D(n_3196),
-	.Q(soc_top_u_uart_u_uart_core_control[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_control_reg[13]  (
-	.CLK(CTS_89),
-	.D(n_3169),
-	.Q(soc_top_u_uart_u_uart_core_control[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_control_reg[14]  (
-	.CLK(CTS_89),
-	.D(n_3195),
-	.Q(soc_top_u_uart_u_uart_core_control[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_control_reg[15]  (
-	.CLK(CTS_89),
-	.D(n_3194),
-	.Q(soc_top_u_uart_u_uart_core_control[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_control_reg[16]  (
-	.CLK(CTS_38),
-	.D(n_3193),
-	.Q(soc_top_u_uart_u_uart_core_control[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_control_reg[17]  (
-	.CLK(CTS_38),
-	.D(n_3192),
-	.Q(soc_top_u_uart_u_uart_core_control[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_control_reg[18]  (
-	.CLK(CTS_21),
-	.D(n_3191),
-	.Q(soc_top_u_uart_u_uart_core_control[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_tx_reg[0]  (
-	.CLK(CTS_89),
-	.D(n_3190),
-	.Q(soc_top_u_uart_u_uart_core_tx[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_tx_reg[1]  (
-	.CLK(CTS_89),
-	.D(n_3165),
-	.Q(soc_top_u_uart_u_uart_core_tx[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_tx_reg[2]  (
-	.CLK(CTS_89),
-	.D(n_3189),
-	.Q(soc_top_u_uart_u_uart_core_tx[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_tx_reg[3]  (
-	.CLK(CTS_89),
-	.D(n_3188),
-	.Q(soc_top_u_uart_u_uart_core_tx[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_tx_reg[4]  (
-	.CLK(CTS_89),
-	.D(n_3187),
-	.Q(soc_top_u_uart_u_uart_core_tx[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_tx_reg[5]  (
-	.CLK(CTS_89),
-	.D(n_3166),
-	.Q(soc_top_u_uart_u_uart_core_tx[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_tx_reg[6]  (
-	.CLK(CTS_89),
-	.D(n_3186),
-	.Q(soc_top_u_uart_u_uart_core_tx[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_tx_reg[7]  (
-	.CLK(CTS_89),
-	.D(n_3185),
-	.Q(soc_top_u_uart_u_uart_core_tx[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g472571 (
-	.A(n_6806),
-	.B(n_5360),
-	.C(n_4124),
-	.Y(n_6808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g472572 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [30]),
-	.A2(n_3780),
-	.B1(n_3779),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [30]),
-	.C1(n_6805),
-	.X(n_6807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g472573 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [29]),
-	.A2(n_3780),
-	.B1(n_6804),
-	.Y(n_6806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211o_1 g472574 (
-	.A1(n_1352),
-	.A2(n_17060),
-	.B1(n_5359),
-	.C1(n_6803),
-	.X(n_6805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472575 (
-	.A(n_6800),
-	.B(n_5946),
-	.C(n_4831),
-	.D(n_5058),
-	.Y(n_6804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472577 (
-	.A(n_6798),
-	.B(n_5945),
-	.C(n_4866),
-	.D(n_5286),
-	.Y(n_6803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g472579 (
-	.A(n_4127),
-	.B(n_6799),
-	.C(n_5360),
-	.Y(n_6802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472582 (
-	.A(n_4125),
-	.B(n_6794),
-	.C(n_1744),
-	.D(n_5360),
-	.Y(n_6801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g472583 (
-	.A1(n_1079),
-	.A2(n_4688),
-	.B1(n_3782),
-	.B2(n_6795),
-	.C1(n_4734),
-	.C2(n_16686),
-	.Y(n_6800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g472584 (
-	.A1(n_3779),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [27]),
-	.B1(n_6797),
-	.Y(n_6799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g472585 (
-	.A1(n_3782),
-	.A2(n_6796),
-	.B1(n_4734),
-	.B2(n_16687),
-	.Y(n_6798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472586 (
-	.A(n_6790),
-	.B(n_5947),
-	.C(n_4833),
-	.D(n_5059),
-	.Y(n_6797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g472589 (
-	.A1(n_3782),
-	.A2(n_6786),
-	.B1(n_4734),
-	.B2(n_16685),
-	.C1(n_6047),
-	.Y(n_6794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g472590 (
-	.A(n_3797),
-	.B(n_6789),
-	.X(n_6796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g472591 (
-	.A1_N(n_4024),
-	.A2_N(n_6792),
-	.B1(n_4024),
-	.B2(n_6792),
-	.Y(n_6795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472593 (
-	.A(n_4128),
-	.B(n_6783),
-	.C(n_1746),
-	.D(n_5360),
-	.Y(n_6793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g472595 (
-	.A(n_6782),
-	.B(n_6788),
-	.Y(n_6792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g472597 (
-	.A(n_4130),
-	.B(n_6784),
-	.C(n_5360),
-	.Y(n_6791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g472598 (
-	.A1(n_1061),
-	.A2(n_4688),
-	.B1(n_3782),
-	.B2(n_6781),
-	.C1(n_4734),
-	.C2(n_16684),
-	.Y(n_6790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g472599 (
-	.A_N(n_6788),
-	.B(n_6787),
-	.Y(n_6789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g472600 (
-	.A1(n_461),
-	.A2(n_6782),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [6]),
-	.Y(n_6787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g472601 (
-	.A1(n_16649),
-	.A2(n_284),
-	.B1(n_909),
-	.Y(n_6788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472602 (
-	.A(n_4129),
-	.B(n_6777),
-	.C(n_1745),
-	.D(n_5360),
-	.Y(n_6785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g472603 (
-	.A(n_3431),
-	.B(n_6779),
-	.X(n_6786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g472605 (
-	.A1(n_3779),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [24]),
-	.B1(n_6780),
-	.Y(n_6784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g472606 (
-	.A1(n_3782),
-	.A2(n_6775),
-	.B1(n_4734),
-	.B2(n_16683),
-	.C1(n_6046),
-	.Y(n_6783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g472608 (
-	.A(n_3050),
-	.B(n_6778),
-	.Y(n_6782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472609 (
-	.A(n_6776),
-	.B(n_5948),
-	.C(n_1326),
-	.D(n_4870),
-	.Y(n_6780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472610 (
-	.A(n_6778),
-	.B(n_909),
-	.Y(n_6779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g472611 (
-	.A(n_4037),
-	.B(n_6774),
-	.X(n_6781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g472614 (
-	.A1(n_3782),
-	.A2(n_6769),
-	.B1(n_4734),
-	.B2(n_16682),
-	.C1(n_6045),
-	.Y(n_6777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472615 (
-	.A(n_6774),
-	.B(n_3716),
-	.Y(n_6778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g472616 (
-	.A1(n_4731),
-	.A2(soc_top_u_top_u_core_alu_operand_b_ex[24]),
-	.A3(n_15390),
-	.B1(n_6773),
-	.Y(n_6776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g472618 (
-	.A(n_3719),
-	.B(n_6770),
-	.X(n_6775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g472619 (
-	.A1(n_3339),
-	.A2(n_6767),
-	.B1(n_924),
-	.C1(n_935),
-	.Y(n_6774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g472622 (
-	.A1(n_4688),
-	.A2(n_1055),
-	.B1(n_4734),
-	.B2(n_16681),
-	.C1(n_6766),
-	.X(n_6773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g472623 (
-	.A1(n_3779),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [22]),
-	.B1(n_16235),
-	.B2(n_3780),
-	.C1(n_6765),
-	.X(n_6772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472624 (
-	.A(n_4131),
-	.B(n_6762),
-	.C(n_4755),
-	.D(n_5360),
-	.Y(n_6771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472625 (
-	.A(n_6767),
-	.B(n_924),
-	.Y(n_6770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g472626 (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [24]),
-	.A2_N(n_1615),
-	.B1(n_6764),
-	.B2(n_1615),
-	.Y(n_6768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g472627 (
-	.A1_N(n_4079),
-	.A2_N(n_6763),
-	.B1(n_4079),
-	.B2(n_6763),
-	.Y(n_6769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 g472628 (
-	.A(n_260),
-	.B(n_6763),
-	.X(n_6767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g472629 (
-	.A(n_6764),
-	.B(n_3781),
-	.Y(n_6766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472630 (
-	.A(n_6761),
-	.B(n_4836),
-	.C(n_1756),
-	.D(n_4872),
-	.Y(n_6765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g472631 (
-	.A(n_16649),
-	.B(n_2758),
-	.CIN(n_6746),
-	.COUT(n_6763),
-	.SUM(n_6764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g472633 (
-	.A1(n_3779),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [23]),
-	.B1(n_6758),
-	.Y(n_6762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g472634 (
-	.A1(n_4688),
-	.A2(n_1054),
-	.B1(n_4482),
-	.B2(\soc_top_lsu_to_xbar[a_address] [22]),
-	.C1(n_6759),
-	.Y(n_6761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g472635 (
-	.A(n_6757),
-	.B(n_4914),
-	.C(n_4251),
-	.Y(n_6760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472637 (
-	.A(n_5024),
-	.B(n_6756),
-	.C(n_5688),
-	.D(n_4960),
-	.Y(n_6759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g472638 (
-	.A1(n_3782),
-	.A2(n_6752),
-	.B1(n_4733),
-	.B2(soc_top_u_top_u_core_lsu_wdata[23]),
-	.C1(n_5966),
-	.X(n_6758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g472639 (
-	.A1(n_16234),
-	.A2(n_3780),
-	.B1(n_6754),
-	.Y(n_6757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g472640 (
-	.A1(n_4912),
-	.A2(n_15531),
-	.B1(n_4908),
-	.B2(n_15499),
-	.C1(n_6755),
-	.Y(n_6756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472642 (
-	.A(n_5122),
-	.B(n_6751),
-	.Y(n_6755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472643 (
-	.A(n_6748),
-	.B(n_5949),
-	.C(n_4837),
-	.D(n_5055),
-	.Y(n_6754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g472644 (
-	.A1(n_16233),
-	.A2(n_3780),
-	.B1(n_3779),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [20]),
-	.C1(n_6750),
-	.X(n_6753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g472646 (
-	.A1(n_6744),
-	.A2(n_3782),
-	.B1(n_617),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[22]),
-	.C1(n_3791),
-	.C2(n_1449),
-	.Y(n_6751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g472647 (
-	.A(n_16649),
-	.B(n_6747),
-	.Y(n_6752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211o_1 g472649 (
-	.A1(n_1352),
-	.A2(n_17051),
-	.B1(n_4913),
-	.C1(n_6745),
-	.X(n_6750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g472650 (
-	.A(n_6743),
-	.B(n_4914),
-	.C(n_4246),
-	.Y(n_6749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g472652 (
-	.A1(n_1059),
-	.A2(n_4688),
-	.B1(n_3782),
-	.B2(n_6740),
-	.C1(n_4734),
-	.C2(n_16678),
-	.Y(n_6748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g472653 (
-	.A(n_3051),
-	.B(n_6742),
-	.X(n_6747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g472654 (
-	.A(n_3051),
-	.B(n_6742),
-	.C(n_16649),
-	.X(n_6746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472655 (
-	.A(n_6739),
-	.B(n_5938),
-	.C(n_4843),
-	.D(n_5280),
-	.Y(n_6745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g472656 (
-	.A(n_2142),
-	.B(n_6738),
-	.X(n_6744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g472657 (
-	.A1(n_16232),
-	.A2(n_3780),
-	.B1(n_6741),
-	.Y(n_6743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g472658 (
-	.A(n_6738),
-	.B(n_2142),
-	.C(n_1240),
-	.Y(n_6742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472660 (
-	.A(n_6736),
-	.B(n_5939),
-	.C(n_4817),
-	.D(n_5056),
-	.Y(n_6741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g472661 (
-	.A1(n_6734),
-	.A2(n_1656),
-	.B1(n_6738),
-	.Y(n_6740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g472662 (
-	.A1(n_3782),
-	.A2(n_6735),
-	.B1(n_4734),
-	.B2(n_16677),
-	.Y(n_6739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g472664 (
-	.A(n_1656),
-	.B(n_6734),
-	.Y(n_6738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472666 (
-	.A(n_4215),
-	.B(n_6732),
-	.C(n_1796),
-	.D(n_4914),
-	.Y(n_6737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g472667 (
-	.A1(n_998),
-	.A2(n_4688),
-	.B1(n_3782),
-	.B2(n_6731),
-	.C1(n_4734),
-	.C2(n_16676),
-	.Y(n_6736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g472668 (
-	.A1(n_6730),
-	.A2(n_1715),
-	.B1_N(n_6734),
-	.Y(n_6735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g472670 (
-	.A(n_1715),
-	.B(n_6730),
-	.X(n_6734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472672 (
-	.A(n_4212),
-	.B(n_6728),
-	.C(n_1737),
-	.D(n_4914),
-	.Y(n_6733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g472673 (
-	.A1(n_3782),
-	.A2(n_6727),
-	.B1(n_4734),
-	.B2(n_16675),
-	.C1(n_5996),
-	.Y(n_6732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g472674 (
-	.A1(n_2079),
-	.A2(n_6726),
-	.B1(n_6730),
-	.X(n_6731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472676 (
-	.A(n_6726),
-	.B(n_2079),
-	.Y(n_6730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472678 (
-	.A(n_4211),
-	.B(n_6724),
-	.C(n_1750),
-	.D(n_4914),
-	.Y(n_6729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g472679 (
-	.A1(n_3782),
-	.A2(n_6722),
-	.B1(n_4734),
-	.B2(n_16674),
-	.C1(n_5997),
-	.Y(n_6728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g472680 (
-	.A1(n_6721),
-	.A2(n_2078),
-	.B1(n_6726),
-	.Y(n_6727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g472682 (
-	.A(n_2078),
-	.B(n_6721),
-	.Y(n_6726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472685 (
-	.A(n_4207),
-	.B(n_6719),
-	.C(n_1758),
-	.D(n_4914),
-	.Y(n_6725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g472686 (
-	.A1(n_3782),
-	.A2(n_6717),
-	.B1(n_4734),
-	.B2(n_16673),
-	.C1(n_5998),
-	.Y(n_6724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g472687 (
-	.A1(n_16227),
-	.A2(n_3780),
-	.B1(n_3779),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [14]),
-	.C1(n_6720),
-	.X(n_6723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g472688 (
-	.A1(n_2077),
-	.A2(n_6716),
-	.B1(n_6721),
-	.X(n_6722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472689 (
-	.A(n_6716),
-	.B(n_2077),
-	.Y(n_6721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211o_1 g472690 (
-	.A1(n_1352),
-	.A2(n_17046),
-	.B1(n_4913),
-	.C1(n_6718),
-	.X(n_6720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g472692 (
-	.A1(n_3782),
-	.A2(n_6713),
-	.B1(n_4734),
-	.B2(n_16672),
-	.C1(n_5999),
-	.Y(n_6719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472693 (
-	.A(n_6712),
-	.B(n_5940),
-	.C(n_4848),
-	.D(n_5281),
-	.Y(n_6718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g472694 (
-	.A1(n_6711),
-	.A2(n_2076),
-	.B1(n_6716),
-	.Y(n_6717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g472696 (
-	.A(n_2076),
-	.B(n_6711),
-	.Y(n_6716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472698 (
-	.A(n_4206),
-	.B(n_6708),
-	.C(n_1743),
-	.D(n_4914),
-	.Y(n_6715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g472700 (
-	.A1(n_16225),
-	.A2(n_3780),
-	.B1(n_3779),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [12]),
-	.C1(n_6710),
-	.X(n_6714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g472701 (
-	.A1(n_3782),
-	.A2(n_6706),
-	.B1(n_4734),
-	.B2(n_16671),
-	.Y(n_6712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g472702 (
-	.A1(n_1709),
-	.A2(n_6705),
-	.B1(n_6711),
-	.X(n_6713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211o_1 g472704 (
-	.A1(n_1352),
-	.A2(n_17044),
-	.B1(n_4913),
-	.C1(n_6707),
-	.X(n_6710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472705 (
-	.A(n_6705),
-	.B(n_1709),
-	.Y(n_6711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g472707 (
-	.A(n_4205),
-	.B(n_6704),
-	.C(n_4914),
-	.Y(n_6709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g472708 (
-	.A1(n_3782),
-	.A2(n_6702),
-	.B1(n_4734),
-	.B2(n_16670),
-	.C1(n_6000),
-	.Y(n_6708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472709 (
-	.A(n_6699),
-	.B(n_5941),
-	.C(n_4850),
-	.D(n_5354),
-	.Y(n_6707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g472710 (
-	.A1(n_6698),
-	.A2(n_1707),
-	.B1(n_6705),
-	.Y(n_6706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g472711 (
-	.A(n_1707),
-	.B(n_6698),
-	.Y(n_6705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g472712 (
-	.A1(n_3779),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [11]),
-	.B1(n_6703),
-	.Y(n_6704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472731 (
-	.A(n_6694),
-	.B(n_5942),
-	.C(n_4823),
-	.D(n_5057),
-	.Y(n_6703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g472749 (
-	.A1(n_16223),
-	.A2(n_3780),
-	.B1(n_3779),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [10]),
-	.C1(n_6697),
-	.X(n_6701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g472750 (
-	.A1(n_1706),
-	.A2(n_6691),
-	.B1(n_6698),
-	.X(n_6702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g472751 (
-	.A1(n_16222),
-	.A2(n_3780),
-	.B1(n_3779),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [9]),
-	.C1(n_6696),
-	.X(n_6700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g472752 (
-	.A1(n_3782),
-	.A2(n_6692),
-	.B1(n_4734),
-	.B2(n_16669),
-	.Y(n_6699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472753 (
-	.A(n_6691),
-	.B(n_1706),
-	.Y(n_6698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211o_1 g472754 (
-	.A1(n_1352),
-	.A2(n_17043),
-	.B1(n_4913),
-	.C1(n_6693),
-	.X(n_6697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472755 (
-	.A(n_6690),
-	.B(n_4824),
-	.C(n_1803),
-	.D(n_4852),
-	.Y(n_6696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g472757 (
-	.A1(n_1093),
-	.A2(n_4688),
-	.B1(n_3782),
-	.B2(n_6685),
-	.C1(n_4734),
-	.C2(n_16668),
-	.Y(n_6694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4bb_2 g472758 (
-	.A_N(n_5585),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [18]),
-	.C(n_6689),
-	.D(n_4982),
-	.X(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472759 (
-	.A(n_6684),
-	.B(n_5943),
-	.C(n_4851),
-	.D(n_5283),
-	.Y(n_6693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g472760 (
-	.A1(n_6683),
-	.A2(n_1705),
-	.B1(n_6691),
-	.Y(n_6692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g472763 (
-	.A1(n_4688),
-	.A2(n_1092),
-	.B1(n_4482),
-	.B2(\soc_top_lsu_to_xbar[a_address] [9]),
-	.C1(n_6688),
-	.Y(n_6690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g472764 (
-	.A(n_1705),
-	.B(n_6683),
-	.Y(n_6691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g472765 (
-	.A(n_5587),
-	.B(n_5586),
-	.C(n_5588),
-	.D(n_6678),
-	.Y(n_6689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472767 (
-	.A(n_6680),
-	.B(n_4949),
-	.C(n_5618),
-	.D(n_5045),
-	.Y(n_6688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g472768 (
-	.A1(n_16220),
-	.A2(n_3780),
-	.B1(n_3779),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [7]),
-	.C1(n_6682),
-	.X(n_6687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g472769 (
-	.A1(n_3779),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [8]),
-	.B1(n_16221),
-	.B2(n_3780),
-	.C1(n_6681),
-	.X(n_6686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g472770 (
-	.A1(n_6672),
-	.A2(n_1704),
-	.B1_N(n_6683),
-	.Y(n_6685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g472771 (
-	.A1(n_3782),
-	.A2(n_6676),
-	.B1(n_4734),
-	.B2(n_16667),
-	.Y(n_6684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g472773 (
-	.A(n_1704),
-	.B(n_6672),
-	.X(n_6683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472774 (
-	.A(n_6675),
-	.B(n_4826),
-	.C(n_1789),
-	.D(n_4854),
-	.Y(n_6682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472775 (
-	.A(n_6674),
-	.B(n_4825),
-	.C(n_1802),
-	.D(n_4853),
-	.Y(n_6681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g472776 (
-	.A1(n_4912),
-	.A2(n_15518),
-	.B1(n_4908),
-	.B2(n_15486),
-	.C1(n_6679),
-	.Y(n_6680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472777 (
-	.A(n_4914),
-	.B(n_6673),
-	.Y(n_6679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g472779 (
-	.A(n_5569),
-	.B(n_5531),
-	.C(n_5570),
-	.D(n_6668),
-	.X(n_6678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g472780 (
-	.A1(n_3779),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [6]),
-	.B1(n_16219),
-	.B2(n_3780),
-	.C1(n_6670),
-	.X(n_6677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g472781 (
-	.A1(n_4688),
-	.A2(n_1091),
-	.B1(n_4482),
-	.B2(\soc_top_xbar_to_timer[a_address] [7]),
-	.C1(n_6669),
-	.Y(n_6675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g472782 (
-	.A1(n_6658),
-	.A2(n_1703),
-	.B1_N(n_6672),
-	.Y(n_6676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g472783 (
-	.A1(n_4688),
-	.A2(n_999),
-	.B1(n_4482),
-	.B2(\soc_top_xbar_to_timer[a_address] [8]),
-	.C1(n_6671),
-	.Y(n_6674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g472784 (
-	.A1(n_6662),
-	.A2(n_3782),
-	.B1(n_5501),
-	.B2(n_432),
-	.C1(n_617),
-	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[9]),
-	.Y(n_6673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g472786 (
-	.A(n_1703),
-	.B(n_6658),
-	.X(n_6672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472788 (
-	.A(n_5944),
-	.B(n_4914),
-	.C(n_1305),
-	.D(n_6659),
-	.Y(n_6671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472789 (
-	.A(n_6661),
-	.B(n_4827),
-	.C(n_1801),
-	.D(n_4855),
-	.Y(n_6670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472790 (
-	.A(n_6660),
-	.B(n_4950),
-	.C(n_5615),
-	.D(n_4989),
-	.Y(n_6669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g472794 (
-	.A(n_5529),
-	.B(n_5528),
-	.C(n_5535),
-	.D(n_6651),
-	.X(n_6668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g472795 (
-	.A1(n_16218),
-	.A2(n_3780),
-	.B1(n_3779),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [5]),
-	.C1(n_6656),
-	.X(n_6667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472798 (
-	.A(n_6657),
-	.B(n_4445),
-	.Y(n_6666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472799 (
-	.A(n_6657),
-	.B(n_4448),
-	.Y(n_6665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472800 (
-	.A(n_6657),
-	.B(n_4447),
-	.Y(n_6664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472801 (
-	.A(n_6657),
-	.B(n_4446),
-	.Y(n_6663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g472802 (
-	.A1(n_4688),
-	.A2(n_1058),
-	.B1(n_4482),
-	.B2(\soc_top_xbar_to_timer[a_address] [6]),
-	.C1(n_6654),
-	.Y(n_6661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g472803 (
-	.A1(n_4912),
-	.A2(n_15516),
-	.B1(n_4908),
-	.B2(n_15484),
-	.C1(n_6653),
-	.Y(n_6660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g472804 (
-	.A1(n_5317),
-	.A2(n_432),
-	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_nan] ),
-	.B1(n_3782),
-	.B2(n_6623),
-	.Y(n_6659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g472805 (
-	.A1(n_1702),
-	.A2(n_6599),
-	.B1(n_6658),
-	.X(n_6662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472813 (
-	.A(n_6602),
-	.B(n_4828),
-	.C(n_1800),
-	.D(n_4856),
-	.Y(n_6656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g472814 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][6] ),
-	.B(n_6561),
-	.Y(n_6655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472815 (
-	.A(n_4990),
-	.B(n_6601),
-	.C(n_5617),
-	.D(n_4951),
-	.Y(n_6654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g472816 (
-	.A1(n_432),
-	.A2(n_5252),
-	.B1(n_6608),
-	.C1(n_4914),
-	.Y(n_6653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472817 (
-	.A(n_6599),
-	.B(n_1702),
-	.Y(n_6658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g472820 (
-	.A(n_6559),
-	.B(n_4263),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_6 ),
-	.Y(n_6657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g472844 (
-	.A1(n_16217),
-	.A2(n_3780),
-	.B1(n_3779),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [4]),
-	.C1(n_6562),
-	.X(n_6652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g472845 (
-	.A(n_5521),
-	.B(n_5520),
-	.C(n_5519),
-	.D(n_6554),
-	.X(n_6651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472846 (
-	.A(n_3792),
-	.B(n_6560),
-	.C(n_3543),
-	.D(n_3383),
-	.Y(n_6650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472848 (
-	.A(n_6610),
-	.B(n_1689),
-	.Y(n_6649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472849 (
-	.A(n_6609),
-	.B(n_1690),
-	.Y(n_6648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472850 (
-	.A(n_6642),
-	.B(n_1688),
-	.Y(n_6647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472851 (
-	.A(n_6643),
-	.B(n_1687),
-	.Y(n_6646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g472852 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_6600),
-	.Y(n_6645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472867 (
-	.A(n_6578),
-	.B(n_1676),
-	.Y(n_6644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472868 (
-	.A1(soc_top_intr_controller_ip[32]),
-	.A2(n_1389),
-	.A3(n_6547),
-	.B1(soc_top_intr_controller_u_gateway_ia[32]),
-	.Y(n_6643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472869 (
-	.A1(soc_top_intr_controller_ip[33]),
-	.A2(n_1384),
-	.A3(n_6547),
-	.B1(soc_top_intr_controller_u_gateway_ia[33]),
-	.Y(n_6642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472870 (
-	.A(n_6594),
-	.B(n_1660),
-	.Y(n_6641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472871 (
-	.A(n_6593),
-	.B(n_1665),
-	.Y(n_6640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472872 (
-	.A(n_6591),
-	.B(n_1666),
-	.Y(n_6639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472873 (
-	.A(n_6590),
-	.B(n_1695),
-	.Y(n_6638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472874 (
-	.A(n_6592),
-	.B(n_1663),
-	.Y(n_6637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472875 (
-	.A(n_6598),
-	.B(n_1667),
-	.Y(n_6636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472876 (
-	.A(n_6595),
-	.B(n_1664),
-	.Y(n_6635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472877 (
-	.A(n_6588),
-	.B(n_1668),
-	.Y(n_6634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472878 (
-	.A(n_6586),
-	.B(n_1670),
-	.Y(n_6633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472879 (
-	.A(n_6585),
-	.B(n_1700),
-	.Y(n_6632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472880 (
-	.A(n_6584),
-	.B(n_1671),
-	.Y(n_6631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472881 (
-	.A(n_6583),
-	.B(n_1672),
-	.Y(n_6630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472882 (
-	.A(n_6587),
-	.B(n_1669),
-	.Y(n_6629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472883 (
-	.A(n_6582),
-	.B(n_1673),
-	.Y(n_6628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472884 (
-	.A(n_6581),
-	.B(n_1674),
-	.Y(n_6627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472885 (
-	.A(n_6589),
-	.B(n_1696),
-	.Y(n_6626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472886 (
-	.A(n_6579),
-	.B(n_1675),
-	.Y(n_6625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472887 (
-	.A(n_6577),
-	.B(n_1693),
-	.Y(n_6624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472891 (
-	.A(n_6575),
-	.B(n_1677),
-	.Y(n_6622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472892 (
-	.A(n_6576),
-	.B(n_1678),
-	.Y(n_6621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472893 (
-	.A(n_6574),
-	.B(n_1679),
-	.Y(n_6620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472894 (
-	.A(n_6573),
-	.B(n_1680),
-	.Y(n_6619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472895 (
-	.A(n_6572),
-	.B(n_1681),
-	.Y(n_6618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472896 (
-	.A(n_6571),
-	.B(n_1682),
-	.Y(n_6617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472897 (
-	.A(n_6570),
-	.B(n_1683),
-	.Y(n_6616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472898 (
-	.A(n_6569),
-	.B(n_1684),
-	.Y(n_6615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472899 (
-	.A(n_6568),
-	.B(n_1685),
-	.Y(n_6614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472900 (
-	.A(n_6567),
-	.B(n_1692),
-	.Y(n_6613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472901 (
-	.A(n_6566),
-	.B(n_1691),
-	.Y(n_6612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g472902 (
-	.A(n_6565),
-	.B(n_1686),
-	.Y(n_6611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472903 (
-	.A1(soc_top_intr_controller_ip[34]),
-	.A2(n_1389),
-	.A3(n_6549),
-	.B1(soc_top_intr_controller_u_gateway_ia[34]),
-	.Y(n_6610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472904 (
-	.A1(soc_top_intr_controller_ip[35]),
-	.A2(n_1384),
-	.A3(n_6549),
-	.B1(soc_top_intr_controller_u_gateway_ia[35]),
-	.Y(n_6609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g472905 (
-	.A1(n_3782),
-	.A2(n_6548),
-	.B1(n_617),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[7]),
-	.Y(n_6608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g472906 (
-	.A1(n_4262),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [8]),
-	.B1(n_6580),
-	.X(n_6607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g472907 (
-	.A1(n_4262),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [10]),
-	.B1(n_6580),
-	.X(n_6606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g472908 (
-	.A1(n_4262),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [11]),
-	.B1(n_6580),
-	.X(n_6605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g472909 (
-	.A1(n_4262),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [9]),
-	.B1(n_6580),
-	.X(n_6604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g472910 (
-	.A(n_4179),
-	.B(n_6560),
-	.C(n_3383),
-	.Y(n_6603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g472911 (
-	.A1(n_4688),
-	.A2(n_1003),
-	.B1(n_4482),
-	.B2(\soc_top_xbar_to_timer[a_address] [5]),
-	.C1(n_6563),
-	.Y(n_6602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g472912 (
-	.A1(n_4912),
-	.A2(n_15515),
-	.B1(n_4908),
-	.B2(n_15483),
-	.C1(n_6596),
-	.Y(n_6601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g472913 (
-	.A1(n_6557),
-	.A2(n_1355),
-	.B1(n_1356),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[12]),
-	.Y(n_6600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g472914 (
-	.A1(n_6522),
-	.A2(n_1701),
-	.B1(n_6599),
-	.Y(n_6623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472915 (
-	.A1(soc_top_intr_controller_ip[7]),
-	.A2(n_1406),
-	.A3(n_6543),
-	.B1(soc_top_intr_controller_u_gateway_ia[7]),
-	.Y(n_6598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g472916 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_6558),
-	.Y(n_6597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g472917 (
-	.A1(\soc_top_u_top_u_core_fp_operands[0] [31]),
-	.A2(n_4513),
-	.B1(n_6541),
-	.C1(n_4914),
-	.Y(n_6596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472918 (
-	.A1(soc_top_intr_controller_ip[2]),
-	.A2(n_1389),
-	.A3(n_6543),
-	.B1(soc_top_intr_controller_u_gateway_ia[2]),
-	.Y(n_6595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472919 (
-	.A1(soc_top_intr_controller_ip[3]),
-	.A2(n_1384),
-	.A3(n_6543),
-	.B1(soc_top_intr_controller_u_gateway_ia[3]),
-	.Y(n_6594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472920 (
-	.A1(soc_top_intr_controller_ip[4]),
-	.A2(n_1411),
-	.A3(n_6546),
-	.B1(soc_top_intr_controller_u_gateway_ia[4]),
-	.Y(n_6593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472921 (
-	.A1(soc_top_intr_controller_ip[1]),
-	.A2(n_1384),
-	.A3(n_6546),
-	.B1(soc_top_intr_controller_u_gateway_ia[1]),
-	.Y(n_6592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472922 (
-	.A1(soc_top_intr_controller_ip[5]),
-	.A2(n_1406),
-	.A3(n_6546),
-	.B1(soc_top_intr_controller_u_gateway_ia[5]),
-	.Y(n_6591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472923 (
-	.A1(soc_top_intr_controller_ip[6]),
-	.A2(n_1411),
-	.A3(n_6543),
-	.B1(soc_top_intr_controller_u_gateway_ia[6]),
-	.Y(n_6590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472924 (
-	.A1(soc_top_intr_controller_ip[16]),
-	.A2(n_1407),
-	.A3(n_6546),
-	.B1(soc_top_intr_controller_u_gateway_ia[16]),
-	.Y(n_6589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472925 (
-	.A1(soc_top_intr_controller_ip[8]),
-	.A2(n_1389),
-	.A3(n_6544),
-	.B1(soc_top_intr_controller_u_gateway_ia[8]),
-	.Y(n_6588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472926 (
-	.A1(soc_top_intr_controller_ip[9]),
-	.A2(n_1384),
-	.A3(n_6544),
-	.B1(soc_top_intr_controller_u_gateway_ia[9]),
-	.Y(n_6587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472927 (
-	.A1(soc_top_intr_controller_ip[10]),
-	.A2(n_1389),
-	.A3(n_6545),
-	.B1(soc_top_intr_controller_u_gateway_ia[10]),
-	.Y(n_6586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472928 (
-	.A1(soc_top_intr_controller_ip[11]),
-	.A2(n_1384),
-	.A3(n_6545),
-	.B1(soc_top_intr_controller_u_gateway_ia[11]),
-	.Y(n_6585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472929 (
-	.A1(soc_top_intr_controller_ip[12]),
-	.A2(n_1411),
-	.A3(n_6544),
-	.B1(soc_top_intr_controller_u_gateway_ia[12]),
-	.Y(n_6584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472930 (
-	.A1(soc_top_intr_controller_ip[13]),
-	.A2(n_1406),
-	.A3(n_6544),
-	.B1(soc_top_intr_controller_u_gateway_ia[13]),
-	.Y(n_6583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472931 (
-	.A1(soc_top_intr_controller_ip[14]),
-	.A2(n_1411),
-	.A3(n_6545),
-	.B1(soc_top_intr_controller_u_gateway_ia[14]),
-	.Y(n_6582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472932 (
-	.A1(soc_top_intr_controller_ip[15]),
-	.A2(n_1406),
-	.A3(n_6545),
-	.B1(soc_top_intr_controller_u_gateway_ia[15]),
-	.Y(n_6581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g472933 (
-	.A(n_1701),
-	.B(n_6522),
-	.Y(n_6599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472935 (
-	.A1(soc_top_intr_controller_ip[17]),
-	.A2(n_1410),
-	.A3(n_6546),
-	.B1(soc_top_intr_controller_u_gateway_ia[17]),
-	.Y(n_6579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472936 (
-	.A1(soc_top_intr_controller_ip[18]),
-	.A2(n_1407),
-	.A3(n_6543),
-	.B1(soc_top_intr_controller_u_gateway_ia[18]),
-	.Y(n_6578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472937 (
-	.A1(soc_top_intr_controller_ip[19]),
-	.A2(n_1410),
-	.A3(n_6543),
-	.B1(soc_top_intr_controller_u_gateway_ia[19]),
-	.Y(n_6577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472938 (
-	.A1(soc_top_intr_controller_ip[21]),
-	.A2(n_1402),
-	.A3(n_6546),
-	.B1(soc_top_intr_controller_u_gateway_ia[21]),
-	.Y(n_6576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472939 (
-	.A1(soc_top_intr_controller_ip[20]),
-	.A2(n_1398),
-	.A3(n_6546),
-	.B1(soc_top_intr_controller_u_gateway_ia[20]),
-	.Y(n_6575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472940 (
-	.A1(soc_top_intr_controller_ip[22]),
-	.A2(n_1398),
-	.A3(n_6543),
-	.B1(soc_top_intr_controller_u_gateway_ia[22]),
-	.Y(n_6574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472941 (
-	.A1(soc_top_intr_controller_ip[23]),
-	.A2(n_1402),
-	.A3(n_6543),
-	.B1(soc_top_intr_controller_u_gateway_ia[23]),
-	.Y(n_6573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472942 (
-	.A1(soc_top_intr_controller_ip[24]),
-	.A2(n_1407),
-	.A3(n_6544),
-	.B1(soc_top_intr_controller_u_gateway_ia[24]),
-	.Y(n_6572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472943 (
-	.A1(soc_top_intr_controller_ip[25]),
-	.A2(n_1410),
-	.A3(n_6544),
-	.B1(soc_top_intr_controller_u_gateway_ia[25]),
-	.Y(n_6571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472944 (
-	.A1(soc_top_intr_controller_ip[26]),
-	.A2(n_1407),
-	.A3(n_6545),
-	.B1(soc_top_intr_controller_u_gateway_ia[26]),
-	.Y(n_6570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472945 (
-	.A1(soc_top_intr_controller_ip[27]),
-	.A2(n_1410),
-	.A3(n_6545),
-	.B1(soc_top_intr_controller_u_gateway_ia[27]),
-	.Y(n_6569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472946 (
-	.A1(soc_top_intr_controller_ip[28]),
-	.A2(n_1398),
-	.A3(n_6544),
-	.B1(soc_top_intr_controller_u_gateway_ia[28]),
-	.Y(n_6568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472947 (
-	.A1(soc_top_intr_controller_ip[29]),
-	.A2(n_1402),
-	.A3(n_6544),
-	.B1(soc_top_intr_controller_u_gateway_ia[29]),
-	.Y(n_6567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472948 (
-	.A1(soc_top_intr_controller_ip[30]),
-	.A2(n_1398),
-	.A3(n_6545),
-	.B1(soc_top_intr_controller_u_gateway_ia[30]),
-	.Y(n_6566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g472949 (
-	.A1(soc_top_intr_controller_ip[31]),
-	.A2(n_1402),
-	.A3(n_6545),
-	.B1(soc_top_intr_controller_u_gateway_ia[31]),
-	.Y(n_6565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g472950 (
-	.A(n_1227),
-	.B(n_6552),
-	.X(n_6564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472951 (
-	.A(n_4991),
-	.B(n_6542),
-	.C(n_5619),
-	.D(n_4952),
-	.Y(n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g472952 (
-	.A(n_6530),
-	.B(n_4829),
-	.C(n_1799),
-	.D(n_4857),
-	.Y(n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g472953 (
-	.A1(n_6553),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [30]),
-	.B1(n_6559),
-	.Y(n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g472954 (
-	.A(n_15124),
-	.B(n_4262),
-	.C(n_6552),
-	.Y(n_6580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g473021 (
-	.A1(n_6526),
-	.A2(n_6440),
-	.A3(n_1355),
-	.B1(n_1356),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[11]),
-	.Y(n_6558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g473022 (
-	.A(n_6448),
-	.B(n_957),
-	.Y(n_6557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 g473023 (
-	.A1(n_6441),
-	.A2(soc_top_u_pwm_pwm_core_counter_p2[14]),
-	.A3(soc_top_u_pwm_pwm_core_counter_p2[13]),
-	.B1(n_6518),
-	.B2(soc_top_u_pwm_pwm_core_counter_p2[15]),
-	.X(n_6556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g473024 (
-	.A1(n_14897),
-	.A2(n_3053),
-	.B1(n_6527),
-	.Y(n_6555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g473025 (
-	.A(n_5522),
-	.B(n_5524),
-	.C(n_5523),
-	.D(n_6458),
-	.X(n_6554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473027 (
-	.A1(n_6432),
-	.A2(n_3385),
-	.B1(n_3425),
-	.B2(n_1635),
-	.C1(n_6528),
-	.Y(n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473028 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [30]),
-	.B(n_6553),
-	.Y(n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g473088 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_6 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][5] ),
-	.CIN(n_6400),
-	.COUT(n_6553),
-	.SUM(n_6551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g473089 (
-	.A(FE_DBTN18_soc_top_u_top_u_core_lsu_wdata_29),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][5] ),
-	.CIN(n_6402),
-	.COUT(n_6552),
-	.SUM(n_6550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473115 (
-	.A1(n_4912),
-	.A2(n_15514),
-	.B1(n_4908),
-	.B2(n_15482),
-	.C1(n_6514),
-	.Y(n_6542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g473116 (
-	.A1(n_3782),
-	.A2(n_6434),
-	.B1(n_617),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[6]),
-	.Y(n_6541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g473117 (
-	.A1(n_3779),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [3]),
-	.B1(n_16216),
-	.B2(n_3780),
-	.C1(n_6457),
-	.X(n_6540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473118 (
-	.A1(n_6428),
-	.A2(n_2056),
-	.B1(n_6475),
-	.B2(\soc_top_intr_controller_reg2hw[prio8][q] [1]),
-	.X(n_6539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g473119 (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio7][q] [0]),
-	.A2_N(n_6491),
-	.B1(soc_top_u_pwm_pwm_core_n_49),
-	.B2(n_6472),
-	.Y(n_6538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473120 (
-	.A1(n_6426),
-	.A2(n_2056),
-	.B1(n_6475),
-	.B2(\soc_top_intr_controller_reg2hw[prio8][q] [0]),
-	.X(n_6537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g473121 (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio7][q] [1]),
-	.A2_N(n_6491),
-	.B1(soc_top_u_pwm_pwm_core_n_49),
-	.B2(n_6471),
-	.Y(n_6536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g473122 (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio5][q] [1]),
-	.A2_N(n_6493),
-	.B1(soc_top_u_pwm_pwm_core_n_1204),
-	.B2(n_6471),
-	.Y(n_6535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g473123 (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio5][q] [0]),
-	.A2_N(n_6493),
-	.B1(soc_top_u_pwm_pwm_core_n_1204),
-	.B2(n_6472),
-	.Y(n_6534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473124 (
-	.A(n_4689),
-	.B(n_6494),
-	.Y(n_6533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g473125 (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio4][q] [1]),
-	.A2_N(n_6492),
-	.B1(n_15062),
-	.B2(n_6471),
-	.Y(n_6532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 g473126 (
-	.A1(n_6419),
-	.A2(n_343),
-	.A3(soc_top_u_pwm_pwm_core_counter_p2[13]),
-	.B1(n_6473),
-	.B2(soc_top_u_pwm_pwm_core_counter_p2[14]),
-	.X(n_6531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473127 (
-	.A1(n_4688),
-	.A2(n_1090),
-	.B1(n_4482),
-	.B2(\soc_top_xbar_to_timer[a_address] [4]),
-	.C1(n_6520),
-	.Y(n_6530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g473128 (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio4][q] [0]),
-	.A2_N(n_6492),
-	.B1(n_15062),
-	.B2(n_6472),
-	.Y(n_6529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g473129 (
-	.A1(n_6474),
-	.A2(n_3386),
-	.B1(n_14875),
-	.B2(n_3053),
-	.Y(n_6528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g473130 (
-	.A1(n_3387),
-	.A2(n_6474),
-	.B1(n_263),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
-	.Y(n_6527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473131 (
-	.A(n_6525),
-	.B(\soc_top_xbar_to_timer[a_data] [1]),
-	.Y(n_6549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g473132 (
-	.A1(n_2075),
-	.A2(n_6420),
-	.B1(n_6522),
-	.X(n_6548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473133 (
-	.A(n_6525),
-	.B(n_359),
-	.Y(n_6547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473137 (
-	.A(n_6523),
-	.B(n_359),
-	.Y(n_6546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473138 (
-	.A(n_6524),
-	.B(\soc_top_xbar_to_timer[a_data] [1]),
-	.Y(n_6545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473139 (
-	.A(n_6524),
-	.B(n_359),
-	.Y(n_6544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473140 (
-	.A(n_6523),
-	.B(\soc_top_xbar_to_timer[a_data] [1]),
-	.Y(n_6543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g473141 (
-	.A(n_6448),
-	.Y(n_6526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473142 (
-	.A1(n_6426),
-	.A2(n_1842),
-	.B1(n_6449),
-	.B2(\soc_top_intr_controller_reg2hw[prio2][q] [0]),
-	.X(n_6521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g473143 (
-	.A(n_4992),
-	.B(n_6424),
-	.C(n_5620),
-	.D(n_4953),
-	.Y(n_6520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g473144 (
-	.A1(n_3384),
-	.A2(n_6432),
-	.B1(n_3727),
-	.Y(n_6519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g473145 (
-	.A1(soc_top_u_pwm_pwm_core_counter_p2[14]),
-	.A2(n_5982),
-	.B1_N(n_6473),
-	.Y(n_6518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 g473146 (
-	.A1(n_6398),
-	.A2(soc_top_u_pwm_pwm_core_period_counter2[14]),
-	.A3(soc_top_u_pwm_pwm_core_period_counter2[13]),
-	.B1(n_6421),
-	.B2(soc_top_u_pwm_pwm_core_period_counter2[15]),
-	.X(n_6517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473147 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_6454),
-	.Y(n_6516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g473148 (
-	.A1(n_1852),
-	.A2(n_6427),
-	.B1(n_6453),
-	.Y(n_6515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473149 (
-	.A1(\soc_top_u_top_u_core_fp_operands[0] [31]),
-	.A2(n_4759),
-	.B1(n_6423),
-	.C1(n_4914),
-	.Y(n_6514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473150 (
-	.A(n_465),
-	.B(n_6490),
-	.Y(n_6525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g473151 (
-	.A(n_6433),
-	.B(\soc_top_xbar_to_timer[a_data] [5]),
-	.C(n_463),
-	.Y(n_6524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473152 (
-	.A(\soc_top_xbar_to_timer[a_data] [5]),
-	.B(n_6490),
-	.Y(n_6523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473155 (
-	.A(n_6420),
-	.B(n_2075),
-	.Y(n_6522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473161 (
-	.A1(n_6428),
-	.A2(n_1842),
-	.B1(n_6449),
-	.B2(\soc_top_intr_controller_reg2hw[prio2][q] [1]),
-	.X(n_6513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g473162 (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio3][q] [0]),
-	.A2_N(n_6430),
-	.B1(n_1634),
-	.B2(n_6427),
-	.Y(n_6512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473163 (
-	.A1(n_6428),
-	.A2(n_246),
-	.B1(n_6430),
-	.B2(\soc_top_intr_controller_reg2hw[prio3][q] [1]),
-	.X(n_6511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g473164 (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio25][q] [0]),
-	.A2_N(n_6438),
-	.B1(n_2028),
-	.B2(n_6427),
-	.Y(n_6510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473165 (
-	.A1(n_6428),
-	.A2(n_2027),
-	.B1(n_6438),
-	.B2(\soc_top_intr_controller_reg2hw[prio25][q] [1]),
-	.X(n_6509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g473166 (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio26][q] [0]),
-	.A2_N(n_6437),
-	.B1(n_2026),
-	.B2(n_6427),
-	.Y(n_6508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473167 (
-	.A1(n_6428),
-	.A2(n_2025),
-	.B1(n_6437),
-	.B2(\soc_top_intr_controller_reg2hw[prio26][q] [1]),
-	.X(n_6507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g473168 (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio27][q] [0]),
-	.A2_N(n_6436),
-	.B1(n_2038),
-	.B2(n_6427),
-	.Y(n_6506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473169 (
-	.A1(n_6428),
-	.A2(n_2037),
-	.B1(n_6436),
-	.B2(\soc_top_intr_controller_reg2hw[prio27][q] [1]),
-	.X(n_6505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473170 (
-	.A1(n_6426),
-	.A2(n_2041),
-	.B1(n_6452),
-	.B2(\soc_top_intr_controller_reg2hw[prio28][q] [0]),
-	.X(n_6504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473171 (
-	.A1(n_6428),
-	.A2(n_2041),
-	.B1(n_6452),
-	.B2(\soc_top_intr_controller_reg2hw[prio28][q] [1]),
-	.X(n_6503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g473172 (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio33][q] [0]),
-	.A2_N(n_6431),
-	.B1(n_2031),
-	.B2(n_6427),
-	.Y(n_6502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473173 (
-	.A1(n_6428),
-	.A2(n_2030),
-	.B1(n_6431),
-	.B2(\soc_top_intr_controller_reg2hw[prio33][q] [1]),
-	.X(n_6501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473174 (
-	.A1(n_6426),
-	.A2(n_1845),
-	.B1(n_6451),
-	.B2(\soc_top_intr_controller_reg2hw[prio34][q] [0]),
-	.X(n_6500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473175 (
-	.A1(n_6428),
-	.A2(n_1845),
-	.B1(n_6451),
-	.B2(\soc_top_intr_controller_reg2hw[prio34][q] [1]),
-	.X(n_6499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g473176 (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio35][q] [0]),
-	.A2_N(n_6450),
-	.B1(n_1841),
-	.B2(n_6427),
-	.Y(n_6498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473177 (
-	.A1(n_6428),
-	.A2(n_1840),
-	.B1(n_6450),
-	.B2(\soc_top_intr_controller_reg2hw[prio35][q] [1]),
-	.X(n_6497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473178 (
-	.A1(n_6428),
-	.A2(n_2029),
-	.B1(n_6439),
-	.B2(\soc_top_intr_controller_reg2hw[threshold0][q] [1]),
-	.X(n_6496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473179 (
-	.A1(n_6426),
-	.A2(n_2029),
-	.B1(n_6439),
-	.B2(\soc_top_intr_controller_reg2hw[threshold0][q] [0]),
-	.X(n_6495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g473180 (
-	.A1_N(\soc_top_timer0_gen_harts[0].u_core_tick_count [11]),
-	.A2_N(n_6395),
-	.B1(\soc_top_timer0_gen_harts[0].u_core_tick_count [11]),
-	.B2(n_6395),
-	.Y(n_6494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473181 (
-	.A(n_4689),
-	.B(n_6410),
-	.Y(n_6476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473182 (
-	.A(n_6429),
-	.B(n_1827),
-	.Y(n_6493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473185 (
-	.A(n_6442),
-	.B(n_1827),
-	.Y(n_6492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473188 (
-	.A(n_6444),
-	.B(n_1827),
-	.Y(n_6491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g473189 (
-	.A_N(n_6433),
-	.B(n_463),
-	.Y(n_6490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473190 (
-	.A(n_6429),
-	.B(n_1829),
-	.Y(n_6489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473191 (
-	.A(n_6444),
-	.B(n_1829),
-	.Y(n_6488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473192 (
-	.A(n_6444),
-	.B(n_1831),
-	.Y(n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473193 (
-	.A(n_6442),
-	.B(n_1831),
-	.Y(n_6486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473194 (
-	.A(n_6429),
-	.B(n_1831),
-	.Y(n_6485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473195 (
-	.A(n_6445),
-	.B(n_1829),
-	.Y(n_6484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473196 (
-	.A(n_6442),
-	.B(n_1829),
-	.Y(n_6483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473197 (
-	.A(n_6445),
-	.B(n_1831),
-	.Y(n_6482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473198 (
-	.A(n_6442),
-	.B(n_1837),
-	.Y(n_6481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473199 (
-	.A(n_6445),
-	.B(n_1827),
-	.Y(n_6480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473200 (
-	.A(n_6442),
-	.B(n_1848),
-	.Y(n_6479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473202 (
-	.A(n_6429),
-	.B(n_1839),
-	.Y(n_6478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473204 (
-	.A(n_6442),
-	.B(n_1839),
-	.Y(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g473205 (
-	.A(n_6411),
-	.B(n_4259),
-	.C(n_3023),
-	.D(n_3555),
-	.Y(n_6460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 g473206 (
-	.A1(n_6380),
-	.A2(n_384),
-	.A3(soc_top_u_pwm_pwm_core_period_counter2[13]),
-	.B1(n_6415),
-	.B2(soc_top_u_pwm_pwm_core_period_counter2[14]),
-	.X(n_6459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g473207 (
-	.A(n_5525),
-	.B(n_5526),
-	.C(n_5527),
-	.D(n_6394),
-	.X(n_6458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g473208 (
-	.A(n_6406),
-	.B(n_4830),
-	.C(n_1798),
-	.D(n_4859),
-	.Y(n_6457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473209 (
-	.A1(n_5983),
-	.A2(n_6409),
-	.B1(n_6397),
-	.B2(soc_top_u_pwm_pwm_core_counter_p2[12]),
-	.X(n_6456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g473210 (
-	.A1_N(n_4263),
-	.A2_N(n_6414),
-	.B1(n_15102),
-	.B2(n_4263),
-	.Y(n_6455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g473211 (
-	.A1(n_6393),
-	.A2(n_1355),
-	.B1(n_1356),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[10]),
-	.Y(n_6454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g473212 (
-	.A1(n_1852),
-	.A2(n_6412),
-	.B1(soc_top_intr_controller_u_reg_msip0_qs),
-	.Y(n_6453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473213 (
-	.A(n_6442),
-	.B(n_1624),
-	.Y(n_6475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o41ai_1 g473214 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [31]),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
-	.A3(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
-	.A4(n_6372),
-	.B1(n_1635),
-	.Y(n_6474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g473215 (
-	.A1(soc_top_u_pwm_pwm_core_counter_p2[13]),
-	.A2(n_5982),
-	.B1_N(n_6435),
-	.Y(n_6473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473216 (
-	.A(n_6426),
-	.B(n_1827),
-	.Y(n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473217 (
-	.A(n_6428),
-	.B(n_1827),
-	.Y(n_6471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473218 (
-	.A(n_6445),
-	.B(n_1628),
-	.Y(n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473219 (
-	.A(n_6442),
-	.B(n_1628),
-	.Y(n_6469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473220 (
-	.A(n_6429),
-	.B(n_1628),
-	.Y(n_6468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473222 (
-	.A(n_1836),
-	.B(n_6443),
-	.Y(n_6467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473223 (
-	.A(n_6444),
-	.B(n_1624),
-	.Y(n_6466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473224 (
-	.A(n_6429),
-	.B(n_1624),
-	.Y(n_6465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473225 (
-	.A(n_6445),
-	.B(n_1624),
-	.Y(n_6464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473226 (
-	.A(n_6444),
-	.B(n_1628),
-	.Y(n_6463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473228 (
-	.A(n_1632),
-	.B(n_6443),
-	.Y(n_6462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g473229 (
-	.A(n_6445),
-	.B(n_1633),
-	.X(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g473231 (
-	.A(n_6444),
-	.Y(n_6443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g473232 (
-	.A(soc_top_u_pwm_pwm_core_counter_p2[15]),
-	.B_N(n_6419),
-	.Y(n_6441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473233 (
-	.A(n_1199),
-	.B(n_6381),
-	.Y(n_6440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473235 (
-	.A(n_6413),
-	.B(n_2041),
-	.Y(n_6452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473236 (
-	.A(n_6413),
-	.B(n_1845),
-	.Y(n_6451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473237 (
-	.A(n_6413),
-	.B(n_1840),
-	.Y(n_6450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473238 (
-	.A(n_6413),
-	.B(n_1842),
-	.Y(n_6449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473239 (
-	.A(n_6381),
-	.B(n_1199),
-	.Y(n_6448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473240 (
-	.A(n_6413),
-	.B(n_1891),
-	.Y(n_6447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473242 (
-	.A(n_6413),
-	.B(n_1876),
-	.Y(n_6446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473244 (
-	.A(soc_top_u_pwm_pwm_core_n_1288),
-	.B(n_6412),
-	.Y(n_6445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473246 (
-	.A(soc_top_u_pwm_pwm_core_n_49),
-	.B(n_6412),
-	.Y(n_6444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473247 (
-	.A(n_15062),
-	.B(n_6412),
-	.Y(n_6442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g473250 (
-	.A(n_6427),
-	.Y(n_6426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473251 (
-	.A(n_6414),
-	.B(n_4441),
-	.Y(n_6425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473252 (
-	.A1(n_4912),
-	.A2(n_15513),
-	.B1(n_4908),
-	.B2(n_15481),
-	.C1(n_6416),
-	.Y(n_6424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g473253 (
-	.A1(n_3782),
-	.A2(n_6382),
-	.B1(n_617),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[5]),
-	.Y(n_6423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g473254 (
-	.A1(soc_top_u_pwm_pwm_core_counter_p2[11]),
-	.A2(n_6362),
-	.A3(n_5982),
-	.B1(n_6417),
-	.Y(n_6422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g473255 (
-	.A1(n_6031),
-	.A2(n_384),
-	.B1(n_6415),
-	.X(n_6421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473256 (
-	.A(n_6413),
-	.B(n_2029),
-	.Y(n_6439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473257 (
-	.A(n_6413),
-	.B(n_2027),
-	.Y(n_6438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473258 (
-	.A(n_6413),
-	.B(n_2025),
-	.Y(n_6437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473259 (
-	.A(n_6413),
-	.B(n_2037),
-	.Y(n_6436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g473260 (
-	.A1(n_6404),
-	.A2(n_5982),
-	.B1(soc_top_u_pwm_pwm_core_ctrl_2[1]),
-	.Y(n_6435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473261 (
-	.A1(n_6363),
-	.A2(n_2084),
-	.B1(n_6420),
-	.Y(n_6434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473262 (
-	.A(n_6413),
-	.B(n_2053),
-	.Y(n_6433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g473263 (
-	.A(n_17268),
-	.B(n_17269),
-	.C(n_17293),
-	.D(n_6373),
-	.X(n_6432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473264 (
-	.A(n_6413),
-	.B(n_2030),
-	.Y(n_6431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473265 (
-	.A(n_6413),
-	.B(n_246),
-	.Y(n_6430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473266 (
-	.A(soc_top_u_pwm_pwm_core_n_1204),
-	.B(n_6412),
-	.Y(n_6429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473267 (
-	.A(n_6412),
-	.B(n_359),
-	.Y(n_6428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473268 (
-	.A(\soc_top_xbar_to_timer[a_data] [0]),
-	.B(n_6413),
-	.Y(n_6427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473269 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_6390),
-	.Y(n_6418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473270 (
-	.A(n_6397),
-	.B(soc_top_u_pwm_pwm_core_counter_p2[11]),
-	.Y(n_6417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473271 (
-	.A(n_4914),
-	.B(n_6388),
-	.Y(n_6416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473272 (
-	.A(n_2084),
-	.B(n_6363),
-	.Y(n_6420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g473275 (
-	.A(n_5982),
-	.B_N(n_6404),
-	.Y(n_6419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g473279 (
-	.A(n_6413),
-	.Y(n_6412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473280 (
-	.A1(n_2012),
-	.A2(soc_top_intr_controller_u_reg_le_0_le_0_qs),
-	.B1(n_2043),
-	.B2(\soc_top_intr_controller_reg2hw[le][32][q] ),
-	.C1(n_6392),
-	.Y(n_6411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g473281 (
-	.A1_N(\soc_top_timer0_gen_harts[0].u_core_tick_count [10]),
-	.A2_N(n_6309),
-	.B1(\soc_top_timer0_gen_harts[0].u_core_tick_count [10]),
-	.B2(n_6309),
-	.Y(n_6410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g473282 (
-	.A1(soc_top_u_pwm_pwm_core_counter_p2[12]),
-	.A2(n_6384),
-	.B1(soc_top_u_pwm_pwm_core_counter_p2[11]),
-	.B2(n_292),
-	.Y(n_6409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473284 (
-	.A(n_6366),
-	.B(n_4921),
-	.C(n_4923),
-	.Y(n_6408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473285 (
-	.A1(n_3392),
-	.A2(n_2393),
-	.B1(n_3389),
-	.C1(n_6321),
-	.D1(n_5265),
-	.Y(n_6407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473286 (
-	.A1(n_4688),
-	.A2(n_1005),
-	.B1(n_4482),
-	.B2(\soc_top_xbar_to_timer[a_address] [3]),
-	.C1(n_6389),
-	.Y(n_6406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473287 (
-	.A1(n_5983),
-	.A2(n_6377),
-	.B1(n_6345),
-	.B2(soc_top_u_pwm_pwm_core_counter_p2[10]),
-	.X(n_6405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g473288 (
-	.A1(soc_top_u_pwm_pwm_core_period_counter2[13]),
-	.A2(n_6030),
-	.B1_N(n_6396),
-	.Y(n_6415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g473289 (
-	.A(n_6265),
-	.B(n_4262),
-	.C(n_4492),
-	.D(n_6328),
-	.X(n_6414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g473290 (
-	.A(n_14994),
-	.B(n_1348),
-	.C(n_6383),
-	.Y(n_6413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g473291 (
-	.A(FE_DBTN5_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_exponent_5),
-	.B(n_890),
-	.CIN(n_6257),
-	.COUT(n_6402),
-	.SUM(n_6403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g473292 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_11 ),
-	.B(n_654),
-	.CIN(n_6255),
-	.COUT(n_6400),
-	.SUM(n_6401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473293 (
-	.A(n_6317),
-	.B(n_4689),
-	.Y(n_6399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g473294 (
-	.A(soc_top_u_pwm_pwm_core_period_counter2[15]),
-	.B_N(n_6380),
-	.Y(n_6398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473297 (
-	.A(n_292),
-	.B(n_6384),
-	.Y(n_6404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g473305 (
-	.A(n_5575),
-	.B(n_5578),
-	.C(n_5576),
-	.D(n_6308),
-	.X(n_6394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g473306 (
-	.A1(n_1198),
-	.A2(n_251),
-	.B1_N(n_6381),
-	.Y(n_6393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g473307 (
-	.A1(n_2148),
-	.A2(\soc_top_intr_controller_reg2hw[prio0][q] [0]),
-	.B1(n_2146),
-	.B2(\soc_top_intr_controller_reg2hw[prio1][q] [0]),
-	.C1(n_6367),
-	.X(n_6392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g473308 (
-	.A1(soc_top_u_pwm_pwm_core_counter_p2[9]),
-	.A2(n_6171),
-	.A3(n_5982),
-	.B1(n_6370),
-	.Y(n_6391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g473309 (
-	.A1(n_280),
-	.A2(n_251),
-	.A3(n_1355),
-	.B1(n_1356),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[9]),
-	.Y(n_6390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g473310 (
-	.A(n_4993),
-	.B(n_6319),
-	.C(n_5621),
-	.D(n_4954),
-	.Y(n_6389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g473311 (
-	.A1(n_6291),
-	.A2(n_3782),
-	.B1(n_617),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[4]),
-	.C1(n_18424),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_26 ),
-	.Y(n_6388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g473312 (
-	.A(n_6302),
-	.B(n_3962),
-	.C(n_4457),
-	.D(n_4755),
-	.Y(n_6387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g473313 (
-	.A(n_4187),
-	.B(n_6296),
-	.C(n_5475),
-	.D(n_4955),
-	.Y(n_6386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473314 (
-	.A(n_6365),
-	.B(soc_top_u_pwm_pwm_core_ctrl_2[1]),
-	.Y(n_6397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g473315 (
-	.A1(soc_top_u_pwm_pwm_core_period_counter2[12]),
-	.A2(n_6030),
-	.B1_N(n_6385),
-	.Y(n_6396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g473316 (
-	.A_N(n_6309),
-	.B(\soc_top_timer0_gen_harts[0].u_core_tick_count [10]),
-	.Y(n_6395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g473346 (
-	.A(n_4191),
-	.B(n_6295),
-	.C(n_4052),
-	.D(n_4860),
-	.Y(n_6379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g473347 (
-	.A1(n_6300),
-	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[16]),
-	.B1(n_628),
-	.B2(n_15441),
-	.C1(n_6315),
-	.X(n_6378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g473348 (
-	.A1(soc_top_u_pwm_pwm_core_counter_p2[10]),
-	.A2(n_6316),
-	.B1(soc_top_u_pwm_pwm_core_counter_p2[9]),
-	.B2(n_290),
-	.Y(n_6377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 g473349 (
-	.A1(soc_top_u_pwm_pwm_core_period_counter2[10]),
-	.A2(n_6141),
-	.A3(n_6030),
-	.B1(n_402),
-	.B2(n_6310),
-	.Y(n_6376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 g473350 (
-	.A1(n_6240),
-	.A2(soc_top_u_pwm_pwm_core_period_counter1[14]),
-	.A3(soc_top_u_pwm_pwm_core_period_counter1[13]),
-	.B1(n_6297),
-	.B2(soc_top_u_pwm_pwm_core_period_counter1[15]),
-	.X(n_6375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g473351 (
-	.A1(n_6293),
-	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[15]),
-	.B1(n_628),
-	.B2(n_15440),
-	.C1(n_6292),
-	.X(n_6374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g473352 (
-	.A(n_17271),
-	.B(n_17272),
-	.C(n_17270),
-	.D(n_6267),
-	.X(n_6373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g473353 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
-	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
-	.D(n_6268),
-	.X(n_6372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473354 (
-	.A(n_6299),
-	.B(n_4921),
-	.C(n_5369),
-	.Y(n_6371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473355 (
-	.A(n_6345),
-	.B(soc_top_u_pwm_pwm_core_counter_p2[9]),
-	.Y(n_6370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473356 (
-	.A(n_6318),
-	.B(n_5567),
-	.Y(n_6369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473357 (
-	.A(n_6298),
-	.B(n_5786),
-	.C(n_5895),
-	.Y(n_6368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g473358 (
-	.A(n_5288),
-	.B(n_3972),
-	.C(n_279),
-	.D(n_3274),
-	.Y(n_6367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g473359 (
-	.A(n_4750),
-	.B(n_5566),
-	.C(n_5860),
-	.D(n_6278),
-	.Y(n_6366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473360 (
-	.A(n_5983),
-	.B(n_6362),
-	.Y(n_6365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g473361 (
-	.A1(soc_top_u_pwm_pwm_core_period_counter2[11]),
-	.A2(n_6030),
-	.B1_N(n_6344),
-	.Y(n_6385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g473363 (
-	.A_N(n_6362),
-	.B(soc_top_u_pwm_pwm_core_counter_p2[11]),
-	.Y(n_6384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g473364 (
-	.A(n_14994),
-	.B(n_6294),
-	.C(n_1348),
-	.Y(n_6383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g473366 (
-	.A1(n_6259),
-	.A2(n_1697),
-	.B1_N(n_6363),
-	.Y(n_6382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g473371 (
-	.A(n_251),
-	.B(n_1198),
-	.X(n_6381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g473372 (
-	.A(n_6364),
-	.B(soc_top_u_pwm_pwm_core_period_counter2[12]),
-	.X(n_6380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g473376 (
-	.A(n_5786),
-	.B(n_6207),
-	.C(n_5366),
-	.D(n_4928),
-	.Y(n_6361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473377 (
-	.A(n_2795),
-	.B(n_2769),
-	.C(n_279),
-	.Y(n_6360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473378 (
-	.A(n_2796),
-	.B(n_2797),
-	.C(n_279),
-	.Y(n_6359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473379 (
-	.A(n_2801),
-	.B(n_2916),
-	.C(n_279),
-	.Y(n_6358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473380 (
-	.A(n_2803),
-	.B(n_2802),
-	.C(n_279),
-	.Y(n_6357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473381 (
-	.A(n_2915),
-	.B(n_2773),
-	.C(n_279),
-	.Y(n_6356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473382 (
-	.A(n_2840),
-	.B(n_2804),
-	.C(n_279),
-	.Y(n_6355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473383 (
-	.A(n_2805),
-	.B(n_2824),
-	.C(n_279),
-	.Y(n_6354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473384 (
-	.A(n_2808),
-	.B(n_2809),
-	.C(n_279),
-	.Y(n_6353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473385 (
-	.A(n_2823),
-	.B(n_2806),
-	.C(n_279),
-	.Y(n_6352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473386 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_6303),
-	.Y(n_6351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473387 (
-	.A(n_2807),
-	.B(n_2779),
-	.C(n_279),
-	.Y(n_6350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473388 (
-	.A(n_2780),
-	.B(n_2810),
-	.C(n_279),
-	.Y(n_6349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473389 (
-	.A(n_2778),
-	.B(n_2811),
-	.C(n_279),
-	.Y(n_6348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473390 (
-	.A(n_2776),
-	.B(n_2775),
-	.C(n_279),
-	.Y(n_6347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473391 (
-	.A(n_2812),
-	.B(n_2764),
-	.C(n_279),
-	.Y(n_6346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g473393 (
-	.A(n_6311),
-	.B(soc_top_u_pwm_pwm_core_period_counter2[11]),
-	.X(n_6364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g473397 (
-	.A(n_1697),
-	.B(n_6259),
-	.X(n_6363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g473399 (
-	.A_N(n_6316),
-	.B(soc_top_u_pwm_pwm_core_counter_p2[10]),
-	.Y(n_6362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473405 (
-	.A(n_4092),
-	.B(n_2815),
-	.C(n_279),
-	.Y(n_6343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473406 (
-	.A(n_2799),
-	.B(n_2800),
-	.C(n_279),
-	.Y(n_6342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473407 (
-	.A(n_2771),
-	.B(n_2770),
-	.C(n_279),
-	.Y(n_6341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473408 (
-	.A(n_2798),
-	.B(n_2768),
-	.C(n_279),
-	.Y(n_6340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473409 (
-	.A(n_2772),
-	.B(n_2792),
-	.C(n_279),
-	.Y(n_6339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473410 (
-	.A(n_2886),
-	.B(n_2791),
-	.C(n_279),
-	.Y(n_6338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473411 (
-	.A(n_2790),
-	.B(n_2888),
-	.C(n_279),
-	.Y(n_6337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473412 (
-	.A(n_2789),
-	.B(n_2894),
-	.C(n_279),
-	.Y(n_6336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473413 (
-	.A(n_2920),
-	.B(n_2788),
-	.C(n_279),
-	.Y(n_6335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473414 (
-	.A(n_2826),
-	.B(n_2917),
-	.C(n_279),
-	.Y(n_6334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473415 (
-	.A(n_2782),
-	.B(n_2784),
-	.C(n_279),
-	.Y(n_6333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473416 (
-	.A(n_2787),
-	.B(n_2783),
-	.C(n_279),
-	.Y(n_6332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473417 (
-	.A(n_5316),
-	.B(n_4140),
-	.C(n_279),
-	.Y(n_6331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g473418 (
-	.A(n_2774),
-	.B(n_2816),
-	.C(n_279),
-	.D(n_3599),
-	.Y(n_6330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473419 (
-	.A(n_2793),
-	.B(n_2794),
-	.C(n_279),
-	.Y(n_6329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g473420 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_26 ),
-	.A2(n_3714),
-	.B1(n_6307),
-	.Y(n_6328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473421 (
-	.A(n_3232),
-	.B(n_2814),
-	.C(n_279),
-	.Y(n_6327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473422 (
-	.A(n_3256),
-	.B(n_2813),
-	.C(n_279),
-	.Y(n_6326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g473423 (
-	.A1(n_6264),
-	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[14]),
-	.B1(n_628),
-	.B2(n_15439),
-	.C1(n_6228),
-	.X(n_6325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g473424 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [22]),
-	.A2(n_6260),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [22]),
-	.B2(n_6263),
-	.C1(n_4442),
-	.Y(n_6324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 g473425 (
-	.A1(n_6172),
-	.A2(n_497),
-	.A3(soc_top_u_pwm_pwm_core_period_counter1[13]),
-	.B1(n_6290),
-	.B2(soc_top_u_pwm_pwm_core_period_counter1[14]),
-	.X(n_6323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473426 (
-	.A1(n_5983),
-	.A2(n_6185),
-	.B1(n_485),
-	.B2(soc_top_u_pwm_pwm_core_counter_p2[8]),
-	.X(n_6322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g473427 (
-	.A1(n_4462),
-	.A2(n_1381),
-	.B1(n_5266),
-	.C1(n_5278),
-	.D1(n_6210),
-	.Y(n_6321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g473428 (
-	.A(n_4091),
-	.B(n_6285),
-	.C(n_4051),
-	.D(n_4861),
-	.Y(n_6320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473429 (
-	.A1(n_4912),
-	.A2(n_15512),
-	.B1(n_4908),
-	.B2(n_15480),
-	.C1(n_6313),
-	.Y(n_6319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473430 (
-	.A1(n_5788),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [21]),
-	.B1(n_5785),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [5]),
-	.C1(n_6266),
-	.Y(n_6318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g473431 (
-	.A1_N(\soc_top_timer0_gen_harts[0].u_core_tick_count [9]),
-	.A2_N(n_6181),
-	.B1(\soc_top_timer0_gen_harts[0].u_core_tick_count [9]),
-	.B2(n_6181),
-	.Y(n_6317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473432 (
-	.A(n_6314),
-	.B(soc_top_u_pwm_pwm_core_ctrl_2[1]),
-	.Y(n_6345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g473433 (
-	.A1(soc_top_u_pwm_pwm_core_period_counter2[10]),
-	.A2(n_6030),
-	.B1(n_6310),
-	.Y(n_6344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g473434 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[16]),
-	.B_N(n_6292),
-	.Y(n_6315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473435 (
-	.A(n_5983),
-	.B(n_6171),
-	.Y(n_6314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473436 (
-	.A(n_4914),
-	.B(n_6280),
-	.Y(n_6313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473437 (
-	.A(n_6186),
-	.B(n_4689),
-	.Y(n_6312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g473442 (
-	.A_N(n_6171),
-	.B(soc_top_u_pwm_pwm_core_counter_p2[9]),
-	.Y(n_6316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g473454 (
-	.A(n_5573),
-	.B(n_5577),
-	.C(n_5574),
-	.D(n_6163),
-	.X(n_6308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g473455 (
-	.A1(n_6261),
-	.A2(n_4504),
-	.B1(n_3714),
-	.Y(n_6307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473456 (
-	.A1(n_3318),
-	.A2(n_5787),
-	.B1(n_4930),
-	.C1(n_5708),
-	.D1(n_6167),
-	.Y(n_6306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473457 (
-	.A1(n_2340),
-	.A2(n_5791),
-	.B1(n_5786),
-	.C1(n_5922),
-	.D1(n_6151),
-	.Y(n_6305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 g473458 (
-	.A1(n_6137),
-	.A2(soc_top_u_pwm_pwm_core_counter_p1[14]),
-	.A3(soc_top_u_pwm_pwm_core_counter_p1[13]),
-	.B1(n_6239),
-	.B2(soc_top_u_pwm_pwm_core_counter_p1[15]),
-	.X(n_6304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g473459 (
-	.A1(n_6168),
-	.A2(n_1355),
-	.B1(n_1356),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[8]),
-	.Y(n_6303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473460 (
-	.A1(n_3782),
-	.A2(n_2149),
-	.B1(n_3779),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [31]),
-	.C1(n_6269),
-	.Y(n_6302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g473461 (
-	.A1(n_6161),
-	.A2(n_6030),
-	.B1(n_502),
-	.B2(n_1368),
-	.Y(n_6301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g473462 (
-	.A1(n_625),
-	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[15]),
-	.B1(n_6293),
-	.X(n_6300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473463 (
-	.A1(n_5362),
-	.A2(n_3697),
-	.B1(n_3687),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[15]),
-	.C1(n_6289),
-	.Y(n_6299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473464 (
-	.A1(n_5706),
-	.A2(\soc_top_iccm_to_xbar[d_data] [1]),
-	.B1(n_3687),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[17]),
-	.C1(n_6283),
-	.Y(n_6298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g473465 (
-	.A1(n_5952),
-	.A2(n_497),
-	.B1(n_6290),
-	.X(n_6297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473466 (
-	.A1(n_3782),
-	.A2(n_4693),
-	.B1(n_16722),
-	.B2(n_4735),
-	.C1(n_6284),
-	.Y(n_6296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473467 (
-	.A1(n_4688),
-	.A2(n_1012),
-	.B1(n_4482),
-	.B2(\soc_top_xbar_to_timer[a_address] [2]),
-	.C1(n_6270),
-	.Y(n_6295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g473468 (
-	.A1(n_6262),
-	.A2(n_521),
-	.B1(n_2669),
-	.B2(\soc_top_xbar_to_timer[a_opcode] [0]),
-	.Y(n_6294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g473469 (
-	.A(n_402),
-	.B(n_6141),
-	.C(n_6030),
-	.Y(n_6311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473470 (
-	.A1(n_6031),
-	.A2(n_6141),
-	.B1(n_1369),
-	.Y(n_6310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g473471 (
-	.A_N(n_6181),
-	.B(\soc_top_timer0_gen_harts[0].u_core_tick_count [9]),
-	.Y(n_6309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g473519 (
-	.A1(n_3709),
-	.A2(n_6177),
-	.B1(n_4269),
-	.B2(n_5357),
-	.Y(n_6289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473520 (
-	.A1(n_6031),
-	.A2(n_3898),
-	.B1(n_6175),
-	.B2(soc_top_u_pwm_pwm_core_period_counter2[4]),
-	.X(n_6288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473521 (
-	.A1(n_4504),
-	.A2(n_6183),
-	.B1(n_4262),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_b_S ),
-	.X(n_6287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473522 (
-	.A1(n_4492),
-	.A2(n_6182),
-	.B1(n_4262),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_a_S ),
-	.X(n_6286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473523 (
-	.A1(n_4688),
-	.A2(n_1084),
-	.B1(n_4482),
-	.B2(soc_top_u_top_u_core_alu_adder_result_ex[1]),
-	.C1(n_6232),
-	.Y(n_6285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473524 (
-	.A(n_6166),
-	.B(n_5589),
-	.C(n_1779),
-	.Y(n_6284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g473525 (
-	.A1(n_4280),
-	.A2(n_6184),
-	.B1(soc_top_u_top_u_core_pc_if[1]),
-	.B2(n_5779),
-	.Y(n_6283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g473526 (
-	.A1(n_628),
-	.A2(n_15438),
-	.B1(n_1365),
-	.B2(soc_top_main_swith_host_lsu_num_req_outstanding[13]),
-	.C1(n_6178),
-	.X(n_6282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 g473527 (
-	.A1(n_6056),
-	.A2(n_301),
-	.A3(soc_top_u_pwm_pwm_core_counter_p1[13]),
-	.B1(n_6173),
-	.B2(soc_top_u_pwm_pwm_core_counter_p1[14]),
-	.X(n_6281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g473528 (
-	.A1(n_6099),
-	.A2(n_3782),
-	.B1(n_18424),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [31]),
-	.C1(n_617),
-	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[3]),
-	.Y(n_6280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 g473529 (
-	.A1(n_6055),
-	.A2(n_393),
-	.A3(soc_top_u_pwm_pwm_core_period_counter1[11]),
-	.B1(n_6176),
-	.B2(soc_top_u_pwm_pwm_core_period_counter1[12]),
-	.X(n_6279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g473531 (
-	.A1(n_4277),
-	.A2(n_6184),
-	.B1(n_3695),
-	.B2(n_4924),
-	.Y(n_6278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473532 (
-	.A1(n_3695),
-	.A2(n_4746),
-	.B1(n_5567),
-	.C1(n_6158),
-	.Y(n_6277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473533 (
-	.A1(n_3703),
-	.A2(n_4746),
-	.B1(n_4930),
-	.C1(n_4884),
-	.D1(n_6164),
-	.Y(n_6276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473534 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_6187),
-	.Y(n_6275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 g473535 (
-	.A1(soc_top_u_pwm_pwm_core_period_counter2[3]),
-	.A2(n_2050),
-	.A3(n_6030),
-	.B1(n_405),
-	.B2(n_6174),
-	.Y(n_6274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473536 (
-	.A(n_6260),
-	.B(n_4443),
-	.Y(n_6273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473537 (
-	.A(n_6263),
-	.B(n_4444),
-	.Y(n_6272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473538 (
-	.A1(n_3703),
-	.A2(n_6032),
-	.B1(n_5786),
-	.C1(n_5921),
-	.D1(n_6002),
-	.Y(n_6271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473539 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_26 ),
-	.A2(n_4759),
-	.B1(n_1308),
-	.C1(n_4914),
-	.D1(n_6154),
-	.Y(n_6270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g473540 (
-	.A1(n_6061),
-	.A2(n_3780),
-	.B1(n_1352),
-	.B2(n_17061),
-	.C1(n_5989),
-	.X(n_6269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g473541 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
-	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
-	.D(n_6124),
-	.X(n_6268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g473542 (
-	.A(n_17273),
-	.B(n_17274),
-	.C(n_17275),
-	.D(n_6139),
-	.X(n_6267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211o_1 g473543 (
-	.A1(n_3687),
-	.A2(soc_top_u_top_u_core_rf_raddr_b[1]),
-	.B1(n_5915),
-	.C1(n_6156),
-	.X(n_6266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g473548 (
-	.A1(n_625),
-	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[14]),
-	.B1(n_6264),
-	.X(n_6293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g473549 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[15]),
-	.B_N(n_6228),
-	.Y(n_6292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g473550 (
-	.A1(n_6057),
-	.A2(n_1708),
-	.B1_N(n_6259),
-	.Y(n_6291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g473551 (
-	.A1(soc_top_u_pwm_pwm_core_period_counter1[13]),
-	.A2(n_5951),
-	.B1_N(n_6229),
-	.Y(n_6290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g473567 (
-	.A(n_892),
-	.B(n_1220),
-	.CIN(n_5907),
-	.COUT(n_6257),
-	.SUM(n_6258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g473568 (
-	.A(n_896),
-	.B(n_1221),
-	.CIN(n_5909),
-	.COUT(n_6255),
-	.SUM(n_6256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473569 (
-	.A1(n_3392),
-	.A2(n_2387),
-	.B1(n_3389),
-	.C1(n_5195),
-	.D1(n_6117),
-	.Y(n_6254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473570 (
-	.A1(n_3392),
-	.A2(n_2379),
-	.B1(n_3389),
-	.C1(n_5214),
-	.D1(n_6110),
-	.Y(n_6253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473571 (
-	.A1(n_3392),
-	.A2(n_2380),
-	.B1(n_3389),
-	.C1(n_5215),
-	.D1(n_6111),
-	.Y(n_6252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473572 (
-	.A1(n_3392),
-	.A2(n_2381),
-	.B1(n_3389),
-	.C1(n_5217),
-	.D1(n_6112),
-	.Y(n_6251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473573 (
-	.A1(n_3392),
-	.A2(n_2382),
-	.B1(n_3389),
-	.C1(n_5218),
-	.D1(n_6113),
-	.Y(n_6250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473574 (
-	.A1(n_3392),
-	.A2(n_2384),
-	.B1(n_3389),
-	.C1(n_5219),
-	.D1(n_6114),
-	.Y(n_6249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473575 (
-	.A1(n_3392),
-	.A2(n_2385),
-	.B1(n_3389),
-	.C1(n_5220),
-	.D1(n_6115),
-	.Y(n_6248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473576 (
-	.A1(n_3392),
-	.A2(n_2389),
-	.B1(n_3389),
-	.C1(n_5196),
-	.D1(n_6119),
-	.Y(n_6247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473577 (
-	.A1(n_3392),
-	.A2(n_2394),
-	.B1(n_3389),
-	.C1(n_5222),
-	.D1(n_6122),
-	.Y(n_6246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473578 (
-	.A1(n_3392),
-	.A2(n_2391),
-	.B1(n_3389),
-	.C1(n_5216),
-	.D1(n_6121),
-	.Y(n_6245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473579 (
-	.A1(n_3392),
-	.A2(n_2388),
-	.B1(n_3389),
-	.C1(n_5159),
-	.D1(n_6118),
-	.Y(n_6244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473580 (
-	.A1(n_3392),
-	.A2(n_2386),
-	.B1(n_3389),
-	.C1(n_5221),
-	.D1(n_6116),
-	.Y(n_6243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473581 (
-	.A1(n_3392),
-	.A2(n_2390),
-	.B1(n_3389),
-	.C1(n_5160),
-	.D1(n_6120),
-	.Y(n_6242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473582 (
-	.A(n_6131),
-	.B(n_4917),
-	.C(n_4746),
-	.Y(n_6241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g473583 (
-	.A(soc_top_u_pwm_pwm_core_period_counter1[15]),
-	.B_N(n_6172),
-	.Y(n_6240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g473584 (
-	.A1(n_5981),
-	.A2(n_301),
-	.B1(n_6173),
-	.X(n_6239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473585 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_6162),
-	.Y(n_6238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473586 (
-	.A1(n_3332),
-	.A2(n_5707),
-	.B1(n_5911),
-	.C1(n_5920),
-	.D1(n_6029),
-	.Y(n_6237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473587 (
-	.A(n_6067),
-	.B(n_5708),
-	.C(n_5046),
-	.Y(n_6236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473588 (
-	.A(n_6153),
-	.B(n_5567),
-	.Y(n_6235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473589 (
-	.A(n_6069),
-	.B(n_4740),
-	.C(n_4743),
-	.Y(n_6234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g473590 (
-	.A(n_6042),
-	.B(n_5572),
-	.C(n_4923),
-	.D(n_4924),
-	.Y(n_6233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473591 (
-	.A1(n_5372),
-	.A2(n_3781),
-	.B1(n_1328),
-	.C1(n_4914),
-	.D1(n_6078),
-	.Y(n_6232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473592 (
-	.A1(n_3689),
-	.A2(n_2393),
-	.B1(n_3389),
-	.C1(n_3628),
-	.D1(n_6044),
-	.Y(n_6231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473593 (
-	.A(n_6157),
-	.B(n_4925),
-	.Y(n_6230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g473594 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Hb_a_D ),
-	.B_N(n_6182),
-	.Y(n_6265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g473595 (
-	.A1(n_5985),
-	.A2(n_626),
-	.B1(n_1364),
-	.Y(n_6264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g473596 (
-	.A_N(n_6182),
-	.B(n_4492),
-	.Y(n_6263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g473597 (
-	.A(n_6037),
-	.B(n_2028),
-	.C(n_1841),
-	.D(n_2026),
-	.Y(n_6262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g473599 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Hb_b_D ),
-	.B_N(n_6183),
-	.Y(n_6261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g473600 (
-	.A_N(n_6183),
-	.B(n_4504),
-	.Y(n_6260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g473604 (
-	.A(n_1708),
-	.B(n_6057),
-	.X(n_6259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473614 (
-	.A1(n_3392),
-	.A2(n_2378),
-	.B1(n_3389),
-	.C1(n_5213),
-	.D1(n_6109),
-	.Y(n_6227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473615 (
-	.A1(n_3392),
-	.A2(n_2377),
-	.B1(n_3389),
-	.C1(n_5212),
-	.D1(n_6108),
-	.Y(n_6226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473616 (
-	.A1(n_3392),
-	.A2(n_2376),
-	.B1(n_3389),
-	.C1(n_5211),
-	.D1(n_6133),
-	.Y(n_6225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473617 (
-	.A1(n_3392),
-	.A2(n_2375),
-	.B1(n_3389),
-	.C1(n_5210),
-	.D1(n_6136),
-	.Y(n_6224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473618 (
-	.A1(n_3392),
-	.A2(n_2373),
-	.B1(n_3389),
-	.C1(n_5208),
-	.D1(n_6123),
-	.Y(n_6223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473619 (
-	.A1(n_3392),
-	.A2(n_2374),
-	.B1(n_3389),
-	.C1(n_5209),
-	.D1(n_6138),
-	.Y(n_6222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473620 (
-	.A1(n_3392),
-	.A2(n_2368),
-	.B1(n_3389),
-	.C1(n_5207),
-	.D1(n_6089),
-	.Y(n_6221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473621 (
-	.A1(n_3392),
-	.A2(n_2372),
-	.B1(n_3389),
-	.C1(n_5206),
-	.D1(n_6088),
-	.Y(n_6220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473622 (
-	.A1(n_3392),
-	.A2(n_2371),
-	.B1(n_3389),
-	.C1(n_5205),
-	.D1(n_6087),
-	.Y(n_6219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473623 (
-	.A1(n_3392),
-	.A2(n_2398),
-	.B1(n_3389),
-	.C1(n_5204),
-	.D1(n_6086),
-	.Y(n_6218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473624 (
-	.A1(n_3392),
-	.A2(n_2396),
-	.B1(n_3389),
-	.C1(n_5202),
-	.D1(n_6084),
-	.Y(n_6217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473625 (
-	.A1(n_3392),
-	.A2(n_2397),
-	.B1(n_3389),
-	.C1(n_5203),
-	.D1(n_6085),
-	.Y(n_6216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473626 (
-	.A1(n_3392),
-	.A2(n_2370),
-	.B1(n_3389),
-	.C1(n_5201),
-	.D1(n_6083),
-	.Y(n_6215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473627 (
-	.A1(n_3392),
-	.A2(n_2395),
-	.B1(n_3389),
-	.C1(n_5200),
-	.D1(n_6082),
-	.Y(n_6214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473628 (
-	.A1(n_3392),
-	.A2(n_2369),
-	.B1(n_3389),
-	.C1(n_5199),
-	.D1(n_6081),
-	.Y(n_6213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473629 (
-	.A1(n_3392),
-	.A2(n_2367),
-	.B1(n_3389),
-	.C1(n_5198),
-	.D1(n_6080),
-	.Y(n_6212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g473630 (
-	.A(n_5991),
-	.B(n_5919),
-	.C(n_5911),
-	.D(n_4921),
-	.Y(n_6211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473631 (
-	.A1(n_1379),
-	.A2(n_4470),
-	.B1(n_5117),
-	.C1(n_5336),
-	.D1(n_5988),
-	.Y(n_6210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 g473632 (
-	.A1(soc_top_u_pwm_pwm_core_period_counter1[1]),
-	.A2(n_409),
-	.A3(n_5951),
-	.B1(n_508),
-	.B2(n_278),
-	.Y(n_6209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g473633 (
-	.A1(soc_top_u_pwm_pwm_core_counter_p1[3]),
-	.A2(n_2049),
-	.A3(n_5980),
-	.B1(n_6180),
-	.Y(n_6208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473634 (
-	.A1(n_3687),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
-	.B1(n_6155),
-	.Y(n_6207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g473635 (
-	.A1(n_6035),
-	.A2(n_4266),
-	.B1(n_4289),
-	.B2(n_5357),
-	.C1(n_5690),
-	.Y(n_6206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473636 (
-	.A1(n_3108),
-	.A2(FE_DBTN25_soc_top_lsu_to_xbar_a_address_30),
-	.B1(n_3389),
-	.C1(n_5337),
-	.D1(n_6004),
-	.Y(n_6205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473637 (
-	.A1(n_5983),
-	.A2(n_6140),
-	.B1(n_485),
-	.B2(soc_top_u_pwm_pwm_core_counter_p2[7]),
-	.X(n_6204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 g473638 (
-	.A1(soc_top_u_pwm_pwm_core_counter_p1[7]),
-	.A2(n_4286),
-	.A3(n_5980),
-	.B1(n_303),
-	.B2(n_6093),
-	.Y(n_6203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 g473639 (
-	.A1(soc_top_u_pwm_pwm_core_counter_p1[5]),
-	.A2(n_3789),
-	.A3(n_5980),
-	.B1(n_344),
-	.B2(n_6091),
-	.Y(n_6202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 g473640 (
-	.A1(soc_top_u_pwm_pwm_core_counter_p1[9]),
-	.A2(n_4753),
-	.A3(n_5980),
-	.B1(n_336),
-	.B2(n_6095),
-	.Y(n_6201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 g473641 (
-	.A1(soc_top_u_pwm_pwm_core_counter_p1[11]),
-	.A2(n_5255),
-	.A3(n_5980),
-	.B1(n_302),
-	.B2(n_6097),
-	.Y(n_6200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 g473642 (
-	.A1(soc_top_u_pwm_pwm_core_period_counter1[3]),
-	.A2(n_1419),
-	.A3(n_5951),
-	.B1(n_509),
-	.B2(n_6100),
-	.Y(n_6199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 g473643 (
-	.A1(soc_top_u_pwm_pwm_core_period_counter1[9]),
-	.A2(n_4282),
-	.A3(n_5951),
-	.B1(n_395),
-	.B2(n_6104),
-	.Y(n_6198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 g473644 (
-	.A1(soc_top_u_pwm_pwm_core_period_counter1[5]),
-	.A2(n_2144),
-	.A3(n_5951),
-	.B1(n_392),
-	.B2(n_6102),
-	.Y(n_6197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473645 (
-	.A1(n_5981),
-	.A2(n_3833),
-	.B1(n_6090),
-	.B2(soc_top_u_pwm_pwm_core_counter_p1[4]),
-	.X(n_6196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473646 (
-	.A1(n_5981),
-	.A2(n_4471),
-	.B1(n_6092),
-	.B2(soc_top_u_pwm_pwm_core_counter_p1[6]),
-	.X(n_6195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473647 (
-	.A1(n_5981),
-	.A2(n_4897),
-	.B1(n_6094),
-	.B2(soc_top_u_pwm_pwm_core_counter_p1[8]),
-	.X(n_6194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473648 (
-	.A1(n_5981),
-	.A2(n_5338),
-	.B1(n_6096),
-	.B2(soc_top_u_pwm_pwm_core_counter_p1[10]),
-	.X(n_6193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473649 (
-	.A1(n_5981),
-	.A2(n_5591),
-	.B1(n_6098),
-	.B2(soc_top_u_pwm_pwm_core_counter_p1[12]),
-	.X(n_6192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473650 (
-	.A1(n_5952),
-	.A2(n_2193),
-	.B1(n_6101),
-	.B2(soc_top_u_pwm_pwm_core_period_counter1[4]),
-	.X(n_6191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473651 (
-	.A1(n_6143),
-	.A2(soc_top_u_pwm_pwm_core_period_counter1[2]),
-	.B1(n_5952),
-	.B2(n_1507),
-	.X(n_6190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473652 (
-	.A1(n_5952),
-	.A2(n_3276),
-	.B1(n_6103),
-	.B2(soc_top_u_pwm_pwm_core_period_counter1[6]),
-	.X(n_6189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473653 (
-	.A1(n_5952),
-	.A2(n_5339),
-	.B1(n_6105),
-	.B2(soc_top_u_pwm_pwm_core_period_counter1[10]),
-	.X(n_6188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g473654 (
-	.A1_N(n_6077),
-	.A2_N(n_3309),
-	.B1(soc_top_u_top_u_core_illegal_c_insn_id),
-	.B2(n_3309),
-	.Y(n_6187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g473655 (
-	.A1_N(\soc_top_timer0_gen_harts[0].u_core_tick_count [8]),
-	.A2_N(n_5984),
-	.B1(\soc_top_timer0_gen_harts[0].u_core_tick_count [8]),
-	.B2(n_5984),
-	.Y(n_6186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g473656 (
-	.A(soc_top_u_pwm_pwm_core_counter_p2[8]),
-	.B(n_6145),
-	.X(n_6185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g473657 (
-	.A1(soc_top_u_pwm_pwm_core_period_counter1[12]),
-	.A2(n_5951),
-	.B1_N(n_6176),
-	.Y(n_6229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g473658 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[14]),
-	.B(n_626),
-	.C_N(n_5985),
-	.Y(n_6228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473659 (
-	.A(n_6090),
-	.B(soc_top_u_pwm_pwm_core_counter_p1[3]),
-	.Y(n_6180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473660 (
-	.A(n_5992),
-	.B(n_4689),
-	.Y(n_6179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473661 (
-	.A(n_6039),
-	.B(n_626),
-	.Y(n_6178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473662 (
-	.A(n_4918),
-	.B(n_6144),
-	.Y(n_6177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g473667 (
-	.A(n_6144),
-	.B_N(n_5366),
-	.Y(n_6184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g473668 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [22]),
-	.B(soc_top_u_top_u_core_lsu_wdata[13]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [14]),
-	.D(n_5973),
-	.Y(n_6183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g473670 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [22]),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [13]),
-	.C(\soc_top_u_top_u_core_fp_operands[0] [14]),
-	.D(n_5974),
-	.Y(n_6182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g473671 (
-	.A_N(n_5984),
-	.B(\soc_top_timer0_gen_harts[0].u_core_tick_count [8]),
-	.Y(n_6181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g473689 (
-	.A(n_6174),
-	.Y(n_6175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g473690 (
-	.A1(n_3700),
-	.A2(n_4936),
-	.B1(n_4277),
-	.B2(n_5986),
-	.C1(n_4057),
-	.Y(n_6170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473691 (
-	.A1(n_3689),
-	.A2(n_2383),
-	.B1(n_3416),
-	.C1(n_3389),
-	.D1(n_5963),
-	.Y(n_6169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473692 (
-	.A1(n_1197),
-	.A2(n_5912),
-	.B1(n_6142),
-	.Y(n_6168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473693 (
-	.A1(n_5566),
-	.A2(n_3706),
-	.B1(n_6135),
-	.Y(n_6167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g473694 (
-	.A1(n_4003),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [0]),
-	.B1(n_5616),
-	.C1(n_5497),
-	.D1(n_5960),
-	.Y(n_6166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473695 (
-	.A(n_6076),
-	.B(n_4929),
-	.Y(n_6165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473696 (
-	.A1(n_3687),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[7]),
-	.B1(n_4006),
-	.B2(n_5534),
-	.C1(n_6034),
-	.Y(n_6164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g473697 (
-	.A(n_5579),
-	.B(n_5582),
-	.C(n_5580),
-	.D(n_5968),
-	.X(n_6163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g473698 (
-	.A1(n_277),
-	.A2(n_5912),
-	.A3(n_1355),
-	.B1(n_1356),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[7]),
-	.Y(n_6162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g473699 (
-	.A(n_502),
-	.B(n_6059),
-	.X(n_6161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g473700 (
-	.A1(n_3707),
-	.A2(n_4936),
-	.B1(n_3695),
-	.B2(n_4925),
-	.C1(n_6068),
-	.Y(n_6160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473701 (
-	.A(n_6062),
-	.B(n_5369),
-	.Y(n_6159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473702 (
-	.A1(n_3687),
-	.A2(soc_top_u_top_u_core_rf_raddr_b[2]),
-	.B1(n_6128),
-	.Y(n_6158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473703 (
-	.A1(n_4763),
-	.A2(n_4274),
-	.B1(n_3687),
-	.B2(soc_top_u_top_u_core_rf_raddr_b[3]),
-	.C1(n_6079),
-	.Y(n_6157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473704 (
-	.A1(n_6032),
-	.A2(n_4740),
-	.B1(n_3700),
-	.Y(n_6156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g473705 (
-	.A1(n_4273),
-	.A2(n_6054),
-	.B1(n_3594),
-	.B2(n_5530),
-	.Y(n_6155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g473706 (
-	.A1(n_4731),
-	.A2(n_15368),
-	.A3(soc_top_u_top_u_core_alu_operand_b_ex[2]),
-	.B1(n_6127),
-	.Y(n_6154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473707 (
-	.A1(n_5515),
-	.A2(n_4193),
-	.B1(n_3687),
-	.B2(soc_top_u_top_u_core_rf_raddr_b[4]),
-	.C1(n_6038),
-	.Y(n_6153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473708 (
-	.A1(n_6031),
-	.A2(n_6052),
-	.B1(n_1369),
-	.B2(soc_top_u_pwm_pwm_core_period_counter2[8]),
-	.X(n_6152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g473709 (
-	.A1(n_4276),
-	.A2(n_6053),
-	.B1(n_5785),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [3]),
-	.C1(n_3687),
-	.C2(soc_top_u_top_u_core_instr_rdata_alu_id[19]),
-	.Y(n_6151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473710 (
-	.A1(n_6031),
-	.A2(n_1807),
-	.B1(n_1369),
-	.B2(soc_top_u_pwm_pwm_core_period_counter2[1]),
-	.X(n_6150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473711 (
-	.A1(n_6031),
-	.A2(n_2226),
-	.B1(n_1369),
-	.B2(soc_top_u_pwm_pwm_core_period_counter2[2]),
-	.X(n_6149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473712 (
-	.A1(n_6031),
-	.A2(n_4571),
-	.B1(n_1369),
-	.B2(soc_top_u_pwm_pwm_core_period_counter2[5]),
-	.X(n_6148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473713 (
-	.A1(n_6031),
-	.A2(n_5244),
-	.B1(n_1369),
-	.B2(soc_top_u_pwm_pwm_core_period_counter2[6]),
-	.X(n_6147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473714 (
-	.A1(n_6031),
-	.A2(n_5784),
-	.B1(n_1369),
-	.B2(soc_top_u_pwm_pwm_core_period_counter2[7]),
-	.X(n_6146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g473715 (
-	.A1(soc_top_u_pwm_pwm_core_period_counter1[11]),
-	.A2(n_5951),
-	.B1_N(n_6106),
-	.Y(n_6176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473716 (
-	.A1(n_6031),
-	.A2(n_2050),
-	.B1(n_1369),
-	.Y(n_6174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g473717 (
-	.A1(soc_top_u_pwm_pwm_core_counter_p1[13]),
-	.A2(n_5980),
-	.B1_N(n_6107),
-	.Y(n_6173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g473718 (
-	.A(n_6055),
-	.B(soc_top_u_pwm_pwm_core_period_counter1[11]),
-	.C(soc_top_u_pwm_pwm_core_period_counter1[12]),
-	.X(n_6172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473719 (
-	.A(n_6145),
-	.B(soc_top_u_pwm_pwm_core_counter_p2[8]),
-	.Y(n_6171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g473720 (
-	.A(n_278),
-	.Y(n_6143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g473721 (
-	.A(soc_top_u_pwm_pwm_core_counter_p2[7]),
-	.B(n_5905),
-	.COUT(n_6145),
-	.SUM(n_6140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g473722 (
-	.A(n_17276),
-	.B(n_17277),
-	.C(n_17278),
-	.D(n_5868),
-	.X(n_6139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473723 (
-	.A1(n_4065),
-	.A2(n_17347),
-	.B1(n_6016),
-	.Y(n_6138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g473724 (
-	.A(soc_top_u_pwm_pwm_core_counter_p1[15]),
-	.B_N(n_6056),
-	.Y(n_6137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473725 (
-	.A1(n_4065),
-	.A2(n_17346),
-	.B1(n_6017),
-	.Y(n_6136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g473726 (
-	.A1(n_3326),
-	.A2(n_5707),
-	.B1(n_4280),
-	.B2(n_5126),
-	.C1(n_6001),
-	.Y(n_6135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g473727 (
-	.A(n_5890),
-	.B(n_5708),
-	.C(n_4059),
-	.D(n_5903),
-	.Y(n_6134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473728 (
-	.A1(n_4065),
-	.A2(n_17345),
-	.B1(n_6018),
-	.Y(n_6133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g473729 (
-	.A1(n_409),
-	.A2(n_1129),
-	.B1(n_6058),
-	.Y(n_6132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473730 (
-	.A1(n_3687),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[10]),
-	.B1(n_5993),
-	.Y(n_6131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g473731 (
-	.A1(n_1369),
-	.A2(soc_top_u_pwm_pwm_core_pts_2),
-	.B1(n_5995),
-	.X(n_6130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g473732 (
-	.A1(n_1128),
-	.A2(soc_top_u_pwm_pwm_core_pts),
-	.B1(n_5994),
-	.X(n_6129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g473733 (
-	.A1(n_3707),
-	.A2(n_5976),
-	.B1(n_3593),
-	.B2(n_5514),
-	.Y(n_6128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473734 (
-	.A1(n_5913),
-	.A2(n_3781),
-	.B1(n_4475),
-	.C1(n_5696),
-	.D1(n_4997),
-	.Y(n_6127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473735 (
-	.A(n_5950),
-	.B(n_4740),
-	.C(n_4743),
-	.Y(n_6126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473736 (
-	.A(n_5972),
-	.B(n_5361),
-	.C(n_4740),
-	.Y(n_6125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g473737 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
-	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
-	.D(n_5869),
-	.X(n_6124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473738 (
-	.A1(n_4065),
-	.A2(n_17348),
-	.B1(n_6015),
-	.Y(n_6123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473739 (
-	.A1(n_4065),
-	.A2(n_17330),
-	.B1(n_6048),
-	.Y(n_6122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473740 (
-	.A1(n_4065),
-	.A2(n_17331),
-	.B1(n_6049),
-	.Y(n_6121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473741 (
-	.A1(n_4065),
-	.A2(n_17332),
-	.B1(n_6050),
-	.Y(n_6120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473742 (
-	.A1(n_4065),
-	.A2(n_17333),
-	.B1(n_6051),
-	.Y(n_6119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473743 (
-	.A1(n_4065),
-	.A2(n_17334),
-	.B1(n_6003),
-	.Y(n_6118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473744 (
-	.A1(n_4065),
-	.A2(n_17335),
-	.B1(n_6028),
-	.Y(n_6117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473745 (
-	.A1(n_4065),
-	.A2(n_17336),
-	.B1(n_6027),
-	.Y(n_6116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473746 (
-	.A1(n_4065),
-	.A2(n_17337),
-	.B1(n_6026),
-	.Y(n_6115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473747 (
-	.A1(n_4065),
-	.A2(n_17338),
-	.B1(n_6025),
-	.Y(n_6114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473748 (
-	.A1(n_4065),
-	.A2(n_17339),
-	.B1(n_6024),
-	.Y(n_6113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473749 (
-	.A1(n_4065),
-	.A2(n_17340),
-	.B1(n_6023),
-	.Y(n_6112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473750 (
-	.A1(n_4065),
-	.A2(n_17341),
-	.B1(n_6022),
-	.Y(n_6111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473751 (
-	.A1(n_4065),
-	.A2(n_17342),
-	.B1(n_6021),
-	.Y(n_6110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473752 (
-	.A1(n_4065),
-	.A2(n_17343),
-	.B1(n_6020),
-	.Y(n_6109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473753 (
-	.A1(n_4065),
-	.A2(n_17344),
-	.B1(n_6019),
-	.Y(n_6108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473756 (
-	.A(n_6054),
-	.B(n_4928),
-	.Y(n_6144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473759 (
-	.A(n_5912),
-	.B(n_1197),
-	.Y(n_6142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473760 (
-	.A(n_6059),
-	.B(soc_top_u_pwm_pwm_core_period_counter2[9]),
-	.Y(n_6141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g473763 (
-	.A(n_6104),
-	.Y(n_6105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g473764 (
-	.A(n_6102),
-	.Y(n_6103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g473765 (
-	.A(n_6100),
-	.Y(n_6101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g473766 (
-	.A(n_6097),
-	.Y(n_6098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g473767 (
-	.A(n_6095),
-	.Y(n_6096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g473768 (
-	.A(n_6093),
-	.Y(n_6094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g473769 (
-	.A(n_6091),
-	.Y(n_6092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473770 (
-	.A1(n_4065),
-	.A2(n_17349),
-	.B1(n_6014),
-	.Y(n_6089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473771 (
-	.A1(n_4065),
-	.A2(n_17350),
-	.B1(n_6013),
-	.Y(n_6088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473772 (
-	.A1(n_4065),
-	.A2(n_17351),
-	.B1(n_6012),
-	.Y(n_6087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473773 (
-	.A1(n_4065),
-	.A2(n_17352),
-	.B1(n_6011),
-	.Y(n_6086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473774 (
-	.A1(n_4065),
-	.A2(n_17353),
-	.B1(n_6010),
-	.Y(n_6085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473775 (
-	.A1(n_4065),
-	.A2(n_17354),
-	.B1(n_6009),
-	.Y(n_6084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473776 (
-	.A1(n_4065),
-	.A2(n_17355),
-	.B1(n_6008),
-	.Y(n_6083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473777 (
-	.A1(n_4065),
-	.A2(n_17356),
-	.B1(n_6007),
-	.Y(n_6082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473778 (
-	.A1(n_4065),
-	.A2(n_17357),
-	.B1(n_6006),
-	.Y(n_6081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473779 (
-	.A1(n_4065),
-	.A2(n_17358),
-	.B1(n_6005),
-	.Y(n_6080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g473780 (
-	.A1(n_3715),
-	.A2(n_5357),
-	.B1(n_5899),
-	.B2(n_4266),
-	.C1(n_5282),
-	.Y(n_6079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g473781 (
-	.A1(n_4731),
-	.A2(soc_top_u_top_u_core_alu_operand_b_ex[1]),
-	.A3(n_15367),
-	.B1(n_5990),
-	.Y(n_6078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g473782 (
-	.A1(n_1130),
-	.A2(n_5936),
-	.B1(n_5900),
-	.B2(n_1650),
-	.C1(n_17448),
-	.C2(n_4692),
-	.Y(n_6077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g473783 (
-	.A1(n_5362),
-	.A2(n_3704),
-	.B1(n_5120),
-	.C1(n_5865),
-	.D1(n_5370),
-	.Y(n_6076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473784 (
-	.A1(n_5952),
-	.A2(n_3537),
-	.B1(n_1128),
-	.B2(soc_top_u_pwm_pwm_core_period_counter1[7]),
-	.X(n_6075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473785 (
-	.A1(n_5983),
-	.A2(n_5906),
-	.B1(n_485),
-	.B2(soc_top_u_pwm_pwm_core_counter_p2[6]),
-	.X(n_6074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473786 (
-	.A1(n_5983),
-	.A2(n_5374),
-	.B1(n_485),
-	.B2(soc_top_u_pwm_pwm_core_counter_p2[5]),
-	.X(n_6073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473787 (
-	.A1(n_5983),
-	.A2(n_4720),
-	.B1(n_485),
-	.B2(soc_top_u_pwm_pwm_core_counter_p2[4]),
-	.X(n_6072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473788 (
-	.A1(n_5983),
-	.A2(n_4064),
-	.B1(n_485),
-	.B2(soc_top_u_pwm_pwm_core_counter_p2[3]),
-	.X(n_6071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473789 (
-	.A1(n_5983),
-	.A2(n_3103),
-	.B1(n_485),
-	.B2(soc_top_u_pwm_pwm_core_counter_p2[2]),
-	.X(n_6070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g473790 (
-	.A1(n_3687),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[5]),
-	.B1(n_4935),
-	.C1(n_5362),
-	.D1(n_5866),
-	.Y(n_6069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473791 (
-	.A1(n_3687),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[9]),
-	.B1(n_6041),
-	.Y(n_6068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473792 (
-	.A1(n_3687),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
-	.B1(n_6043),
-	.Y(n_6067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473793 (
-	.A1(n_5952),
-	.A2(n_4478),
-	.B1(n_1128),
-	.B2(soc_top_u_pwm_pwm_core_period_counter1[8]),
-	.X(n_6066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473794 (
-	.A1(n_5983),
-	.A2(n_1817),
-	.B1(n_485),
-	.B2(soc_top_u_pwm_pwm_core_counter_p2[1]),
-	.X(n_6065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473795 (
-	.A1(n_5981),
-	.A2(n_2230),
-	.B1(n_376),
-	.B2(soc_top_u_pwm_pwm_core_counter_p1[2]),
-	.X(n_6064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g473796 (
-	.A1(n_5981),
-	.A2(n_243),
-	.B1(n_376),
-	.B2(soc_top_u_pwm_pwm_core_counter_p1[1]),
-	.X(n_6063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g473797 (
-	.A1(n_5978),
-	.A2(n_4276),
-	.B1(n_3687),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[11]),
-	.Y(n_6062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g473798 (
-	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_n_12 ),
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_final_sign ),
-	.S(n_5953),
-	.X(n_6061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g473799 (
-	.A1(n_628),
-	.A2(n_15437),
-	.B1(n_1365),
-	.B2(soc_top_main_swith_host_lsu_num_req_outstanding[12]),
-	.C1(n_5970),
-	.X(n_6060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g473800 (
-	.A1(n_5571),
-	.A2(n_5980),
-	.B1(soc_top_u_pwm_pwm_core_ctrl[1]),
-	.Y(n_6107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g473801 (
-	.A1(n_5256),
-	.A2(n_5951),
-	.B1(n_1129),
-	.Y(n_6106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473802 (
-	.A1(n_5952),
-	.A2(n_4282),
-	.B1(n_1128),
-	.Y(n_6104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473803 (
-	.A1(n_5952),
-	.A2(n_2144),
-	.B1(n_1128),
-	.Y(n_6102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473804 (
-	.A1(n_5952),
-	.A2(n_1419),
-	.B1(n_1128),
-	.Y(n_6100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g473805 (
-	.A1(n_5792),
-	.A2(n_2074),
-	.B1_N(n_6057),
-	.Y(n_6099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473806 (
-	.A1(n_5981),
-	.A2(n_5255),
-	.B1(n_376),
-	.Y(n_6097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473807 (
-	.A1(n_5981),
-	.A2(n_4753),
-	.B1(n_376),
-	.Y(n_6095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473808 (
-	.A1(n_5981),
-	.A2(n_4286),
-	.B1(n_376),
-	.Y(n_6093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473809 (
-	.A1(n_5981),
-	.A2(n_3789),
-	.B1(n_376),
-	.Y(n_6091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g473810 (
-	.A1(n_5981),
-	.A2(n_2049),
-	.B1(n_376),
-	.X(n_6090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g473812 (
-	.A(n_6053),
-	.Y(n_6054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g473813 (
-	.A(soc_top_u_pwm_pwm_core_period_counter2[8]),
-	.B(n_5783),
-	.COUT(n_6059),
-	.SUM(n_6052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473814 (
-	.A1(n_1379),
-	.A2(n_3708),
-	.B1(n_3496),
-	.C1(n_5853),
-	.Y(n_6051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473815 (
-	.A1(n_1379),
-	.A2(n_3784),
-	.B1(n_3497),
-	.C1(n_5854),
-	.Y(n_6050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473816 (
-	.A1(n_1377),
-	.A2(n_3702),
-	.B1(n_3498),
-	.C1(n_5855),
-	.Y(n_6049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473817 (
-	.A1(n_1377),
-	.A2(n_3705),
-	.B1(n_3499),
-	.C1(n_5856),
-	.Y(n_6048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g473818 (
-	.A(n_5019),
-	.B(n_5884),
-	.C(n_4832),
-	.D(n_5314),
-	.Y(n_6047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g473819 (
-	.A(n_5020),
-	.B(n_5886),
-	.C(n_4834),
-	.D(n_5313),
-	.Y(n_6046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g473820 (
-	.A(n_5021),
-	.B(n_5701),
-	.C(n_5488),
-	.D(n_5329),
-	.Y(n_6045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473821 (
-	.A1(n_3410),
-	.A2(n_17329),
-	.B1(n_3783),
-	.B2(n_17330),
-	.C1(n_5967),
-	.Y(n_6044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g473822 (
-	.A1(n_4192),
-	.A2(n_5516),
-	.B1(n_3709),
-	.B2(n_5305),
-	.C1(n_5889),
-	.Y(n_6043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g473823 (
-	.A1(n_4490),
-	.A2(n_5794),
-	.A3(n_4486),
-	.B1(n_5796),
-	.Y(n_6042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g473824 (
-	.A1(n_5795),
-	.A2(n_271),
-	.A3(n_4941),
-	.B1(n_4280),
-	.Y(n_6041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473825 (
-	.A(n_5957),
-	.B(n_4921),
-	.Y(n_6040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473826 (
-	.A1(n_5568),
-	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[13]),
-	.B1(n_5985),
-	.Y(n_6039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g473827 (
-	.A1(n_3695),
-	.A2(n_5902),
-	.B1(n_5044),
-	.Y(n_6038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g473828 (
-	.A(n_1845),
-	.B(n_2030),
-	.C(n_2037),
-	.D(n_5782),
-	.Y(n_6037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473829 (
-	.A1(n_4277),
-	.A2(n_4740),
-	.B1(n_5279),
-	.C1(n_5911),
-	.D1(n_5861),
-	.Y(n_6036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g473830 (
-	.A(n_5364),
-	.B(n_5309),
-	.C(n_5830),
-	.Y(n_6035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473831 (
-	.A(n_3709),
-	.B(n_5986),
-	.Y(n_6034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473832 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_5958),
-	.Y(n_6033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473834 (
-	.A(n_5952),
-	.B(n_409),
-	.Y(n_6058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g473835 (
-	.A(n_2074),
-	.B(n_5792),
-	.X(n_6057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g473836 (
-	.A(n_5980),
-	.B_N(n_5571),
-	.Y(n_6056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g473837 (
-	.A(n_5952),
-	.B(n_5256),
-	.X(n_6055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473838 (
-	.A(n_5959),
-	.B(n_4929),
-	.Y(n_6053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g473858 (
-	.A(n_6031),
-	.Y(n_6030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221a_1 g473859 (
-	.A1(n_4273),
-	.A2(n_5126),
-	.B1(n_3313),
-	.B2(n_5787),
-	.C1(n_5896),
-	.X(n_6029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473860 (
-	.A1(n_1379),
-	.A2(n_3702),
-	.B1(n_3494),
-	.C1(n_5851),
-	.Y(n_6028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473861 (
-	.A1(n_1366),
-	.A2(n_3784),
-	.B1(n_3493),
-	.C1(n_5850),
-	.Y(n_6027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473862 (
-	.A1(n_1366),
-	.A2(n_3708),
-	.B1(n_3492),
-	.C1(n_5849),
-	.Y(n_6026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473863 (
-	.A1(n_1366),
-	.A2(n_3705),
-	.B1(n_3491),
-	.C1(n_5848),
-	.Y(n_6025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473864 (
-	.A1(n_1366),
-	.A2(n_3702),
-	.B1(n_3489),
-	.C1(n_5847),
-	.Y(n_6024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473865 (
-	.A1(n_1374),
-	.A2(n_3784),
-	.B1(n_3490),
-	.C1(n_5846),
-	.Y(n_6023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473866 (
-	.A1(n_1374),
-	.A2(n_3708),
-	.B1(n_3488),
-	.C1(n_5845),
-	.Y(n_6022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473867 (
-	.A1(n_1374),
-	.A2(n_3705),
-	.B1(n_3487),
-	.C1(n_5844),
-	.Y(n_6021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473868 (
-	.A1(n_1374),
-	.A2(n_3702),
-	.B1(n_3486),
-	.C1(n_5843),
-	.Y(n_6020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473869 (
-	.A1(n_1373),
-	.A2(n_3784),
-	.B1(n_3485),
-	.C1(n_5891),
-	.Y(n_6019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473870 (
-	.A1(n_1373),
-	.A2(n_3708),
-	.B1(n_3484),
-	.C1(n_5841),
-	.Y(n_6018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473871 (
-	.A1(n_1373),
-	.A2(n_3705),
-	.B1(n_3483),
-	.C1(n_5840),
-	.Y(n_6017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473872 (
-	.A1(n_1373),
-	.A2(n_3702),
-	.B1(n_3482),
-	.C1(n_5839),
-	.Y(n_6016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473873 (
-	.A1(n_1371),
-	.A2(n_3784),
-	.B1(n_3481),
-	.C1(n_5838),
-	.Y(n_6015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473874 (
-	.A1(n_1371),
-	.A2(n_3708),
-	.B1(n_3480),
-	.C1(n_5837),
-	.Y(n_6014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473875 (
-	.A1(n_1371),
-	.A2(n_3705),
-	.B1(n_3479),
-	.C1(n_5836),
-	.Y(n_6013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473876 (
-	.A1(n_1371),
-	.A2(n_3702),
-	.B1(n_3478),
-	.C1(n_5835),
-	.Y(n_6012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473877 (
-	.A1(n_1382),
-	.A2(n_3784),
-	.B1(n_3477),
-	.C1(n_5834),
-	.Y(n_6011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473878 (
-	.A1(n_1382),
-	.A2(n_3708),
-	.B1(n_3475),
-	.C1(n_5833),
-	.Y(n_6010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473879 (
-	.A1(n_1382),
-	.A2(n_3705),
-	.B1(n_3474),
-	.C1(n_5832),
-	.Y(n_6009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473880 (
-	.A1(n_1382),
-	.A2(n_3702),
-	.B1(n_3473),
-	.C1(n_5831),
-	.Y(n_6008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g473881 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [27]),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
-	.C1(n_5956),
-	.X(n_6007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g473882 (
-	.A1(n_17561),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
-	.C1(n_5961),
-	.X(n_6006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g473883 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [29]),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
-	.C1(n_5965),
-	.X(n_6005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473884 (
-	.A1(n_4065),
-	.A2(n_17359),
-	.B1(n_3415),
-	.B2(n_3153),
-	.C1(n_5979),
-	.Y(n_6004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473885 (
-	.A1(n_1379),
-	.A2(n_3705),
-	.B1(n_3495),
-	.C1(n_5852),
-	.Y(n_6003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473886 (
-	.A1(n_5788),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [20]),
-	.B1(n_5785),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [4]),
-	.C1(n_5977),
-	.Y(n_6002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g473887 (
-	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [12]),
-	.A2(n_5789),
-	.B1(n_3687),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
-	.C1(n_5790),
-	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [28]),
-	.Y(n_6001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g473888 (
-	.A(n_5050),
-	.B(n_5877),
-	.C(n_4822),
-	.D(n_5320),
-	.Y(n_6000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g473889 (
-	.A(n_5052),
-	.B(n_5875),
-	.C(n_4821),
-	.D(n_5321),
-	.Y(n_5999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g473890 (
-	.A(n_5054),
-	.B(n_5874),
-	.C(n_4820),
-	.D(n_5322),
-	.Y(n_5998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g473891 (
-	.A(n_5079),
-	.B(n_5873),
-	.C(n_4819),
-	.D(n_5323),
-	.Y(n_5997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g473892 (
-	.A(n_5084),
-	.B(n_5872),
-	.C(n_4818),
-	.D(n_5324),
-	.Y(n_5996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g473893 (
-	.A1(n_1953),
-	.A2(n_3078),
-	.B1(n_1719),
-	.B2(n_968),
-	.C1(n_5863),
-	.C2(n_1719),
-	.Y(n_5995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g473894 (
-	.A1(n_1947),
-	.A2(n_3077),
-	.B1(n_1694),
-	.B2(n_969),
-	.C1(n_5862),
-	.C2(n_1694),
-	.Y(n_5994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473895 (
-	.A1(n_5894),
-	.A2(n_4009),
-	.B1(n_4273),
-	.Y(n_5993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g473896 (
-	.A1_N(\soc_top_timer0_gen_harts[0].u_core_tick_count [7]),
-	.A2_N(n_5518),
-	.B1(\soc_top_timer0_gen_harts[0].u_core_tick_count [7]),
-	.B2(n_5518),
-	.Y(n_5992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473897 (
-	.A1(n_3687),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[31]),
-	.B1(n_5790),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [31]),
-	.C1(n_5904),
-	.Y(n_5991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g473898 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_26 ),
-	.A2(n_4513),
-	.B1(n_4474),
-	.C1(n_5697),
-	.D1(n_4994),
-	.Y(n_5990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g473899 (
-	.A(n_5611),
-	.B(n_5334),
-	.C(n_4895),
-	.D(n_5335),
-	.Y(n_5989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473900 (
-	.A1(n_3410),
-	.A2(n_17328),
-	.B1(n_5962),
-	.Y(n_5988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4b_1 g473901 (
-	.A(n_5251),
-	.B(n_4937),
-	.C(n_5793),
-	.D_N(n_4929),
-	.Y(n_6032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g473902 (
-	.A(n_2540),
-	.B(n_2448),
-	.C(n_5969),
-	.Y(n_6031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g473903 (
-	.A(n_5913),
-	.Y(n_5987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g473904 (
-	.A(n_5983),
-	.Y(n_5982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g473905 (
-	.A(n_5981),
-	.Y(n_5980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g473906 (
-	.A1(n_3689),
-	.A2(n_2367),
-	.B1(n_5859),
-	.Y(n_5979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g473907 (
-	.A1(n_4487),
-	.A2(n_4009),
-	.B1(n_5894),
-	.Y(n_5978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g473908 (
-	.A1(n_2330),
-	.A2(n_5791),
-	.B1(n_5612),
-	.Y(n_5977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g473909 (
-	.A(n_4490),
-	.B(n_4741),
-	.C(n_5793),
-	.Y(n_5976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g473910 (
-	.A1(n_3700),
-	.A2(n_5514),
-	.B1(n_4056),
-	.C1(n_4740),
-	.Y(n_5975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g473911 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [20]),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [17]),
-	.C(\soc_top_u_top_u_core_fp_operands[0] [18]),
-	.D(n_5548),
-	.X(n_5974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g473912 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [20]),
-	.B(soc_top_u_top_u_core_lsu_wdata[17]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [18]),
-	.D(n_5549),
-	.X(n_5973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473913 (
-	.A1(n_5515),
-	.A2(n_3704),
-	.B1(n_3687),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[2]),
-	.C1(n_5914),
-	.Y(n_5972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473914 (
-	.A(n_5645),
-	.B(n_4689),
-	.Y(n_5971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473915 (
-	.A1(n_5568),
-	.A2(n_276),
-	.B1(n_626),
-	.Y(n_5970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o311ai_0 g473916 (
-	.A1(n_1218),
-	.A2(n_1196),
-	.A3(n_2982),
-	.B1(n_2509),
-	.C1(n_5698),
-	.Y(n_5969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g473917 (
-	.A(n_5259),
-	.B(n_5583),
-	.C(n_5584),
-	.D(n_5581),
-	.X(n_5968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g473918 (
-	.A1(n_3392),
-	.A2(n_2392),
-	.B1(n_941),
-	.B2(n_3423),
-	.C1(n_5842),
-	.Y(n_5967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g473919 (
-	.A(n_5023),
-	.B(n_5487),
-	.C(n_4835),
-	.D(n_5396),
-	.Y(n_5966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g473920 (
-	.A1(n_1380),
-	.A2(n_3705),
-	.B1(n_3689),
-	.B2(n_2369),
-	.C1(n_5592),
-	.Y(n_5965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g473921 (
-	.A_N(n_5370),
-	.B(n_5864),
-	.Y(n_5964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g473922 (
-	.A1(n_3032),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [32]),
-	.B1(n_5897),
-	.Y(n_5963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g473923 (
-	.A(n_5595),
-	.B(n_4709),
-	.C(n_4430),
-	.Y(n_5962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g473924 (
-	.A1(n_1380),
-	.A2(n_3708),
-	.B1(n_3689),
-	.B2(n_2395),
-	.C1(n_5593),
-	.Y(n_5961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g473925 (
-	.A(n_4914),
-	.B(n_5474),
-	.C(n_4862),
-	.D(n_5494),
-	.Y(n_5960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473926 (
-	.A1(n_5251),
-	.A2(n_4268),
-	.B1(n_4265),
-	.B2(n_4748),
-	.C1(n_5914),
-	.Y(n_5959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g473927 (
-	.A1(n_5495),
-	.A2(n_1355),
-	.B1(n_1356),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[6]),
-	.Y(n_5958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g473928 (
-	.A1(n_5119),
-	.A2(n_4276),
-	.A3(n_3696),
-	.B1(n_5898),
-	.Y(n_5957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g473929 (
-	.A1(n_1380),
-	.A2(n_3784),
-	.B1(n_3689),
-	.B2(n_2370),
-	.C1(n_5594),
-	.Y(n_5956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g473930 (
-	.A(n_5795),
-	.B(n_5357),
-	.C(n_4426),
-	.X(n_5986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473932 (
-	.A(n_5892),
-	.B(soc_top_u_pwm_pwm_core_ctrl[1]),
-	.Y(n_5955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473933 (
-	.A(n_5893),
-	.B(soc_top_u_pwm_pwm_core_ctrl_2[1]),
-	.Y(n_5954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473934 (
-	.A(n_5867),
-	.B(n_973),
-	.Y(n_5953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473935 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[13]),
-	.B(n_5568),
-	.Y(n_5985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g473936 (
-	.A_N(n_5518),
-	.B(\soc_top_timer0_gen_harts[0].u_core_tick_count [7]),
-	.Y(n_5984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473940 (
-	.A(n_485),
-	.B(n_5893),
-	.Y(n_5983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473941 (
-	.A(n_376),
-	.B(n_5892),
-	.Y(n_5981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g473942 (
-	.A(n_5952),
-	.Y(n_5951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g473943 (
-	.A1(n_4691),
-	.A2(n_4935),
-	.B1(n_5515),
-	.B2(n_3696),
-	.C1(n_3687),
-	.C2(soc_top_u_top_u_core_instr_rdata_alu_id[6]),
-	.Y(n_5950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473944 (
-	.A1(n_4733),
-	.A2(soc_top_u_top_u_core_lsu_wdata[21]),
-	.B1(n_16743),
-	.B2(n_4735),
-	.C1(n_5888),
-	.Y(n_5949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473945 (
-	.A1(n_4733),
-	.A2(soc_top_u_top_u_core_lsu_wdata[24]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [24]),
-	.B2(n_4735),
-	.C1(n_5887),
-	.Y(n_5948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473946 (
-	.A1(n_4912),
-	.A2(n_15536),
-	.B1(n_4482),
-	.B2(\soc_top_lsu_to_xbar[a_address] [27]),
-	.C1(n_5885),
-	.Y(n_5947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473947 (
-	.A1(n_4912),
-	.A2(n_15538),
-	.B1(n_4482),
-	.B2(\soc_top_lsu_to_xbar[a_address] [29]),
-	.C1(n_5883),
-	.Y(n_5946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473948 (
-	.A1(n_4912),
-	.A2(n_15539),
-	.B1(n_4482),
-	.B2(\soc_top_lsu_to_xbar[a_address] [30]),
-	.C1(n_5882),
-	.Y(n_5945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473949 (
-	.A1(n_16730),
-	.A2(n_4735),
-	.B1(n_4734),
-	.B2(n_16665),
-	.C1(n_5881),
-	.Y(n_5944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473950 (
-	.A1(n_4733),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [10]),
-	.B1(n_16732),
-	.B2(n_4735),
-	.C1(n_5880),
-	.Y(n_5943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473951 (
-	.A1(n_4733),
-	.A2(soc_top_u_top_u_core_lsu_wdata[11]),
-	.B1(n_16733),
-	.B2(n_4735),
-	.C1(n_5879),
-	.Y(n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473952 (
-	.A1(n_4908),
-	.A2(n_15489),
-	.B1(n_253),
-	.B2(\soc_top_lsu_to_xbar[a_address] [11]),
-	.C1(n_5878),
-	.Y(n_5941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473953 (
-	.A1(n_4733),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [14]),
-	.B1(n_16736),
-	.B2(n_4735),
-	.C1(n_5876),
-	.Y(n_5940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473954 (
-	.A1(n_4912),
-	.A2(n_15528),
-	.B1(n_4482),
-	.B2(\soc_top_lsu_to_xbar[a_address] [19]),
-	.C1(n_5871),
-	.Y(n_5939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473955 (
-	.A1(n_4733),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [20]),
-	.B1(n_16742),
-	.B2(n_4735),
-	.C1(n_5870),
-	.Y(n_5938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g473956 (
-	.A1(n_628),
-	.A2(n_15436),
-	.B1(n_5532),
-	.B2(soc_top_main_swith_host_lsu_num_req_outstanding[11]),
-	.C1(n_5778),
-	.X(n_5937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g473957 (
-	.A1(n_1153),
-	.A2(n_5689),
-	.B1(n_4503),
-	.B2(n_4919),
-	.Y(n_5936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g473958 (
-	.A1(n_4726),
-	.A2(n_463),
-	.B1(n_5356),
-	.B2(n_1251),
-	.C1(n_5776),
-	.Y(n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g473959 (
-	.A1(n_4726),
-	.A2(n_465),
-	.B1(n_5356),
-	.B2(n_1250),
-	.C1(n_5777),
-	.Y(n_5934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g473960 (
-	.A1(\soc_top_xbar_to_timer[a_data] [6]),
-	.A2(n_4727),
-	.B1(n_275),
-	.B2(soc_top_GPIO_cio_gpio_en_q[6]),
-	.C1(n_5554),
-	.X(n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g473961 (
-	.A1(\soc_top_xbar_to_timer[a_data] [4]),
-	.A2(n_4727),
-	.B1(n_275),
-	.B2(soc_top_GPIO_cio_gpio_en_q[4]),
-	.C1(n_5553),
-	.X(n_5932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g473962 (
-	.A1(\soc_top_xbar_to_timer[a_data] [7]),
-	.A2(n_4727),
-	.B1(n_275),
-	.B2(soc_top_GPIO_cio_gpio_en_q[7]),
-	.C1(n_5555),
-	.X(n_5931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g473963 (
-	.A1(\soc_top_xbar_to_timer[a_data] [8]),
-	.A2(n_4727),
-	.B1(n_275),
-	.B2(soc_top_GPIO_cio_gpio_en_q[8]),
-	.C1(n_5556),
-	.X(n_5930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g473964 (
-	.A1(\soc_top_xbar_to_timer[a_data] [9]),
-	.A2(n_4727),
-	.B1(n_275),
-	.B2(soc_top_GPIO_cio_gpio_en_q[9]),
-	.C1(n_5557),
-	.X(n_5929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g473965 (
-	.A1(\soc_top_xbar_to_timer[a_data] [10]),
-	.A2(n_4727),
-	.B1(n_275),
-	.B2(soc_top_GPIO_cio_gpio_en_q[10]),
-	.C1(n_5558),
-	.X(n_5928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g473966 (
-	.A1(\soc_top_xbar_to_timer[a_data] [11]),
-	.A2(n_4727),
-	.B1(n_275),
-	.B2(soc_top_GPIO_cio_gpio_en_q[11]),
-	.C1(n_5559),
-	.X(n_5927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g473967 (
-	.A1(\soc_top_xbar_to_timer[a_data] [12]),
-	.A2(n_4727),
-	.B1(n_275),
-	.B2(soc_top_GPIO_cio_gpio_en_q[12]),
-	.C1(n_5560),
-	.X(n_5926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g473968 (
-	.A1(\soc_top_xbar_to_timer[a_data] [13]),
-	.A2(n_4727),
-	.B1(n_275),
-	.B2(soc_top_GPIO_cio_gpio_en_q[13]),
-	.C1(n_5561),
-	.X(n_5925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g473969 (
-	.A1(\soc_top_xbar_to_timer[a_data] [14]),
-	.A2(n_4727),
-	.B1(n_275),
-	.B2(soc_top_GPIO_cio_gpio_en_q[14]),
-	.C1(n_5562),
-	.X(n_5924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g473970 (
-	.A1(\soc_top_xbar_to_timer[a_data] [15]),
-	.A2(n_4727),
-	.B1(n_275),
-	.B2(soc_top_GPIO_cio_gpio_en_q[15]),
-	.C1(n_5563),
-	.X(n_5923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g473971 (
-	.A1(n_5706),
-	.A2(n_2345),
-	.B1(n_5788),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [19]),
-	.Y(n_5922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g473972 (
-	.A1(n_5706),
-	.A2(n_2347),
-	.B1(n_3687),
-	.B2(soc_top_u_top_u_core_rf_raddr_b[0]),
-	.Y(n_5921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g473973 (
-	.A1(n_5790),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [29]),
-	.B1(n_3687),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
-	.Y(n_5920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g473974 (
-	.A1_N(n_3312),
-	.A2_N(n_5707),
-	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [15]),
-	.B2(n_5789),
-	.Y(n_5919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g473975 (
-	.A1(n_4726),
-	.A2(n_462),
-	.B1(n_5356),
-	.B2(n_1252),
-	.C1(n_5775),
-	.Y(n_5918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g473976 (
-	.A1(n_4726),
-	.A2(n_359),
-	.B1(n_5356),
-	.B2(n_1249),
-	.C1(n_5774),
-	.Y(n_5917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g473977 (
-	.A1(n_4726),
-	.A2(n_458),
-	.B1(n_5356),
-	.B2(n_1247),
-	.C1(n_5773),
-	.Y(n_5916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g473978 (
-	.A1_N(n_2331),
-	.A2_N(n_5706),
-	.B1(n_2341),
-	.B2(n_5791),
-	.Y(n_5915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g473979 (
-	.A(n_2535),
-	.B(n_2452),
-	.C(n_5858),
-	.Y(n_5952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g473980 (
-	.A(n_1223),
-	.B(n_655),
-	.CIN(n_4974),
-	.COUT(n_5909),
-	.SUM(n_5910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g473981 (
-	.A(n_1222),
-	.B(n_656),
-	.CIN(n_4976),
-	.COUT(n_5907),
-	.SUM(n_5908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g473982 (
-	.A(soc_top_u_pwm_pwm_core_counter_p2[6]),
-	.B(n_5373),
-	.COUT(n_5905),
-	.SUM(n_5906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473983 (
-	.A(n_3314),
-	.B(n_5787),
-	.Y(n_5904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g473984 (
-	.A1(n_5552),
-	.A2(n_5490),
-	.B1(n_4265),
-	.Y(n_5903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g473985 (
-	.A1(n_4265),
-	.A2(n_5257),
-	.B1(n_4490),
-	.C1(n_5566),
-	.Y(n_5902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473986 (
-	.A(n_5622),
-	.B(n_4007),
-	.Y(n_5901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g473987 (
-	.A1(n_272),
-	.A2(n_5274),
-	.B1(n_4267),
-	.B2(n_4747),
-	.C1(n_4271),
-	.Y(n_5900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g473988 (
-	.A1(n_5053),
-	.A2(n_4489),
-	.B1(n_5306),
-	.B2(n_3697),
-	.C1(n_5363),
-	.Y(n_5899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g473989 (
-	.A1(n_3700),
-	.A2(n_5361),
-	.B1(n_4271),
-	.B2(n_4016),
-	.C1(n_5319),
-	.Y(n_5898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g473990 (
-	.A1(n_2607),
-	.A2(n_3411),
-	.B1(n_3108),
-	.B2(FE_DBTN26_soc_top_lsu_to_xbar_a_address_31),
-	.C1(n_5623),
-	.Y(n_5897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473992 (
-	.A(n_5789),
-	.B(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [13]),
-	.Y(n_5896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g473993 (
-	.A(n_5785),
-	.B(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [1]),
-	.Y(n_5895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g473994 (
-	.A(n_5794),
-	.B(n_4007),
-	.Y(n_5914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g473995 (
-	.A1(n_2073),
-	.A2(n_5253),
-	.B1(n_5792),
-	.Y(n_5913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g474057 (
-	.A(n_1205),
-	.B(n_5368),
-	.Y(n_5912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g474059 (
-	.A1(n_5550),
-	.A2(n_4266),
-	.B1(n_4930),
-	.X(n_5911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474081 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [16]),
-	.B1(n_3783),
-	.B2(n_17345),
-	.C1(n_3888),
-	.Y(n_5891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g474082 (
-	.A1(n_4750),
-	.A2(n_4269),
-	.A3(n_3699),
-	.B1(n_5590),
-	.Y(n_5890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g474083 (
-	.A1(n_4927),
-	.A2(n_5566),
-	.B1(n_3697),
-	.Y(n_5889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g474084 (
-	.A(n_5395),
-	.B(n_5325),
-	.C(n_5326),
-	.Y(n_5888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g474085 (
-	.A(n_5394),
-	.B(n_5327),
-	.C(n_5328),
-	.Y(n_5887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474086 (
-	.A1(n_4912),
-	.A2(n_15535),
-	.B1(n_4482),
-	.B2(\soc_top_lsu_to_xbar[a_address] [26]),
-	.C1(n_5700),
-	.Y(n_5886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g474087 (
-	.A(n_4999),
-	.B(n_5391),
-	.C(n_5330),
-	.Y(n_5885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474088 (
-	.A1(n_4908),
-	.A2(n_15505),
-	.B1(n_253),
-	.B2(\soc_top_lsu_to_xbar[a_address] [27]),
-	.C1(n_5699),
-	.Y(n_5884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g474089 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_6 ),
-	.A2(n_5247),
-	.B1(n_4260),
-	.C1(n_5332),
-	.D1(n_5000),
-	.Y(n_5883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g474090 (
-	.A(n_5018),
-	.B(n_5390),
-	.C(n_5333),
-	.Y(n_5882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g474091 (
-	.A(n_5500),
-	.B(n_5341),
-	.C(n_4472),
-	.Y(n_5881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g474092 (
-	.A(n_5502),
-	.B(n_5342),
-	.C(n_5343),
-	.Y(n_5880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g474093 (
-	.A(n_5503),
-	.B(n_5344),
-	.C(n_5345),
-	.Y(n_5879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g474094 (
-	.A(n_5049),
-	.B(n_5504),
-	.C(n_5346),
-	.Y(n_5878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474095 (
-	.A1(n_4912),
-	.A2(n_15522),
-	.B1(n_4482),
-	.B2(\soc_top_lsu_to_xbar[a_address] [13]),
-	.C1(n_5695),
-	.Y(n_5877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g474096 (
-	.A(n_5506),
-	.B(n_5347),
-	.C(n_5348),
-	.Y(n_5876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474097 (
-	.A1(n_4908),
-	.A2(n_15492),
-	.B1(n_253),
-	.B2(soc_top_u_top_u_core_alu_adder_result_ex[14]),
-	.C1(n_5694),
-	.Y(n_5875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474098 (
-	.A1(n_4908),
-	.A2(n_15493),
-	.B1(n_253),
-	.B2(soc_top_u_top_u_core_alu_adder_result_ex[15]),
-	.C1(n_5693),
-	.Y(n_5874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474099 (
-	.A1(n_4912),
-	.A2(n_15526),
-	.B1(n_4482),
-	.B2(\soc_top_lsu_to_xbar[a_address] [17]),
-	.C1(n_5692),
-	.Y(n_5873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474100 (
-	.A1(n_4912),
-	.A2(n_15527),
-	.B1(n_4482),
-	.B2(\soc_top_lsu_to_xbar[a_address] [18]),
-	.C1(n_5691),
-	.Y(n_5872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g474101 (
-	.A(n_5094),
-	.B(n_5511),
-	.C(n_5349),
-	.Y(n_5871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g474102 (
-	.A(n_5512),
-	.B(n_5350),
-	.C(n_5351),
-	.Y(n_5870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g474103 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
-	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
-	.D(n_5270),
-	.X(n_5869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g474104 (
-	.A(n_17279),
-	.B(n_17280),
-	.C(n_17281),
-	.D(n_5269),
-	.X(n_5868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g474105 (
-	.A(n_16262),
-	.B(n_16259),
-	.C(n_16261),
-	.D(n_17447),
-	.Y(n_5867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474106 (
-	.A1(n_4756),
-	.A2(n_4285),
-	.B1(n_3698),
-	.B2(n_5357),
-	.C1(n_5416),
-	.Y(n_5866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474107 (
-	.A1(n_5258),
-	.A2(n_3696),
-	.B1(n_3687),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[12]),
-	.C1(n_5704),
-	.X(n_5865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474108 (
-	.A1(n_5362),
-	.A2(n_3706),
-	.B1(n_3687),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[14]),
-	.C1(n_5513),
-	.Y(n_5864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474109 (
-	.A1(n_5492),
-	.A2(n_3226),
-	.B1(soc_top_u_pwm_pwm_core_DC_2[12]),
-	.B2(n_391),
-	.Y(n_5863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474110 (
-	.A1(n_5491),
-	.A2(n_3224),
-	.B1(soc_top_u_pwm_pwm_core_DC_1[12]),
-	.B2(n_393),
-	.Y(n_5862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g474111 (
-	.A1(n_3687),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
-	.B1(n_5781),
-	.Y(n_5861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g474112 (
-	.A1_N(soc_top_u_top_u_core_instr_rdata_alu_id[16]),
-	.A2_N(n_3687),
-	.B1(n_1968),
-	.B2(n_5514),
-	.Y(n_5860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474113 (
-	.A1(\soc_top_u_top_u_core_imd_val_q_ex[0] [31]),
-	.A2(n_5355),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
-	.C1(n_3701),
-	.C2(n_1381),
-	.Y(n_5859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g474114 (
-	.A(n_5493),
-	.B(n_3577),
-	.C(n_2549),
-	.Y(n_5858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474115 (
-	.A1(n_628),
-	.A2(n_15434),
-	.B1(n_5123),
-	.B2(soc_top_main_swith_host_lsu_num_req_outstanding[9]),
-	.C1(n_5547),
-	.X(n_5857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474116 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [2]),
-	.B1(n_3783),
-	.B2(n_17331),
-	.C1(n_4050),
-	.Y(n_5856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474117 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [3]),
-	.B1(n_3783),
-	.B2(n_17332),
-	.C1(n_4049),
-	.Y(n_5855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474118 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [4]),
-	.B1(n_3783),
-	.B2(n_17333),
-	.C1(n_4048),
-	.Y(n_5854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474119 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [5]),
-	.B1(n_3783),
-	.B2(n_17334),
-	.C1(n_4047),
-	.Y(n_5853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474120 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [6]),
-	.B1(n_3783),
-	.B2(n_17335),
-	.C1(n_4046),
-	.Y(n_5852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474121 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [7]),
-	.B1(n_3783),
-	.B2(n_17336),
-	.C1(n_4045),
-	.Y(n_5851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474122 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [8]),
-	.B1(n_3783),
-	.B2(n_17337),
-	.C1(n_4044),
-	.Y(n_5850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474123 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [9]),
-	.B1(n_3783),
-	.B2(n_17338),
-	.C1(n_4043),
-	.Y(n_5849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474124 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [10]),
-	.B1(n_3783),
-	.B2(n_17339),
-	.C1(n_4042),
-	.Y(n_5848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474125 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [11]),
-	.B1(n_3783),
-	.B2(n_17340),
-	.C1(n_4041),
-	.Y(n_5847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474126 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [12]),
-	.B1(n_3783),
-	.B2(n_17341),
-	.C1(n_4040),
-	.Y(n_5846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474127 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [13]),
-	.B1(n_3783),
-	.B2(n_17342),
-	.C1(n_4039),
-	.Y(n_5845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474128 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [14]),
-	.B1(n_3783),
-	.B2(n_17343),
-	.C1(n_4038),
-	.Y(n_5844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474129 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [15]),
-	.B1(n_3783),
-	.B2(n_17344),
-	.C1(n_4058),
-	.Y(n_5843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474130 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [1]),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
-	.C1(n_5352),
-	.Y(n_5842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474131 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [17]),
-	.B1(n_3783),
-	.B2(n_17346),
-	.C1(n_4001),
-	.Y(n_5841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474132 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [18]),
-	.B1(n_3783),
-	.B2(n_17347),
-	.C1(n_4000),
-	.Y(n_5840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474133 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [19]),
-	.B1(n_3783),
-	.B2(n_17348),
-	.C1(n_3999),
-	.Y(n_5839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474134 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [20]),
-	.B1(n_3783),
-	.B2(n_17349),
-	.C1(n_3998),
-	.Y(n_5838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474135 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [21]),
-	.B1(n_3783),
-	.B2(n_17350),
-	.C1(n_3997),
-	.Y(n_5837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474136 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [22]),
-	.B1(n_3783),
-	.B2(n_17351),
-	.C1(n_3996),
-	.Y(n_5836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474137 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [23]),
-	.B1(n_3783),
-	.B2(n_17352),
-	.C1(n_3995),
-	.Y(n_5835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474138 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [24]),
-	.B1(n_3783),
-	.B2(n_17353),
-	.C1(n_3994),
-	.Y(n_5834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474139 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [25]),
-	.B1(n_3783),
-	.B2(n_17354),
-	.C1(n_3993),
-	.Y(n_5833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474140 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [26]),
-	.B1(n_3783),
-	.B2(n_17355),
-	.C1(n_3992),
-	.Y(n_5832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474141 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [27]),
-	.B1(n_3783),
-	.B2(n_17356),
-	.C1(n_3991),
-	.Y(n_5831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g474142 (
-	.A1(n_5367),
-	.A2(n_4926),
-	.A3(n_4761),
-	.B1(n_5565),
-	.Y(n_5830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g474143 (
-	.A1(\soc_top_GPIO_reg2hw[intr_state][q] [0]),
-	.A2(n_5524),
-	.B1(n_4982),
-	.B2(n_458),
-	.X(n_5829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g474144 (
-	.A1(\soc_top_GPIO_reg2hw[intr_state][q] [1]),
-	.A2(n_5525),
-	.B1(n_4982),
-	.B2(n_359),
-	.X(n_5828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g474145 (
-	.A1(\soc_top_GPIO_reg2hw[intr_state][q] [2]),
-	.A2(n_5526),
-	.B1(n_4982),
-	.B2(n_462),
-	.X(n_5827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g474146 (
-	.A1(\soc_top_GPIO_reg2hw[intr_state][q] [3]),
-	.A2(n_5527),
-	.B1(n_4982),
-	.B2(n_463),
-	.X(n_5826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474147 (
-	.A1_N(\soc_top_GPIO_reg2hw[intr_state][q] [4]),
-	.A2_N(n_5575),
-	.B1(n_4983),
-	.B2(\soc_top_xbar_to_timer[a_data] [4]),
-	.Y(n_5825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g474148 (
-	.A1(\soc_top_GPIO_reg2hw[intr_state][q] [5]),
-	.A2(n_5576),
-	.B1(n_4982),
-	.B2(n_465),
-	.X(n_5824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474149 (
-	.A1_N(\soc_top_GPIO_reg2hw[intr_state][q] [6]),
-	.A2_N(n_5578),
-	.B1(n_4983),
-	.B2(\soc_top_xbar_to_timer[a_data] [6]),
-	.Y(n_5823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474150 (
-	.A1_N(\soc_top_GPIO_reg2hw[intr_state][q] [7]),
-	.A2_N(n_5573),
-	.B1(n_4983),
-	.B2(\soc_top_xbar_to_timer[a_data] [7]),
-	.Y(n_5822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474151 (
-	.A1_N(\soc_top_GPIO_reg2hw[intr_state][q] [8]),
-	.A2_N(n_5574),
-	.B1(n_4983),
-	.B2(\soc_top_xbar_to_timer[a_data] [8]),
-	.Y(n_5821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474152 (
-	.A1_N(\soc_top_GPIO_reg2hw[intr_state][q] [9]),
-	.A2_N(n_5577),
-	.B1(n_4983),
-	.B2(\soc_top_xbar_to_timer[a_data] [9]),
-	.Y(n_5820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474153 (
-	.A1_N(\soc_top_GPIO_reg2hw[intr_state][q] [10]),
-	.A2_N(n_5583),
-	.B1(n_4983),
-	.B2(\soc_top_xbar_to_timer[a_data] [10]),
-	.Y(n_5819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474154 (
-	.A1_N(\soc_top_GPIO_reg2hw[intr_state][q] [11]),
-	.A2_N(n_5581),
-	.B1(n_4983),
-	.B2(\soc_top_xbar_to_timer[a_data] [11]),
-	.Y(n_5818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474155 (
-	.A1_N(\soc_top_GPIO_reg2hw[intr_state][q] [12]),
-	.A2_N(n_5519),
-	.B1(n_4983),
-	.B2(\soc_top_xbar_to_timer[a_data] [12]),
-	.Y(n_5817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474156 (
-	.A1_N(\soc_top_GPIO_reg2hw[intr_state][q] [13]),
-	.A2_N(n_5535),
-	.B1(n_4983),
-	.B2(\soc_top_xbar_to_timer[a_data] [13]),
-	.Y(n_5816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474157 (
-	.A1_N(\soc_top_GPIO_reg2hw[intr_state][q] [14]),
-	.A2_N(n_5531),
-	.B1(n_4983),
-	.B2(\soc_top_xbar_to_timer[a_data] [14]),
-	.Y(n_5815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474158 (
-	.A1_N(\soc_top_GPIO_reg2hw[intr_state][q] [15]),
-	.A2_N(n_5528),
-	.B1(n_4983),
-	.B2(\soc_top_xbar_to_timer[a_data] [15]),
-	.Y(n_5814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474159 (
-	.A1_N(\soc_top_GPIO_reg2hw[intr_state][q] [16]),
-	.A2_N(n_5521),
-	.B1(n_4983),
-	.B2(\soc_top_xbar_to_timer[a_data] [16]),
-	.Y(n_5813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474160 (
-	.A1_N(\soc_top_GPIO_reg2hw[intr_state][q] [17]),
-	.A2_N(n_5522),
-	.B1(n_4983),
-	.B2(\soc_top_xbar_to_timer[a_data] [17]),
-	.Y(n_5812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474161 (
-	.A1_N(\soc_top_GPIO_reg2hw[intr_state][q] [20]),
-	.A2_N(n_5582),
-	.B1(n_4983),
-	.B2(\soc_top_xbar_to_timer[a_data] [20]),
-	.Y(n_5811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474162 (
-	.A1_N(\soc_top_GPIO_reg2hw[intr_state][q] [19]),
-	.A2_N(n_5523),
-	.B1(n_4983),
-	.B2(\soc_top_xbar_to_timer[a_data] [19]),
-	.Y(n_5810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474163 (
-	.A1_N(\soc_top_GPIO_reg2hw[intr_state][q] [21]),
-	.A2_N(n_5580),
-	.B1(n_4983),
-	.B2(\soc_top_xbar_to_timer[a_data] [21]),
-	.Y(n_5809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474164 (
-	.A1_N(\soc_top_GPIO_reg2hw[intr_state][q] [22]),
-	.A2_N(n_5584),
-	.B1(n_4983),
-	.B2(\soc_top_xbar_to_timer[a_data] [22]),
-	.Y(n_5808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474165 (
-	.A1_N(\soc_top_GPIO_reg2hw[intr_state][q] [23]),
-	.A2_N(n_5579),
-	.B1(n_4983),
-	.B2(\soc_top_xbar_to_timer[a_data] [23]),
-	.Y(n_5807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474166 (
-	.A1_N(\soc_top_GPIO_reg2hw[intr_state][q] [24]),
-	.A2_N(n_5520),
-	.B1(n_4983),
-	.B2(\soc_top_xbar_to_timer[a_data] [24]),
-	.Y(n_5806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474167 (
-	.A1_N(\soc_top_GPIO_reg2hw[intr_state][q] [25]),
-	.A2_N(n_5529),
-	.B1(n_4983),
-	.B2(\soc_top_xbar_to_timer[a_data] [25]),
-	.Y(n_5805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474168 (
-	.A1_N(\soc_top_GPIO_reg2hw[intr_state][q] [26]),
-	.A2_N(n_5585),
-	.B1(n_4983),
-	.B2(\soc_top_xbar_to_timer[a_data] [26]),
-	.Y(n_5804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474169 (
-	.A1_N(\soc_top_GPIO_reg2hw[intr_state][q] [27]),
-	.A2_N(n_5570),
-	.B1(n_4983),
-	.B2(\soc_top_xbar_to_timer[a_data] [27]),
-	.Y(n_5803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474170 (
-	.A1_N(\soc_top_GPIO_reg2hw[intr_state][q] [28]),
-	.A2_N(n_5588),
-	.B1(n_4983),
-	.B2(\soc_top_xbar_to_timer[a_data] [28]),
-	.Y(n_5802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474171 (
-	.A1_N(\soc_top_GPIO_reg2hw[intr_state][q] [29]),
-	.A2_N(n_5586),
-	.B1(n_4983),
-	.B2(\soc_top_xbar_to_timer[a_data] [29]),
-	.Y(n_5801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474172 (
-	.A1_N(\soc_top_GPIO_reg2hw[intr_state][q] [30]),
-	.A2_N(n_5569),
-	.B1(n_4983),
-	.B2(\soc_top_xbar_to_timer[a_data] [30]),
-	.Y(n_5800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474173 (
-	.A1_N(\soc_top_GPIO_reg2hw[intr_state][q] [31]),
-	.A2_N(n_5587),
-	.B1(n_4983),
-	.B2(\soc_top_xbar_to_timer[a_data] [31]),
-	.Y(n_5799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474174 (
-	.A1(n_5532),
-	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[10]),
-	.B1(n_628),
-	.B2(n_15435),
-	.C1(n_5485),
-	.X(n_5798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g474175 (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [1]),
-	.A2_N(n_1615),
-	.B1(n_5372),
-	.B2(n_1615),
-	.Y(n_5797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g474176 (
-	.A1_N(soc_top_u_top_u_core_instr_rdata_alu_id[4]),
-	.A2_N(n_3687),
-	.B1(n_3707),
-	.B2(n_5530),
-	.Y(n_5796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g474177 (
-	.A(n_5533),
-	.B(n_4743),
-	.C(n_271),
-	.X(n_5894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g474178 (
-	.A1(soc_top_u_pwm_pwm_core_counter_p2[0]),
-	.A2(soc_top_u_pwm_pwm_core_divisor_2[0]),
-	.B1(n_2212),
-	.C1(n_2222),
-	.D1(n_5271),
-	.Y(n_5893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g474179 (
-	.A1(soc_top_u_pwm_pwm_core_counter_p1[0]),
-	.A2(soc_top_u_pwm_pwm_core_divisor[0]),
-	.B1(n_2209),
-	.C1(n_2225),
-	.D1(n_5268),
-	.Y(n_5892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g474181 (
-	.A(soc_top_u_pwm_pwm_core_period_counter2[7]),
-	.B(n_5243),
-	.COUT(n_5783),
-	.SUM(n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g474182 (
-	.A(n_258),
-	.B(n_1828),
-	.Y(n_5782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g474183 (
-	.A1(n_3958),
-	.A2(n_3957),
-	.B1(n_5397),
-	.Y(n_5781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g474184 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_5484),
-	.Y(n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g474185 (
-	.A(n_5515),
-	.B(n_15475),
-	.Y(n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g474186 (
-	.A(n_5496),
-	.B(n_626),
-	.Y(n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g474187 (
-	.A(n_275),
-	.B(soc_top_GPIO_cio_gpio_en_q[5]),
-	.Y(n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g474188 (
-	.A(n_275),
-	.B(soc_top_GPIO_cio_gpio_en_q[3]),
-	.Y(n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g474189 (
-	.A(n_275),
-	.B(soc_top_GPIO_cio_gpio_en_q[2]),
-	.Y(n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g474190 (
-	.A(n_275),
-	.B(soc_top_GPIO_cio_gpio_en_q[1]),
-	.Y(n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g474191 (
-	.A(n_275),
-	.B(soc_top_GPIO_cio_gpio_en_q[0]),
-	.Y(n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g474192 (
-	.A(n_5533),
-	.B(n_4917),
-	.X(n_5795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g474193 (
-	.A(n_5260),
-	.B(n_5534),
-	.Y(n_5794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g474194 (
-	.A(n_5572),
-	.B(n_4925),
-	.Y(n_5793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g474250 (
-	.A(n_5253),
-	.B(n_2073),
-	.Y(n_5792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g474251 (
-	.A(n_5515),
-	.B(n_622),
-	.Y(n_5791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g474252 (
-	.A(n_623),
-	.B(n_5516),
-	.Y(n_5790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g474253 (
-	.A(n_635),
-	.B(n_5516),
-	.Y(n_5789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g474256 (
-	.A(n_623),
-	.B(n_5514),
-	.Y(n_5788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g474257 (
-	.A(n_5517),
-	.B(n_622),
-	.Y(n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g474282 (
-	.A(n_4921),
-	.B(n_5567),
-	.X(n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g474283 (
-	.A(n_635),
-	.B(n_5514),
-	.Y(n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474301 (
-	.A(n_5705),
-	.Y(n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474302 (
-	.A(n_5687),
-	.Y(n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474303 (
-	.A(n_5686),
-	.Y(n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474304 (
-	.A(n_5685),
-	.Y(n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474305 (
-	.A(n_5684),
-	.Y(n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474306 (
-	.A(n_5683),
-	.Y(n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474307 (
-	.A(n_5682),
-	.Y(n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474308 (
-	.A(n_5681),
-	.Y(n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474309 (
-	.A(n_5680),
-	.Y(n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474310 (
-	.A(n_5679),
-	.Y(n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474311 (
-	.A(n_5678),
-	.Y(n_5762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474312 (
-	.A(n_5677),
-	.Y(n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474313 (
-	.A(n_5676),
-	.Y(n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474314 (
-	.A(n_5675),
-	.Y(n_5759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474315 (
-	.A(n_5674),
-	.Y(n_5758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474316 (
-	.A(n_5673),
-	.Y(n_5757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474317 (
-	.A(n_5672),
-	.Y(n_5756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474318 (
-	.A(n_5671),
-	.Y(n_5755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474319 (
-	.A(n_5670),
-	.Y(n_5754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474320 (
-	.A(n_5669),
-	.Y(n_5753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474321 (
-	.A(n_5668),
-	.Y(n_5752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474322 (
-	.A(n_5667),
-	.Y(n_5751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474323 (
-	.A(n_5666),
-	.Y(n_5750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474324 (
-	.A(n_5665),
-	.Y(n_5749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474325 (
-	.A(n_5664),
-	.Y(n_5748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474326 (
-	.A(n_5663),
-	.Y(n_5747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474327 (
-	.A(n_5662),
-	.Y(n_5746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474328 (
-	.A(n_5661),
-	.Y(n_5745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474329 (
-	.A(n_5660),
-	.Y(n_5744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474330 (
-	.A(n_5659),
-	.Y(n_5743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474331 (
-	.A(n_5658),
-	.Y(n_5742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474332 (
-	.A(n_5657),
-	.Y(n_5741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474333 (
-	.A(n_5656),
-	.Y(n_5740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474334 (
-	.A(n_5655),
-	.Y(n_5739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474335 (
-	.A(n_5654),
-	.Y(n_5738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474336 (
-	.A(n_5653),
-	.Y(n_5737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474337 (
-	.A(n_5652),
-	.Y(n_5736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474338 (
-	.A(n_5651),
-	.Y(n_5735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474339 (
-	.A(n_5650),
-	.Y(n_5734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474340 (
-	.A(n_5649),
-	.Y(n_5733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474341 (
-	.A(n_5648),
-	.Y(n_5732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474342 (
-	.A(n_5647),
-	.Y(n_5731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474343 (
-	.A(n_5646),
-	.Y(n_5730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474344 (
-	.A(n_5644),
-	.Y(n_5729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474345 (
-	.A(n_5643),
-	.Y(n_5728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474346 (
-	.A(n_5642),
-	.Y(n_5727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474347 (
-	.A(n_5641),
-	.Y(n_5726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474348 (
-	.A(n_5640),
-	.Y(n_5725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474349 (
-	.A(n_5639),
-	.Y(n_5724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474350 (
-	.A(n_5638),
-	.Y(n_5723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474351 (
-	.A(n_5637),
-	.Y(n_5722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474352 (
-	.A(n_5636),
-	.Y(n_5721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474353 (
-	.A(n_5635),
-	.Y(n_5720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474354 (
-	.A(n_5634),
-	.Y(n_5719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474355 (
-	.A(n_5633),
-	.Y(n_5718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474356 (
-	.A(n_5632),
-	.Y(n_5717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474357 (
-	.A(n_5631),
-	.Y(n_5716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474358 (
-	.A(n_5630),
-	.Y(n_5715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474359 (
-	.A(n_5629),
-	.Y(n_5714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474360 (
-	.A(n_5628),
-	.Y(n_5713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474361 (
-	.A(n_5627),
-	.Y(n_5712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474362 (
-	.A(n_5626),
-	.Y(n_5711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474363 (
-	.A(n_5625),
-	.Y(n_5710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474364 (
-	.A(n_5624),
-	.Y(n_5709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474365 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [10]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17144),
-	.C1(\soc_top_xbar_to_timer[a_data] [10]),
-	.C2(n_872),
-	.Y(n_5705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g474366 (
-	.A1(n_4267),
-	.A2(n_5357),
-	.B1(n_4271),
-	.B2(n_4743),
-	.Y(n_5704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g474367 (
-	.A1(n_4983),
-	.A2(n_5315),
-	.B1(n_940),
-	.B2(\soc_top_xbar_to_timer[a_data] [18]),
-	.Y(n_5703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474368 (
-	.A1(\soc_top_xbar_to_timer[a_data] [16]),
-	.A2(n_4727),
-	.B1(n_274),
-	.B2(soc_top_GPIO_cio_gpio_en_q[16]),
-	.C1(n_5154),
-	.X(n_5702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474369 (
-	.A1(n_4908),
-	.A2(n_15502),
-	.B1(n_253),
-	.B2(\soc_top_lsu_to_xbar[a_address] [24]),
-	.C1(n_5393),
-	.Y(n_5701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474370 (
-	.A1(n_4908),
-	.A2(n_15503),
-	.B1(n_253),
-	.B2(\soc_top_lsu_to_xbar[a_address] [25]),
-	.C1(n_5392),
-	.X(n_5700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g474371 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_11 ),
-	.A2(n_5247),
-	.B1(n_4170),
-	.C1(n_5331),
-	.Y(n_5699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g474372 (
-	.A_N(n_1196),
-	.B(n_5284),
-	.C(n_1698),
-	.Y(n_5698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474373 (
-	.A1(n_4912),
-	.A2(n_15510),
-	.B1(n_4908),
-	.B2(n_15478),
-	.C1(n_5498),
-	.Y(n_5697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474374 (
-	.A1(n_4912),
-	.A2(n_15511),
-	.B1(n_4908),
-	.B2(n_15479),
-	.C1(n_5499),
-	.Y(n_5696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474375 (
-	.A1(n_4908),
-	.A2(n_15490),
-	.B1(n_253),
-	.B2(\soc_top_lsu_to_xbar[a_address] [12]),
-	.C1(n_5505),
-	.X(n_5695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474376 (
-	.A1(n_4912),
-	.A2(n_15524),
-	.B1(n_4482),
-	.B2(soc_top_u_top_u_core_alu_adder_result_ex[15]),
-	.C1(n_5507),
-	.X(n_5694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474377 (
-	.A1(n_4912),
-	.A2(n_15525),
-	.B1(n_4482),
-	.B2(\soc_top_lsu_to_xbar[a_address] [16]),
-	.C1(n_5508),
-	.X(n_5693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474378 (
-	.A1(n_4908),
-	.A2(n_15494),
-	.B1(n_253),
-	.B2(\soc_top_lsu_to_xbar[a_address] [16]),
-	.C1(n_5509),
-	.X(n_5692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474379 (
-	.A1(n_4908),
-	.A2(n_15495),
-	.B1(n_253),
-	.B2(\soc_top_lsu_to_xbar[a_address] [17]),
-	.C1(n_5510),
-	.X(n_5691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g474380 (
-	.A1(n_3687),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
-	.B1(n_5489),
-	.Y(n_5690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31a_1 g474381 (
-	.A1(n_4747),
-	.A2(n_4508),
-	.A3(n_272),
-	.B1(n_4752),
-	.X(n_5689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474382 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [21]),
-	.A2(n_253),
-	.B1(n_5248),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [22]),
-	.C1(n_4733),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [22]),
-	.Y(n_5688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474383 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [0]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17166),
-	.C1(\soc_top_xbar_to_timer[a_data] [0]),
-	.C2(n_618),
-	.Y(n_5687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474384 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [1]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17167),
-	.C1(\soc_top_xbar_to_timer[a_data] [1]),
-	.C2(n_618),
-	.Y(n_5686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474385 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [3]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17169),
-	.C1(\soc_top_xbar_to_timer[a_data] [3]),
-	.C2(n_618),
-	.Y(n_5685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474386 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [4]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17170),
-	.C1(\soc_top_xbar_to_timer[a_data] [4]),
-	.C2(n_618),
-	.Y(n_5684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474387 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [2]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17168),
-	.C1(\soc_top_xbar_to_timer[a_data] [2]),
-	.C2(n_618),
-	.Y(n_5683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474388 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [5]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17171),
-	.C1(\soc_top_xbar_to_timer[a_data] [5]),
-	.C2(n_618),
-	.Y(n_5682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474389 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [7]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17173),
-	.C1(\soc_top_xbar_to_timer[a_data] [7]),
-	.C2(n_618),
-	.Y(n_5681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474390 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [8]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17174),
-	.C1(\soc_top_xbar_to_timer[a_data] [8]),
-	.C2(n_618),
-	.Y(n_5680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474391 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [9]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17175),
-	.C1(\soc_top_xbar_to_timer[a_data] [9]),
-	.C2(n_618),
-	.Y(n_5679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474392 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [10]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17176),
-	.C1(\soc_top_xbar_to_timer[a_data] [10]),
-	.C2(n_618),
-	.Y(n_5678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474393 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [6]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17172),
-	.C1(\soc_top_xbar_to_timer[a_data] [6]),
-	.C2(n_618),
-	.Y(n_5677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474394 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [12]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17178),
-	.C1(\soc_top_xbar_to_timer[a_data] [12]),
-	.C2(n_618),
-	.Y(n_5676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474395 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [11]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17177),
-	.C1(\soc_top_xbar_to_timer[a_data] [11]),
-	.C2(n_618),
-	.Y(n_5675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474396 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [13]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17179),
-	.C1(\soc_top_xbar_to_timer[a_data] [13]),
-	.C2(n_618),
-	.Y(n_5674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474397 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [14]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17180),
-	.C1(\soc_top_xbar_to_timer[a_data] [14]),
-	.C2(n_618),
-	.Y(n_5673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474398 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [15]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17181),
-	.C1(\soc_top_xbar_to_timer[a_data] [15]),
-	.C2(n_618),
-	.Y(n_5672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474399 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [16]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17182),
-	.C1(\soc_top_xbar_to_timer[a_data] [16]),
-	.C2(n_618),
-	.Y(n_5671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474400 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [17]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17183),
-	.C1(\soc_top_xbar_to_timer[a_data] [17]),
-	.C2(n_618),
-	.Y(n_5670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474401 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [18]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17184),
-	.C1(\soc_top_xbar_to_timer[a_data] [18]),
-	.C2(n_618),
-	.Y(n_5669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474402 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [19]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17185),
-	.C1(\soc_top_xbar_to_timer[a_data] [19]),
-	.C2(n_618),
-	.Y(n_5668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474403 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [20]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17186),
-	.C1(\soc_top_xbar_to_timer[a_data] [20]),
-	.C2(n_618),
-	.Y(n_5667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474404 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [21]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17187),
-	.C1(\soc_top_xbar_to_timer[a_data] [21]),
-	.C2(n_618),
-	.Y(n_5666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474405 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [22]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17188),
-	.C1(\soc_top_xbar_to_timer[a_data] [22]),
-	.C2(n_618),
-	.Y(n_5665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474406 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [23]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17189),
-	.C1(\soc_top_xbar_to_timer[a_data] [23]),
-	.C2(n_618),
-	.Y(n_5664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474407 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [24]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17190),
-	.C1(\soc_top_xbar_to_timer[a_data] [24]),
-	.C2(n_618),
-	.Y(n_5663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474408 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [25]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17191),
-	.C1(\soc_top_xbar_to_timer[a_data] [25]),
-	.C2(n_618),
-	.Y(n_5662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474409 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [26]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17192),
-	.C1(\soc_top_xbar_to_timer[a_data] [26]),
-	.C2(n_618),
-	.Y(n_5661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474410 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [28]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17194),
-	.C1(\soc_top_xbar_to_timer[a_data] [28]),
-	.C2(n_618),
-	.Y(n_5660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474411 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [29]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17195),
-	.C1(\soc_top_xbar_to_timer[a_data] [29]),
-	.C2(n_618),
-	.Y(n_5659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474412 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [27]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17193),
-	.C1(\soc_top_xbar_to_timer[a_data] [27]),
-	.C2(n_618),
-	.Y(n_5658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474413 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [30]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17196),
-	.C1(\soc_top_xbar_to_timer[a_data] [30]),
-	.C2(n_618),
-	.Y(n_5657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474414 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [31]),
-	.A2(n_4980),
-	.B1(n_5245),
-	.B2(n_17197),
-	.C1(\soc_top_xbar_to_timer[a_data] [31]),
-	.C2(n_618),
-	.Y(n_5656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474415 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [0]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17134),
-	.C1(\soc_top_xbar_to_timer[a_data] [0]),
-	.C2(n_872),
-	.Y(n_5655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474416 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [1]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17135),
-	.C1(\soc_top_xbar_to_timer[a_data] [1]),
-	.C2(n_872),
-	.Y(n_5654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474417 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [2]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17136),
-	.C1(\soc_top_xbar_to_timer[a_data] [2]),
-	.C2(n_872),
-	.Y(n_5653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474418 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [3]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17137),
-	.C1(\soc_top_xbar_to_timer[a_data] [3]),
-	.C2(n_872),
-	.Y(n_5652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474419 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [4]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17138),
-	.C1(\soc_top_xbar_to_timer[a_data] [4]),
-	.C2(n_872),
-	.Y(n_5651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474420 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [5]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17139),
-	.C1(\soc_top_xbar_to_timer[a_data] [5]),
-	.C2(n_872),
-	.Y(n_5650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474421 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [6]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17140),
-	.C1(\soc_top_xbar_to_timer[a_data] [6]),
-	.C2(n_872),
-	.Y(n_5649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474422 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [7]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17141),
-	.C1(\soc_top_xbar_to_timer[a_data] [7]),
-	.C2(n_872),
-	.Y(n_5648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474423 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [8]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17142),
-	.C1(\soc_top_xbar_to_timer[a_data] [8]),
-	.C2(n_872),
-	.Y(n_5647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474424 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [9]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17143),
-	.C1(\soc_top_xbar_to_timer[a_data] [9]),
-	.C2(n_872),
-	.Y(n_5646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474425 (
-	.A1_N(\soc_top_timer0_gen_harts[0].u_core_tick_count [6]),
-	.A2_N(n_4931),
-	.B1(\soc_top_timer0_gen_harts[0].u_core_tick_count [6]),
-	.B2(n_4931),
-	.Y(n_5645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474426 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [12]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17146),
-	.C1(\soc_top_xbar_to_timer[a_data] [12]),
-	.C2(n_872),
-	.Y(n_5644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474427 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [11]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17145),
-	.C1(\soc_top_xbar_to_timer[a_data] [11]),
-	.C2(n_872),
-	.Y(n_5643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474428 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [13]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17147),
-	.C1(\soc_top_xbar_to_timer[a_data] [13]),
-	.C2(n_872),
-	.Y(n_5642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474429 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [14]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17148),
-	.C1(\soc_top_xbar_to_timer[a_data] [14]),
-	.C2(n_872),
-	.Y(n_5641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474430 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [15]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17149),
-	.C1(\soc_top_xbar_to_timer[a_data] [15]),
-	.C2(n_872),
-	.Y(n_5640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474431 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [18]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17152),
-	.C1(\soc_top_xbar_to_timer[a_data] [18]),
-	.C2(n_872),
-	.Y(n_5639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474432 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [19]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17153),
-	.C1(\soc_top_xbar_to_timer[a_data] [19]),
-	.C2(n_872),
-	.Y(n_5638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474433 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [16]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17150),
-	.C1(\soc_top_xbar_to_timer[a_data] [16]),
-	.C2(n_872),
-	.Y(n_5637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474434 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [20]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17154),
-	.C1(\soc_top_xbar_to_timer[a_data] [20]),
-	.C2(n_872),
-	.Y(n_5636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474435 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [17]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17151),
-	.C1(\soc_top_xbar_to_timer[a_data] [17]),
-	.C2(n_872),
-	.Y(n_5635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474436 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [21]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17155),
-	.C1(\soc_top_xbar_to_timer[a_data] [21]),
-	.C2(n_872),
-	.Y(n_5634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474437 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [22]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17156),
-	.C1(\soc_top_xbar_to_timer[a_data] [22]),
-	.C2(n_872),
-	.Y(n_5633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474438 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [23]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17157),
-	.C1(\soc_top_xbar_to_timer[a_data] [23]),
-	.C2(n_872),
-	.Y(n_5632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474439 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [24]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17158),
-	.C1(\soc_top_xbar_to_timer[a_data] [24]),
-	.C2(n_872),
-	.Y(n_5631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474440 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [25]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17159),
-	.C1(\soc_top_xbar_to_timer[a_data] [25]),
-	.C2(n_872),
-	.Y(n_5630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474441 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [26]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17160),
-	.C1(\soc_top_xbar_to_timer[a_data] [26]),
-	.C2(n_872),
-	.Y(n_5629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474442 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [27]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17161),
-	.C1(\soc_top_xbar_to_timer[a_data] [27]),
-	.C2(n_872),
-	.Y(n_5628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474443 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [28]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17162),
-	.C1(\soc_top_xbar_to_timer[a_data] [28]),
-	.C2(n_872),
-	.Y(n_5627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474444 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [29]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17163),
-	.C1(\soc_top_xbar_to_timer[a_data] [29]),
-	.C2(n_872),
-	.Y(n_5626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474445 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [30]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17164),
-	.C1(\soc_top_xbar_to_timer[a_data] [30]),
-	.C2(n_872),
-	.Y(n_5625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474446 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [31]),
-	.A2(n_4981),
-	.B1(n_5246),
-	.B2(n_17165),
-	.C1(\soc_top_xbar_to_timer[a_data] [31]),
-	.C2(n_872),
-	.Y(n_5624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474447 (
-	.A1(\soc_top_u_top_u_core_imd_val_q_ex[0] [32]),
-	.A2(n_5355),
-	.B1(n_3424),
-	.B2(n_3153),
-	.C1(n_15442),
-	.C2(n_3338),
-	.Y(n_5623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474448 (
-	.A1(n_4265),
-	.A2(n_5124),
-	.B1(n_3687),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[1]),
-	.C1(n_5125),
-	.Y(n_5622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474449 (
-	.A1(\soc_top_u_top_u_core_imd_val_q_ex[0] [3]),
-	.A2(n_4003),
-	.B1(n_5248),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [3]),
-	.C1(n_4733),
-	.C2(soc_top_u_top_u_core_lsu_wdata[3]),
-	.Y(n_5621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474450 (
-	.A1(\soc_top_xbar_to_timer[a_address] [3]),
-	.A2(n_253),
-	.B1(n_5248),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [4]),
-	.C1(n_4733),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [4]),
-	.Y(n_5620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474451 (
-	.A1(\soc_top_u_top_u_core_imd_val_q_ex[0] [5]),
-	.A2(n_4003),
-	.B1(n_5248),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [5]),
-	.C1(n_4733),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [5]),
-	.Y(n_5619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474452 (
-	.A1(\soc_top_u_top_u_core_imd_val_q_ex[0] [9]),
-	.A2(n_4003),
-	.B1(n_5248),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [9]),
-	.C1(n_4733),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [9]),
-	.Y(n_5618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474453 (
-	.A1(\soc_top_xbar_to_timer[a_address] [5]),
-	.A2(n_253),
-	.B1(n_5248),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [6]),
-	.C1(n_4733),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [6]),
-	.Y(n_5617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g474454 (
-	.A(n_5340),
-	.B(n_4995),
-	.C(n_3968),
-	.D(n_5227),
-	.Y(n_5616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474455 (
-	.A1(\soc_top_xbar_to_timer[a_address] [6]),
-	.A2(n_253),
-	.B1(n_5248),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [7]),
-	.C1(n_4733),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [7]),
-	.Y(n_5615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111o_1 g474456 (
-	.A1(n_3687),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[0]),
-	.B1(n_5125),
-	.C1(n_4490),
-	.D1(n_4265),
-	.X(n_5614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g474457 (
-	.A1(n_4988),
-	.A2(n_626),
-	.B1(n_5417),
-	.Y(n_5613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g474458 (
-	.A(n_4006),
-	.B(n_5398),
-	.Y(n_5612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474459 (
-	.A1(n_4688),
-	.A2(n_17133),
-	.B1(n_4652),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.C1(n_5275),
-	.Y(n_5611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474460 (
-	.A1(\soc_top_xbar_to_timer[a_data] [18]),
-	.A2(n_4727),
-	.B1(n_274),
-	.B2(soc_top_GPIO_cio_gpio_en_q[18]),
-	.C1(n_5223),
-	.X(n_5610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474461 (
-	.A1(\soc_top_xbar_to_timer[a_data] [17]),
-	.A2(n_4727),
-	.B1(n_274),
-	.B2(soc_top_GPIO_cio_gpio_en_q[17]),
-	.C1(n_5152),
-	.X(n_5609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474462 (
-	.A1(\soc_top_xbar_to_timer[a_data] [20]),
-	.A2(n_4727),
-	.B1(n_274),
-	.B2(soc_top_GPIO_cio_gpio_en_q[20]),
-	.C1(n_5143),
-	.X(n_5608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474463 (
-	.A1(\soc_top_xbar_to_timer[a_data] [19]),
-	.A2(n_4727),
-	.B1(n_274),
-	.B2(soc_top_GPIO_cio_gpio_en_q[19]),
-	.C1(n_5144),
-	.X(n_5607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474464 (
-	.A1(\soc_top_xbar_to_timer[a_data] [21]),
-	.A2(n_4727),
-	.B1(n_274),
-	.B2(soc_top_GPIO_cio_gpio_en_q[21]),
-	.C1(n_5142),
-	.X(n_5606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474465 (
-	.A1(\soc_top_xbar_to_timer[a_data] [22]),
-	.A2(n_4727),
-	.B1(n_274),
-	.B2(soc_top_GPIO_cio_gpio_en_q[22]),
-	.C1(n_5102),
-	.X(n_5605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474466 (
-	.A1(\soc_top_xbar_to_timer[a_data] [23]),
-	.A2(n_4727),
-	.B1(n_274),
-	.B2(soc_top_GPIO_cio_gpio_en_q[23]),
-	.C1(n_5101),
-	.X(n_5604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474467 (
-	.A1(\soc_top_xbar_to_timer[a_data] [24]),
-	.A2(n_4727),
-	.B1(n_274),
-	.B2(soc_top_GPIO_cio_gpio_en_q[24]),
-	.C1(n_5093),
-	.X(n_5603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474468 (
-	.A1(\soc_top_xbar_to_timer[a_data] [25]),
-	.A2(n_4727),
-	.B1(n_274),
-	.B2(soc_top_GPIO_cio_gpio_en_q[25]),
-	.C1(n_5092),
-	.X(n_5602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474469 (
-	.A1(\soc_top_xbar_to_timer[a_data] [26]),
-	.A2(n_4727),
-	.B1(n_274),
-	.B2(soc_top_GPIO_cio_gpio_en_q[26]),
-	.C1(n_5091),
-	.X(n_5601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474470 (
-	.A1(\soc_top_xbar_to_timer[a_data] [28]),
-	.A2(n_4727),
-	.B1(n_274),
-	.B2(soc_top_GPIO_cio_gpio_en_q[28]),
-	.C1(n_5089),
-	.X(n_5600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474471 (
-	.A1(\soc_top_xbar_to_timer[a_data] [27]),
-	.A2(n_4727),
-	.B1(n_274),
-	.B2(soc_top_GPIO_cio_gpio_en_q[27]),
-	.C1(n_5090),
-	.X(n_5599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474472 (
-	.A1(\soc_top_xbar_to_timer[a_data] [29]),
-	.A2(n_4727),
-	.B1(n_274),
-	.B2(soc_top_GPIO_cio_gpio_en_q[29]),
-	.C1(n_5088),
-	.X(n_5598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474473 (
-	.A1(\soc_top_xbar_to_timer[a_data] [30]),
-	.A2(n_4727),
-	.B1(n_274),
-	.B2(soc_top_GPIO_cio_gpio_en_q[30]),
-	.C1(n_5087),
-	.X(n_5597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474474 (
-	.A1(\soc_top_xbar_to_timer[a_data] [31]),
-	.A2(n_4727),
-	.B1(n_274),
-	.B2(soc_top_GPIO_cio_gpio_en_q[31]),
-	.C1(n_5086),
-	.X(n_5596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474475 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [0]),
-	.B1(n_3796),
-	.B2(n_17328),
-	.Y(n_5595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474476 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [28]),
-	.B1(n_3783),
-	.B2(n_17357),
-	.Y(n_5594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474477 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [29]),
-	.B1(n_3783),
-	.B2(n_17358),
-	.Y(n_5593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474478 (
-	.A1(n_5355),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [30]),
-	.B1(n_3783),
-	.B2(n_17359),
-	.Y(n_5592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g474479 (
-	.A1(soc_top_u_pwm_pwm_core_counter_p1[12]),
-	.A2(n_5371),
-	.B1(soc_top_u_pwm_pwm_core_counter_p1[11]),
-	.B2(n_291),
-	.Y(n_5591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g474480 (
-	.A1(n_4277),
-	.A2(n_5121),
-	.B1(n_4290),
-	.B2(n_5357),
-	.Y(n_5590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g474481 (
-	.A1(n_5103),
-	.A2(n_4491),
-	.A3(n_713),
-	.B1(n_4733),
-	.B2(soc_top_u_top_u_core_lsu_wdata[0]),
-	.Y(n_5589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g474482 (
-	.A1(n_5363),
-	.A2(n_5364),
-	.B1(n_4265),
-	.Y(n_5708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g474483 (
-	.A(n_5517),
-	.B(n_636),
-	.Y(n_5707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g474484 (
-	.A(n_637),
-	.B(n_5514),
-	.Y(n_5706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g474485 (
-	.A(n_3704),
-	.B(n_5363),
-	.Y(n_5565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g474486 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_5311),
-	.Y(n_5564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g474487 (
-	.A1(n_840),
-	.A2(n_661),
-	.B1(n_5356),
-	.Y(n_5563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g474488 (
-	.A1(n_837),
-	.A2(n_662),
-	.B1(n_5356),
-	.Y(n_5562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g474489 (
-	.A1(n_815),
-	.A2(n_660),
-	.B1(n_5356),
-	.Y(n_5561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g474490 (
-	.A1(n_823),
-	.A2(n_659),
-	.B1(n_5356),
-	.Y(n_5560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g474491 (
-	.A1(n_828),
-	.A2(n_663),
-	.B1(n_5356),
-	.Y(n_5559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g474492 (
-	.A1(n_676),
-	.A2(n_829),
-	.B1(n_5356),
-	.Y(n_5558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g474493 (
-	.A1(n_834),
-	.A2(n_665),
-	.B1(n_5356),
-	.Y(n_5557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g474494 (
-	.A1(n_839),
-	.A2(n_666),
-	.B1(n_5356),
-	.Y(n_5556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g474495 (
-	.A1(n_847),
-	.A2(n_667),
-	.B1(n_5356),
-	.Y(n_5555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g474496 (
-	.A1(n_849),
-	.A2(n_668),
-	.B1(n_5356),
-	.Y(n_5554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g474497 (
-	.A1(n_670),
-	.A2(n_597),
-	.B1(n_5356),
-	.Y(n_5553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g474498 (
-	.A(n_3700),
-	.B(n_5367),
-	.Y(n_5552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g474499 (
-	.A(n_5095),
-	.B(n_4689),
-	.Y(n_5551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g474500 (
-	.A(n_5364),
-	.B_N(n_4926),
-	.Y(n_5550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g474501 (
-	.A(soc_top_u_top_u_core_lsu_wdata[21]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [15]),
-	.C(soc_top_u_top_u_core_lsu_wdata[11]),
-	.D(n_4973),
-	.X(n_5549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g474502 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [21]),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [15]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [11]),
-	.D(n_4958),
-	.X(n_5548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g474504 (
-	.A(n_5285),
-	.B(n_626),
-	.Y(n_5547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474505 (
-	.A1(n_4984),
-	.A2(n_478),
-	.B1(n_1244),
-	.B2(soc_top_GPIO_data_in_d[28]),
-	.C1(n_1553),
-	.Y(n_5588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474506 (
-	.A1(n_4984),
-	.A2(n_480),
-	.B1(n_1272),
-	.B2(soc_top_GPIO_data_in_d[31]),
-	.C1(n_1607),
-	.Y(n_5587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474507 (
-	.A1(n_4984),
-	.A2(n_481),
-	.B1(n_1271),
-	.B2(soc_top_GPIO_data_in_d[29]),
-	.C1(n_1603),
-	.Y(n_5586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474508 (
-	.A1(n_4984),
-	.A2(n_479),
-	.B1(n_1229),
-	.B2(soc_top_GPIO_data_in_d[26]),
-	.C1(n_1577),
-	.Y(n_5585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474509 (
-	.A1(n_4984),
-	.A2(n_370),
-	.B1(n_1277),
-	.B2(soc_top_GPIO_data_in_d[22]),
-	.C1(n_1564),
-	.Y(n_5584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474510 (
-	.A1(n_4984),
-	.A2(n_470),
-	.B1(n_1237),
-	.B2(soc_top_GPIO_data_in_d[10]),
-	.C1(n_1495),
-	.Y(n_5583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474511 (
-	.A1(n_4984),
-	.A2(n_368),
-	.B1(n_1231),
-	.B2(soc_top_GPIO_data_in_d[20]),
-	.C1(n_1585),
-	.Y(n_5582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474512 (
-	.A1(n_4984),
-	.A2(n_360),
-	.B1(n_1238),
-	.B2(soc_top_GPIO_data_in_d[11]),
-	.C1(n_1598),
-	.Y(n_5581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474513 (
-	.A1(n_4984),
-	.A2(n_367),
-	.B1(n_1263),
-	.B2(soc_top_GPIO_data_in_d[21]),
-	.C1(n_1570),
-	.Y(n_5580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474514 (
-	.A1(n_4984),
-	.A2(n_476),
-	.B1(n_1232),
-	.B2(soc_top_GPIO_data_in_d[23]),
-	.C1(n_1559),
-	.Y(n_5579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474515 (
-	.A1(n_4984),
-	.A2(n_468),
-	.B1(n_1258),
-	.B2(soc_top_GPIO_data_in_d[6]),
-	.C1(n_1583),
-	.Y(n_5578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474516 (
-	.A1(n_4984),
-	.A2(n_361),
-	.B1(n_1242),
-	.B2(soc_top_GPIO_data_in_d[9]),
-	.C1(n_1589),
-	.Y(n_5577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474517 (
-	.A1(n_4984),
-	.A2(n_465),
-	.B1(n_1239),
-	.B2(soc_top_GPIO_data_in_d[5]),
-	.C1(n_1560),
-	.Y(n_5576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474518 (
-	.A1(n_4984),
-	.A2(n_464),
-	.B1(n_1245),
-	.B2(soc_top_GPIO_data_in_d[4]),
-	.C1(n_1563),
-	.Y(n_5575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474519 (
-	.A1(n_4984),
-	.A2(n_469),
-	.B1(n_1246),
-	.B2(soc_top_GPIO_data_in_d[8]),
-	.C1(n_1576),
-	.Y(n_5574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474521 (
-	.A1(n_4984),
-	.A2(n_467),
-	.B1(n_1235),
-	.B2(soc_top_GPIO_data_in_d[7]),
-	.C1(n_1554),
-	.Y(n_5573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g474535 (
-	.A(n_4762),
-	.B_N(n_5366),
-	.Y(n_5572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g474536 (
-	.A(n_291),
-	.B(n_5371),
-	.Y(n_5571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474540 (
-	.A1(n_4984),
-	.A2(n_477),
-	.B1(n_1241),
-	.B2(soc_top_GPIO_data_in_d[27]),
-	.C1(n_1552),
-	.Y(n_5570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474543 (
-	.A1(n_4984),
-	.A2(n_372),
-	.B1(n_1243),
-	.B2(soc_top_GPIO_data_in_d[30]),
-	.C1(n_1555),
-	.Y(n_5569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g474602 (
-	.A_N(soc_top_main_swith_host_lsu_num_req_outstanding[12]),
-	.B(n_5365),
-	.Y(n_5568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g474643 (
-	.A(n_4265),
-	.B(n_5363),
-	.Y(n_5567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g474644 (
-	.A(n_5367),
-	.B(n_4266),
-	.Y(n_5566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474645 (
-	.A(n_5483),
-	.Y(n_5546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474646 (
-	.A(n_5482),
-	.Y(n_5545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474647 (
-	.A(n_5481),
-	.Y(n_5544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474648 (
-	.A(n_5480),
-	.Y(n_5543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474649 (
-	.A(n_5479),
-	.Y(n_5542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474650 (
-	.A(n_5478),
-	.Y(n_5541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474651 (
-	.A(n_5477),
-	.Y(n_5540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474652 (
-	.A(n_5476),
-	.Y(n_5539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474653 (
-	.A(n_5472),
-	.Y(n_5538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474654 (
-	.A(n_5471),
-	.Y(n_5537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474655 (
-	.A(n_5470),
-	.Y(n_5536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g474656 (
-	.A(n_5517),
-	.Y(n_5516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g474657 (
-	.A(n_5515),
-	.Y(n_5514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g474658 (
-	.A1(n_5119),
-	.A2(n_4020),
-	.B1(n_4599),
-	.B2(n_4279),
-	.X(n_5513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474659 (
-	.A1(n_5248),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [20]),
-	.B1(n_4003),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [20]),
-	.Y(n_5512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474660 (
-	.A1(n_5248),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [19]),
-	.B1(n_4003),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [19]),
-	.Y(n_5511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g474661 (
-	.A1(n_5248),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [18]),
-	.B1(n_4003),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [18]),
-	.X(n_5510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g474662 (
-	.A1(n_5248),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [17]),
-	.B1(n_4003),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [17]),
-	.X(n_5509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g474663 (
-	.A1(n_5248),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [16]),
-	.B1(n_4003),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [16]),
-	.X(n_5508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g474664 (
-	.A1(n_5248),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [15]),
-	.B1(n_4003),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [15]),
-	.X(n_5507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474665 (
-	.A1(n_5248),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [14]),
-	.B1(n_4003),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [14]),
-	.Y(n_5506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g474666 (
-	.A1(n_5248),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [13]),
-	.B1(n_4003),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [13]),
-	.X(n_5505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474667 (
-	.A1(n_5248),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [12]),
-	.B1(n_4003),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [12]),
-	.Y(n_5504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474668 (
-	.A1(n_5248),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [11]),
-	.B1(n_4003),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [11]),
-	.Y(n_5503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474669 (
-	.A1(n_5248),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [10]),
-	.B1(n_4003),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [10]),
-	.Y(n_5502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g474670 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_nan] ),
-	.A2(n_5252),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_signalling] ),
-	.B2(n_4933),
-	.Y(n_5501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474671 (
-	.A1(n_5248),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [8]),
-	.B1(n_4733),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [8]),
-	.Y(n_5500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g474672 (
-	.A1(n_5248),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [2]),
-	.B1(n_4733),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [2]),
-	.X(n_5499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g474673 (
-	.A1(n_5248),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [1]),
-	.B1(n_4733),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [1]),
-	.X(n_5498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 g474674 (
-	.A1(n_4731),
-	.A2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.A3(n_15366),
-	.B1(n_5248),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [0]),
-	.X(n_5497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g474675 (
-	.A1(soc_top_main_swith_host_lsu_num_req_outstanding[10]),
-	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[11]),
-	.B1(n_5365),
-	.Y(n_5496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g474676 (
-	.A1(n_1200),
-	.A2(n_4751),
-	.B1(n_5368),
-	.Y(n_5495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474677 (
-	.A1(n_4934),
-	.A2(n_750),
-	.B1(n_617),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[0]),
-	.C1(n_4754),
-	.Y(n_5494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g474678 (
-	.A(n_4775),
-	.B(n_1714),
-	.C(n_697),
-	.D(n_1699),
-	.Y(n_5493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474679 (
-	.A1(n_4891),
-	.A2(n_2931),
-	.B1(soc_top_u_pwm_pwm_core_DC_2[8]),
-	.B2(n_399),
-	.C1(n_1712),
-	.X(n_5492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474680 (
-	.A1(n_4894),
-	.A2(n_2932),
-	.B1(soc_top_u_pwm_pwm_core_DC_1[8]),
-	.B2(n_394),
-	.C1(n_1659),
-	.X(n_5491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g474681 (
-	.A1(n_4290),
-	.A2(n_5254),
-	.B1(n_3695),
-	.B2(n_4761),
-	.Y(n_5490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g474682 (
-	.A1(n_5082),
-	.A2(n_4746),
-	.B1(n_4267),
-	.Y(n_5489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g474683 (
-	.A1(n_4731),
-	.A2(soc_top_u_top_u_core_alu_operand_b_ex[25]),
-	.A3(n_15391),
-	.B1(n_5312),
-	.Y(n_5488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474685 (
-	.A1(n_4912),
-	.A2(n_15532),
-	.B1(n_4908),
-	.B2(n_15500),
-	.C1(n_5287),
-	.Y(n_5487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g474687 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[10]),
-	.B(n_5249),
-	.C(n_626),
-	.Y(n_5485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g474688 (
-	.A1(n_273),
-	.A2(n_4751),
-	.A3(n_1355),
-	.B1(n_1356),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[5]),
-	.Y(n_5484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474689 (
-	.A1(\soc_top_iccm_to_xbar[d_data] [0]),
-	.A2(n_4906),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [0]),
-	.C1(n_4910),
-	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [0]),
-	.Y(n_5483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474690 (
-	.A1(\soc_top_iccm_to_xbar[d_data] [1]),
-	.A2(n_4906),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [1]),
-	.C1(n_4910),
-	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [1]),
-	.Y(n_5482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474691 (
-	.A1(\soc_top_iccm_to_xbar[d_data] [16]),
-	.A2(n_4906),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [16]),
-	.C1(n_4910),
-	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [16]),
-	.Y(n_5481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474692 (
-	.A1(\soc_top_iccm_to_xbar[d_data] [17]),
-	.A2(n_4906),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [17]),
-	.C1(n_4910),
-	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [17]),
-	.Y(n_5480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474693 (
-	.A1(\soc_top_iccm_to_xbar[d_data] [0]),
-	.A2(n_4904),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [0]),
-	.C1(n_4911),
-	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [0]),
-	.Y(n_5479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474694 (
-	.A1(\soc_top_iccm_to_xbar[d_data] [1]),
-	.A2(n_4904),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [1]),
-	.C1(n_4911),
-	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [1]),
-	.Y(n_5478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474695 (
-	.A1(\soc_top_iccm_to_xbar[d_data] [16]),
-	.A2(n_4904),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [16]),
-	.C1(n_4911),
-	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [16]),
-	.Y(n_5477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474696 (
-	.A1(\soc_top_iccm_to_xbar[d_data] [17]),
-	.A2(n_4904),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [17]),
-	.C1(n_4911),
-	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [17]),
-	.Y(n_5476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g474697 (
-	.A(n_5099),
-	.B(n_4491),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_n_12 ),
-	.Y(n_5475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g474698 (
-	.A(n_4996),
-	.B(n_4491),
-	.C(n_911),
-	.Y(n_5474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474699 (
-	.A1(n_4911),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [2]),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [2]),
-	.C1(n_5228),
-	.X(n_5473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474700 (
-	.A1(n_2345),
-	.A2(n_4904),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [3]),
-	.C1(n_4911),
-	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [3]),
-	.Y(n_5472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474701 (
-	.A1(n_2347),
-	.A2(n_4904),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [4]),
-	.C1(n_4911),
-	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [4]),
-	.Y(n_5471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474702 (
-	.A1(n_2331),
-	.A2(n_4904),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [5]),
-	.C1(n_4911),
-	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [5]),
-	.Y(n_5470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474703 (
-	.A1(n_4911),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [6]),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [6]),
-	.C1(n_5232),
-	.X(n_5469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474704 (
-	.A1(n_4911),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [7]),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [7]),
-	.C1(n_5233),
-	.X(n_5468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474705 (
-	.A1(n_4911),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [8]),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [8]),
-	.C1(n_5234),
-	.X(n_5467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474706 (
-	.A1(n_4911),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [9]),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [9]),
-	.C1(n_5235),
-	.X(n_5466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474707 (
-	.A1(n_4911),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [10]),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [10]),
-	.C1(n_5236),
-	.X(n_5465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474708 (
-	.A1(n_4911),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [11]),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [11]),
-	.C1(n_5237),
-	.X(n_5464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474709 (
-	.A1(n_4911),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [12]),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [12]),
-	.C1(n_5238),
-	.X(n_5463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474710 (
-	.A1(n_4911),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [13]),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [13]),
-	.C1(n_5239),
-	.X(n_5462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474711 (
-	.A1(n_4911),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [14]),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [14]),
-	.C1(n_5240),
-	.X(n_5461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474712 (
-	.A1(n_4911),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [15]),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [15]),
-	.C1(n_5241),
-	.X(n_5460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474713 (
-	.A1(n_4911),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [18]),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [18]),
-	.C1(n_5047),
-	.X(n_5459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474714 (
-	.A1(n_4911),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [20]),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [20]),
-	.C1(n_5115),
-	.X(n_5458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474715 (
-	.A1(n_4911),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [19]),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [19]),
-	.C1(n_5116),
-	.X(n_5457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474716 (
-	.A1(n_4911),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [21]),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [21]),
-	.C1(n_5114),
-	.X(n_5456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474717 (
-	.A1(n_4911),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [22]),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [22]),
-	.C1(n_5113),
-	.X(n_5455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474718 (
-	.A1(n_4911),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [23]),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [23]),
-	.C1(n_5112),
-	.X(n_5454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474719 (
-	.A1(n_4911),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [24]),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [24]),
-	.C1(n_5111),
-	.X(n_5453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474720 (
-	.A1(n_4911),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [25]),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [25]),
-	.C1(n_5110),
-	.X(n_5452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474721 (
-	.A1(n_4911),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [26]),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [26]),
-	.C1(n_5109),
-	.X(n_5451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474722 (
-	.A1(n_4911),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [27]),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [27]),
-	.C1(n_5108),
-	.X(n_5450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474723 (
-	.A1(n_4911),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [28]),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [28]),
-	.C1(n_5107),
-	.X(n_5449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474724 (
-	.A1(n_4911),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [29]),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [29]),
-	.C1(n_5106),
-	.X(n_5448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474725 (
-	.A1(n_4911),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [30]),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [30]),
-	.C1(n_5105),
-	.X(n_5447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474726 (
-	.A1(n_4911),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [31]),
-	.B1(n_4732),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [31]),
-	.C1(n_5104),
-	.X(n_5446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474727 (
-	.A1(n_4910),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [2]),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [2]),
-	.C1(n_5140),
-	.X(n_5445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474728 (
-	.A1(n_4910),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [4]),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [4]),
-	.C1(n_5138),
-	.X(n_5444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474729 (
-	.A1(n_4910),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [3]),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [3]),
-	.C1(n_5139),
-	.X(n_5443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474730 (
-	.A1(n_4910),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [5]),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [5]),
-	.C1(n_5137),
-	.X(n_5442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474731 (
-	.A1(n_4910),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [6]),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [6]),
-	.C1(n_5136),
-	.X(n_5441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474732 (
-	.A1(n_4910),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [7]),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [7]),
-	.C1(n_5135),
-	.X(n_5440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474733 (
-	.A1(n_4910),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [8]),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [8]),
-	.C1(n_5134),
-	.X(n_5439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474734 (
-	.A1(n_4910),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [9]),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [9]),
-	.C1(n_5133),
-	.X(n_5438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474735 (
-	.A1(n_4910),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [10]),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [10]),
-	.C1(n_5132),
-	.X(n_5437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474736 (
-	.A1(n_4910),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [11]),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [11]),
-	.C1(n_5131),
-	.X(n_5436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474737 (
-	.A1(n_4910),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [12]),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [12]),
-	.C1(n_5130),
-	.X(n_5435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474738 (
-	.A1(n_4910),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [13]),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [13]),
-	.C1(n_5129),
-	.X(n_5434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474739 (
-	.A1(n_4910),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [14]),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [14]),
-	.C1(n_5128),
-	.X(n_5433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474740 (
-	.A1(n_4910),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [15]),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [15]),
-	.C1(n_5127),
-	.X(n_5432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474741 (
-	.A1(n_4910),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [18]),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [18]),
-	.C1(n_5141),
-	.X(n_5431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474742 (
-	.A1(n_4910),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [20]),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [20]),
-	.C1(n_5146),
-	.X(n_5430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474743 (
-	.A1(n_4910),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [19]),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [19]),
-	.C1(n_5145),
-	.X(n_5429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474744 (
-	.A1(n_4910),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [21]),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [21]),
-	.C1(n_5147),
-	.X(n_5428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474745 (
-	.A1(n_4910),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [22]),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [22]),
-	.C1(n_5149),
-	.X(n_5427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474746 (
-	.A1(n_4910),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [23]),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [23]),
-	.C1(n_5150),
-	.X(n_5426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474747 (
-	.A1(n_4910),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [24]),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [24]),
-	.C1(n_5156),
-	.X(n_5425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474748 (
-	.A1(n_4910),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [25]),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [25]),
-	.C1(n_5158),
-	.X(n_5424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474749 (
-	.A1(n_4910),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [26]),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [26]),
-	.C1(n_5161),
-	.X(n_5423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474750 (
-	.A1(n_4910),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [27]),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [27]),
-	.C1(n_5172),
-	.X(n_5422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474751 (
-	.A1(n_4910),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [28]),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [28]),
-	.C1(n_5193),
-	.X(n_5421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474752 (
-	.A1(n_4910),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [29]),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [29]),
-	.C1(n_5197),
-	.X(n_5420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474753 (
-	.A1(n_4910),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [30]),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [30]),
-	.C1(n_5224),
-	.X(n_5419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474754 (
-	.A1(n_4910),
-	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [31]),
-	.B1(n_4728),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [31]),
-	.C1(n_5226),
-	.X(n_5418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474755 (
-	.A1(n_5123),
-	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[8]),
-	.B1(n_628),
-	.B2(n_15433),
-	.Y(n_5417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g474756 (
-	.A1(n_5258),
-	.A2(n_5119),
-	.B1(n_4489),
-	.Y(n_5416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474757 (
-	.A1(\soc_top_xbar_to_timer[a_data] [24]),
-	.A2(n_4725),
-	.B1(n_4916),
-	.B2(io_out[32]),
-	.C1(n_4874),
-	.X(n_5415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474758 (
-	.A1(\soc_top_xbar_to_timer[a_data] [25]),
-	.A2(n_4725),
-	.B1(n_4916),
-	.B2(gpio_o[25]),
-	.C1(n_4873),
-	.X(n_5414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g474759 (
-	.A1(n_4724),
-	.A2(n_479),
-	.B1(n_5267),
-	.Y(n_5413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g474760 (
-	.A1(n_4724),
-	.A2(n_477),
-	.B1(n_5272),
-	.Y(n_5412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g474761 (
-	.A1(n_4724),
-	.A2(n_478),
-	.B1(n_5264),
-	.Y(n_5411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g474762 (
-	.A1(n_4724),
-	.A2(n_481),
-	.B1(n_5263),
-	.Y(n_5410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g474763 (
-	.A1(n_4724),
-	.A2(n_480),
-	.B1(n_5261),
-	.Y(n_5409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g474764 (
-	.A1(n_4724),
-	.A2(n_372),
-	.B1(n_5262),
-	.Y(n_5408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474765 (
-	.A1(\soc_top_xbar_to_timer[a_data] [19]),
-	.A2(n_4725),
-	.B1(n_4916),
-	.B2(gpio_o[19]),
-	.C1(n_4876),
-	.X(n_5407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g474766 (
-	.A1(n_4724),
-	.A2(n_368),
-	.B1(n_5310),
-	.Y(n_5406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g474767 (
-	.A1(n_4724),
-	.A2(n_367),
-	.B1(n_5307),
-	.Y(n_5405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g474768 (
-	.A1(n_4724),
-	.A2(n_370),
-	.B1(n_5273),
-	.Y(n_5404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g474769 (
-	.A1(n_4724),
-	.A2(n_476),
-	.B1(n_5277),
-	.Y(n_5403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474770 (
-	.A1(\soc_top_xbar_to_timer[a_data] [16]),
-	.A2(n_4725),
-	.B1(n_4916),
-	.B2(io_out[24]),
-	.C1(n_4890),
-	.X(n_5402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474771 (
-	.A1(\soc_top_xbar_to_timer[a_data] [17]),
-	.A2(n_4725),
-	.B1(n_4916),
-	.B2(io_out[25]),
-	.C1(n_4888),
-	.X(n_5401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474772 (
-	.A1(\soc_top_xbar_to_timer[a_data] [18]),
-	.A2(n_4725),
-	.B1(n_4916),
-	.B2(soc_top_GPIO_cio_gpio_q[18]),
-	.C1(n_4962),
-	.X(n_5400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474773 (
-	.A1(\soc_top_xbar_to_timer[a_data] [15]),
-	.A2(n_4725),
-	.B1(n_4915),
-	.B2(io_out[23]),
-	.C1(n_4943),
-	.X(n_5399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g474774 (
-	.A(n_4690),
-	.B(n_4919),
-	.C(n_272),
-	.Y(n_5398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g474775 (
-	.A1(n_5120),
-	.A2(n_4274),
-	.B1(n_5358),
-	.Y(n_5397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g474776 (
-	.A1(n_4003),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [23]),
-	.B1(n_5248),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][0] ),
-	.C1(n_4871),
-	.Y(n_5396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474777 (
-	.A1(n_5248),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [21]),
-	.B1(n_4003),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [21]),
-	.Y(n_5395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474778 (
-	.A1(n_5248),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][1] ),
-	.B1(n_4003),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [24]),
-	.Y(n_5394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g474779 (
-	.A1(n_5248),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][2] ),
-	.B1(n_4003),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [25]),
-	.X(n_5393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g474780 (
-	.A1(n_5248),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [26]),
-	.B1(n_4003),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [26]),
-	.X(n_5392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474781 (
-	.A1(n_5248),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][4] ),
-	.B1(n_4003),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [27]),
-	.Y(n_5391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474782 (
-	.A1(n_5248),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [30]),
-	.B1(n_4003),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [30]),
-	.Y(n_5390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474783 (
-	.A1(n_4724),
-	.A2(n_458),
-	.B1(n_4739),
-	.B2(n_1254),
-	.C1(n_5231),
-	.Y(n_5389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474784 (
-	.A1(n_4724),
-	.A2(n_359),
-	.B1(n_4739),
-	.B2(n_1255),
-	.C1(n_5230),
-	.Y(n_5388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474785 (
-	.A1(n_4724),
-	.A2(n_462),
-	.B1(n_4739),
-	.B2(n_1256),
-	.C1(n_5229),
-	.Y(n_5387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474786 (
-	.A1(\soc_top_xbar_to_timer[a_data] [3]),
-	.A2(n_4725),
-	.B1(n_4915),
-	.B2(io_out[11]),
-	.C1(n_4964),
-	.X(n_5386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474787 (
-	.A1(\soc_top_xbar_to_timer[a_data] [4]),
-	.A2(n_4725),
-	.B1(n_4915),
-	.B2(io_out[12]),
-	.C1(n_4965),
-	.X(n_5385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474788 (
-	.A1(\soc_top_xbar_to_timer[a_data] [5]),
-	.A2(n_4725),
-	.B1(n_4915),
-	.B2(io_out[13]),
-	.C1(n_4966),
-	.X(n_5384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474789 (
-	.A1(\soc_top_xbar_to_timer[a_data] [6]),
-	.A2(n_4725),
-	.B1(n_4915),
-	.B2(io_out[14]),
-	.C1(n_4967),
-	.X(n_5383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474790 (
-	.A1(\soc_top_xbar_to_timer[a_data] [7]),
-	.A2(n_4725),
-	.B1(n_4915),
-	.B2(io_out[15]),
-	.C1(n_4968),
-	.X(n_5382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474791 (
-	.A1(\soc_top_xbar_to_timer[a_data] [8]),
-	.A2(n_4725),
-	.B1(n_4915),
-	.B2(io_out[16]),
-	.C1(n_4969),
-	.X(n_5381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474792 (
-	.A1(\soc_top_xbar_to_timer[a_data] [9]),
-	.A2(n_4725),
-	.B1(n_4915),
-	.B2(io_out[17]),
-	.C1(n_4970),
-	.X(n_5380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474793 (
-	.A1(\soc_top_xbar_to_timer[a_data] [10]),
-	.A2(n_4725),
-	.B1(n_4915),
-	.B2(io_out[18]),
-	.C1(n_4971),
-	.X(n_5379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474794 (
-	.A1(\soc_top_xbar_to_timer[a_data] [11]),
-	.A2(n_4725),
-	.B1(n_4915),
-	.B2(io_out[19]),
-	.C1(n_4957),
-	.X(n_5378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474795 (
-	.A1(\soc_top_xbar_to_timer[a_data] [12]),
-	.A2(n_4725),
-	.B1(n_4915),
-	.B2(io_out[20]),
-	.C1(n_4963),
-	.X(n_5377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474796 (
-	.A1(\soc_top_xbar_to_timer[a_data] [13]),
-	.A2(n_4725),
-	.B1(n_4915),
-	.B2(io_out[21]),
-	.C1(n_4942),
-	.X(n_5376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g474797 (
-	.A1(\soc_top_xbar_to_timer[a_data] [14]),
-	.A2(n_4725),
-	.B1(n_4915),
-	.B2(io_out[22]),
-	.C1(n_4972),
-	.X(n_5375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474798 (
-	.A1(n_4984),
-	.A2(n_472),
-	.B1(n_1276),
-	.B2(soc_top_GPIO_data_in_d[13]),
-	.C1(n_1551),
-	.Y(n_5535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g474799 (
-	.A(n_272),
-	.B(n_4920),
-	.C(n_4691),
-	.X(n_5534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g474800 (
-	.A(n_5251),
-	.B(n_4762),
-	.C_N(n_4924),
-	.Y(n_5533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g474801 (
-	.A1(n_5250),
-	.A2(n_626),
-	.B1(n_1364),
-	.Y(n_5532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474802 (
-	.A1(n_4984),
-	.A2(n_362),
-	.B1(n_1275),
-	.B2(soc_top_GPIO_data_in_d[14]),
-	.C1(n_1608),
-	.Y(n_5531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g474803 (
-	.A(n_5358),
-	.B(n_4918),
-	.Y(n_5530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474804 (
-	.A1(n_4984),
-	.A2(n_371),
-	.B1(n_1267),
-	.B2(soc_top_GPIO_data_in_d[25]),
-	.C1(n_1557),
-	.Y(n_5529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474805 (
-	.A1(n_4984),
-	.A2(n_363),
-	.B1(n_1234),
-	.B2(soc_top_GPIO_data_in_d[15]),
-	.C1(n_1605),
-	.Y(n_5528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474806 (
-	.A1(n_4984),
-	.A2(n_463),
-	.B1(n_1259),
-	.B2(soc_top_GPIO_data_in_d[3]),
-	.C1(n_1579),
-	.Y(n_5527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474807 (
-	.A1(n_4984),
-	.A2(n_462),
-	.B1(n_1230),
-	.B2(soc_top_GPIO_data_in_d[2]),
-	.C1(n_1574),
-	.Y(n_5526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474808 (
-	.A1(n_4984),
-	.A2(n_359),
-	.B1(n_1270),
-	.B2(soc_top_GPIO_data_in_d[1]),
-	.C1(n_1580),
-	.Y(n_5525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474809 (
-	.A1(n_4984),
-	.A2(n_458),
-	.B1(n_1248),
-	.B2(soc_top_GPIO_data_in_d[0]),
-	.C1(n_1546),
-	.Y(n_5524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474810 (
-	.A1(n_4984),
-	.A2(n_366),
-	.B1(n_1346),
-	.B2(soc_top_GPIO_data_in_d[19]),
-	.C1(n_1548),
-	.Y(n_5523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474811 (
-	.A1(n_4984),
-	.A2(n_474),
-	.B1(n_1233),
-	.B2(soc_top_GPIO_data_in_d[17]),
-	.C1(n_1562),
-	.Y(n_5522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474812 (
-	.A1(n_4984),
-	.A2(n_473),
-	.B1(n_1236),
-	.B2(soc_top_GPIO_data_in_d[16]),
-	.C1(n_1578),
-	.Y(n_5521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474813 (
-	.A1(n_4984),
-	.A2(n_482),
-	.B1(n_1266),
-	.B2(soc_top_GPIO_data_in_d[24]),
-	.C1(n_1584),
-	.Y(n_5520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g474814 (
-	.A1(n_4984),
-	.A2(n_471),
-	.B1(n_1264),
-	.B2(soc_top_GPIO_data_in_d[12]),
-	.C1(n_1586),
-	.Y(n_5519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g474815 (
-	.A_N(n_4931),
-	.B(\soc_top_timer0_gen_harts[0].u_core_tick_count [6]),
-	.Y(n_5518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g474816 (
-	.A1(n_5254),
-	.A2(n_4266),
-	.B1(n_5357),
-	.Y(n_5517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g474817 (
-	.A(n_5369),
-	.B(n_5357),
-	.Y(n_5515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g474819 (
-	.A(soc_top_u_pwm_pwm_core_counter_p2[5]),
-	.B(n_4719),
-	.COUT(n_5373),
-	.SUM(n_5374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g474966 (
-	.A(n_5362),
-	.Y(n_5361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g474967 (
-	.A(n_5359),
-	.Y(n_5360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g474968 (
-	.A(n_5358),
-	.Y(n_5357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g474970 (
-	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[12]),
-	.A2(n_617),
-	.B1(n_4688),
-	.B2(n_1806),
-	.C1(n_4731),
-	.C2(n_1805),
-	.Y(n_5354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4bb_1 g474971 (
-	.A(n_16264),
-	.B(n_16258),
-	.C_N(n_15241),
-	.D_N(n_4840),
-	.Y(n_5353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g474972 (
-	.A1(n_4909),
-	.A2(soc_top_u_top_u_core_alu_adder_result_ex[1]),
-	.B1(soc_top_u_top_u_core_alu_adder_result_ex[0]),
-	.B2(n_3107),
-	.X(n_5352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474973 (
-	.A1(n_4912),
-	.A2(n_15529),
-	.B1(n_4482),
-	.B2(\soc_top_lsu_to_xbar[a_address] [20]),
-	.Y(n_5351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474974 (
-	.A1(n_4908),
-	.A2(n_15497),
-	.B1(n_253),
-	.B2(\soc_top_lsu_to_xbar[a_address] [19]),
-	.Y(n_5350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474975 (
-	.A1(n_4908),
-	.A2(n_15496),
-	.B1(n_253),
-	.B2(\soc_top_lsu_to_xbar[a_address] [18]),
-	.Y(n_5349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474976 (
-	.A1(n_4912),
-	.A2(n_15523),
-	.B1(n_4482),
-	.B2(soc_top_u_top_u_core_alu_adder_result_ex[14]),
-	.Y(n_5348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474977 (
-	.A1(n_4908),
-	.A2(n_15491),
-	.B1(n_253),
-	.B2(\soc_top_lsu_to_xbar[a_address] [13]),
-	.Y(n_5347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474978 (
-	.A1(n_4912),
-	.A2(n_15521),
-	.B1(n_4482),
-	.B2(\soc_top_lsu_to_xbar[a_address] [12]),
-	.Y(n_5346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474979 (
-	.A1(n_4912),
-	.A2(n_15520),
-	.B1(n_4482),
-	.B2(\soc_top_lsu_to_xbar[a_address] [11]),
-	.Y(n_5345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474980 (
-	.A1(n_4908),
-	.A2(n_15488),
-	.B1(n_253),
-	.B2(\soc_top_lsu_to_xbar[a_address] [10]),
-	.Y(n_5344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474981 (
-	.A1(n_4912),
-	.A2(n_15519),
-	.B1(n_4482),
-	.B2(\soc_top_lsu_to_xbar[a_address] [10]),
-	.Y(n_5343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474982 (
-	.A1(n_4908),
-	.A2(n_15487),
-	.B1(n_253),
-	.B2(\soc_top_lsu_to_xbar[a_address] [9]),
-	.Y(n_5342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474983 (
-	.A1(n_4912),
-	.A2(n_15517),
-	.B1(n_4908),
-	.B2(n_15485),
-	.Y(n_5341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474984 (
-	.A1(n_4908),
-	.A2(n_15477),
-	.B1(n_253),
-	.B2(n_15476),
-	.Y(n_5340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g474985 (
-	.A1(soc_top_u_pwm_pwm_core_period_counter1[10]),
-	.A2(n_4987),
-	.B1(soc_top_u_pwm_pwm_core_period_counter1[9]),
-	.B2(n_400),
-	.Y(n_5339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g474986 (
-	.A1(soc_top_u_pwm_pwm_core_counter_p1[10]),
-	.A2(n_4986),
-	.B1(soc_top_u_pwm_pwm_core_counter_p1[9]),
-	.B2(n_298),
-	.Y(n_5338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474987 (
-	.A1_N(n_3392),
-	.A2_N(n_2383),
-	.B1(n_4909),
-	.B2(\soc_top_lsu_to_xbar[a_address] [31]),
-	.Y(n_5337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g474988 (
-	.A1_N(n_941),
-	.A2_N(n_3416),
-	.B1(soc_top_u_top_u_core_alu_adder_result_ex[0]),
-	.B2(n_4909),
-	.Y(n_5336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474989 (
-	.A1(n_4912),
-	.A2(n_15540),
-	.B1(n_4908),
-	.B2(n_15508),
-	.Y(n_5335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474990 (
-	.A1(n_4940),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [31]),
-	.B1(n_4733),
-	.B2(soc_top_u_top_u_core_lsu_wdata[31]),
-	.Y(n_5334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474991 (
-	.A1(n_4908),
-	.A2(n_15507),
-	.B1(n_253),
-	.B2(\soc_top_lsu_to_xbar[a_address] [29]),
-	.Y(n_5333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474992 (
-	.A1(n_4908),
-	.A2(n_15506),
-	.B1(n_253),
-	.B2(n_17561),
-	.Y(n_5332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474993 (
-	.A1(n_4912),
-	.A2(n_15537),
-	.B1(n_4482),
-	.B2(n_17561),
-	.Y(n_5331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474994 (
-	.A1(n_4908),
-	.A2(n_15504),
-	.B1(n_253),
-	.B2(\soc_top_lsu_to_xbar[a_address] [26]),
-	.Y(n_5330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474995 (
-	.A1(n_4912),
-	.A2(n_15534),
-	.B1(n_4482),
-	.B2(\soc_top_lsu_to_xbar[a_address] [25]),
-	.Y(n_5329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474996 (
-	.A1(n_4912),
-	.A2(n_15533),
-	.B1(n_4482),
-	.B2(\soc_top_lsu_to_xbar[a_address] [24]),
-	.Y(n_5328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474997 (
-	.A1(n_4908),
-	.A2(n_15501),
-	.B1(n_253),
-	.B2(\soc_top_lsu_to_xbar[a_address] [23]),
-	.Y(n_5327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474998 (
-	.A1(n_4912),
-	.A2(n_15530),
-	.B1(n_4482),
-	.B2(\soc_top_lsu_to_xbar[a_address] [21]),
-	.Y(n_5326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g474999 (
-	.A1(n_4908),
-	.A2(n_15498),
-	.B1(n_253),
-	.B2(\soc_top_lsu_to_xbar[a_address] [20]),
-	.Y(n_5325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g475000 (
-	.A1(n_617),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[18]),
-	.B1(n_4688),
-	.B2(n_1062),
-	.C1(n_4844),
-	.Y(n_5324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g475001 (
-	.A1(n_617),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[17]),
-	.B1(n_4688),
-	.B2(n_1064),
-	.C1(n_4845),
-	.Y(n_5323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g475002 (
-	.A1(n_617),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[16]),
-	.B1(n_4688),
-	.B2(n_1065),
-	.C1(n_4846),
-	.Y(n_5322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g475003 (
-	.A1(n_617),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[15]),
-	.B1(n_4688),
-	.B2(n_1007),
-	.C1(n_4847),
-	.Y(n_5321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g475004 (
-	.A1(n_617),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[13]),
-	.B1(n_4688),
-	.B2(n_985),
-	.C1(n_4849),
-	.Y(n_5320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475005 (
-	.A1(n_4499),
-	.A2(n_4284),
-	.B1(n_3687),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[13]),
-	.C1(n_4918),
-	.C2(n_4503),
-	.Y(n_5319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g475006 (
-	.A1(n_628),
-	.A2(n_15432),
-	.B1(n_1365),
-	.B2(soc_top_main_swith_host_lsu_num_req_outstanding[7]),
-	.C1(n_4944),
-	.X(n_5318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g475007 (
-	.A(n_5252),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_signalling] ),
-	.Y(n_5317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a311oi_1 g475008 (
-	.A1(n_1619),
-	.A2(n_1837),
-	.A3(\soc_top_intr_controller_reg2hw[prio24][q] [1]),
-	.B1(n_4208),
-	.C1(n_4838),
-	.Y(n_5316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g475009 (
-	.A(n_5259),
-	.B_N(n_940),
-	.Y(n_5315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g475010 (
-	.A1(n_617),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[28]),
-	.B1(n_4688),
-	.B2(n_1074),
-	.C1(n_4867),
-	.Y(n_5314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g475011 (
-	.A1(n_617),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[26]),
-	.B1(n_4688),
-	.B2(n_982),
-	.C1(n_4868),
-	.Y(n_5313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g475012 (
-	.A1(n_4688),
-	.A2(n_1077),
-	.B1(n_617),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[25]),
-	.C1(n_4869),
-	.X(n_5312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475013 (
-	.A1(n_17449),
-	.A2(n_456),
-	.B1(n_15187),
-	.B2(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ),
-	.Y(n_5311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475014 (
-	.A1(n_4916),
-	.A2(gpio_o[20]),
-	.B1(n_1278),
-	.B2(n_4737),
-	.Y(n_5310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475015 (
-	.A(n_4289),
-	.B(n_5254),
-	.Y(n_5309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475016 (
-	.A(n_5096),
-	.B(n_3785),
-	.Y(n_5308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475017 (
-	.A1(n_4916),
-	.A2(gpio_o[21]),
-	.B1(n_1280),
-	.B2(n_4737),
-	.Y(n_5307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g475018 (
-	.A_N(n_5257),
-	.B(n_4502),
-	.Y(n_5306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g475019 (
-	.A(n_4740),
-	.B(n_5121),
-	.X(n_5305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475020 (
-	.A(n_5016),
-	.B(n_3388),
-	.Y(n_5304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475021 (
-	.A(n_5015),
-	.B(n_3388),
-	.Y(n_5303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475022 (
-	.A(n_5014),
-	.B(n_3388),
-	.Y(n_5302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475023 (
-	.A(n_5013),
-	.B(n_3388),
-	.Y(n_5301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475024 (
-	.A(n_5012),
-	.B(n_3388),
-	.Y(n_5300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475025 (
-	.A(n_5011),
-	.B(n_3388),
-	.Y(n_5299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475026 (
-	.A(n_5009),
-	.B(n_3388),
-	.Y(n_5298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475027 (
-	.A(n_5008),
-	.B(n_3388),
-	.Y(n_5297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475028 (
-	.A(n_5010),
-	.B(n_3388),
-	.Y(n_5296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475029 (
-	.A(n_5007),
-	.B(n_3388),
-	.Y(n_5295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475030 (
-	.A(n_5006),
-	.B(n_3388),
-	.Y(n_5294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475031 (
-	.A(n_5005),
-	.B(n_3388),
-	.Y(n_5293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475032 (
-	.A(n_5004),
-	.B(n_3388),
-	.Y(n_5292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475033 (
-	.A(n_5003),
-	.B(n_3388),
-	.Y(n_5291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475034 (
-	.A(n_5002),
-	.B(n_3388),
-	.Y(n_5290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475035 (
-	.A(n_5001),
-	.B(n_3388),
-	.Y(n_5289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g475036 (
-	.A1(n_2673),
-	.A2(\soc_top_intr_controller_reg2hw[prio28][q] [0]),
-	.B1(n_2057),
-	.B2(\soc_top_intr_controller_reg2hw[prio29][q] [0]),
-	.C1(n_4998),
-	.Y(n_5288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g475037 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [23]),
-	.A2(n_4735),
-	.B1(n_253),
-	.B2(\soc_top_lsu_to_xbar[a_address] [22]),
-	.C1(n_5022),
-	.X(n_5287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475038 (
-	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[30]),
-	.A2(n_617),
-	.B1(n_4688),
-	.B2(n_1811),
-	.C1(n_4731),
-	.C2(n_1810),
-	.Y(n_5286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475039 (
-	.A1(soc_top_main_swith_host_lsu_num_req_outstanding[8]),
-	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[9]),
-	.B1(n_5250),
-	.Y(n_5285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g475040 (
-	.A1(n_4654),
-	.A2(n_3209),
-	.B1(soc_top_u_pwm_pwm_core_period_2[8]),
-	.B2(n_399),
-	.C1(n_1218),
-	.Y(n_5284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475041 (
-	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[10]),
-	.A2(n_617),
-	.B1(n_4688),
-	.B2(n_1813),
-	.C1(n_4731),
-	.C2(n_1812),
-	.Y(n_5283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475042 (
-	.A1(n_4922),
-	.A2(n_4490),
-	.B1(n_3697),
-	.Y(n_5282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475043 (
-	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[14]),
-	.A2(n_617),
-	.B1(n_4688),
-	.B2(n_1815),
-	.C1(n_4731),
-	.C2(n_1814),
-	.Y(n_5281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475044 (
-	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[20]),
-	.A2(n_617),
-	.B1(n_4688),
-	.B2(n_1809),
-	.C1(n_4731),
-	.C2(n_1808),
-	.Y(n_5280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211o_1 g475045 (
-	.A1(n_4508),
-	.A2(n_4276),
-	.B1(n_4273),
-	.C1(n_4917),
-	.X(n_5279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g475046 (
-	.A1(n_1377),
-	.A2(n_4466),
-	.B1(n_3954),
-	.C1(n_3344),
-	.D1(n_5048),
-	.Y(n_5278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475047 (
-	.A1(n_4916),
-	.A2(io_out[31]),
-	.B1(n_1281),
-	.B2(n_4737),
-	.Y(n_5277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475049 (
-	.A(n_5017),
-	.B(n_4865),
-	.C(n_4711),
-	.Y(n_5275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475050 (
-	.A1(n_4485),
-	.A2(n_4269),
-	.B1(n_5260),
-	.Y(n_5274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475051 (
-	.A1(n_4916),
-	.A2(io_out[30]),
-	.B1(n_1282),
-	.B2(n_4737),
-	.Y(n_5273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475052 (
-	.A1(n_4916),
-	.A2(io_out[35]),
-	.B1(n_1319),
-	.B2(n_4737),
-	.Y(n_5272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g475053 (
-	.A1(soc_top_u_pwm_pwm_core_counter_p2[0]),
-	.A2(n_1434),
-	.B1(n_2201),
-	.C1(n_4383),
-	.D1(n_4637),
-	.Y(n_5271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g475054 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
-	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
-	.D(n_4642),
-	.X(n_5270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g475055 (
-	.A(n_17283),
-	.B(n_17284),
-	.C(n_17282),
-	.D(n_4641),
-	.X(n_5269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g475056 (
-	.A1(soc_top_u_pwm_pwm_core_counter_p1[0]),
-	.A2(n_1442),
-	.B1(n_2168),
-	.C1(n_4781),
-	.Y(n_5268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475057 (
-	.A1(n_4916),
-	.A2(gpio_o[26]),
-	.B1(n_1324),
-	.B2(n_4737),
-	.Y(n_5267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g475058 (
-	.A1(n_1379),
-	.A2(n_4463),
-	.B1(n_4892),
-	.C1(n_4893),
-	.Y(n_5266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g475059 (
-	.A1(n_3032),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
-	.B1(n_4956),
-	.C1(n_4896),
-	.Y(n_5265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475060 (
-	.A1(n_4916),
-	.A2(io_out[36]),
-	.B1(n_1317),
-	.B2(n_4737),
-	.Y(n_5264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475061 (
-	.A1(n_4916),
-	.A2(io_out[37]),
-	.B1(n_1301),
-	.B2(n_4737),
-	.Y(n_5263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475062 (
-	.A1(n_4916),
-	.A2(io_out[0]),
-	.B1(n_1302),
-	.B2(n_4737),
-	.Y(n_5262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475063 (
-	.A1(n_4916),
-	.A2(gpio_o[31]),
-	.B1(n_1288),
-	.B2(n_4737),
-	.Y(n_5261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g475064 (
-	.A1(n_4498),
-	.A2(n_2072),
-	.B1(n_5253),
-	.X(n_5372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g475065 (
-	.A_N(n_5255),
-	.B(soc_top_u_pwm_pwm_core_counter_p1[11]),
-	.Y(n_5371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475066 (
-	.A1(n_4489),
-	.A2(n_4917),
-	.B1(n_4921),
-	.Y(n_5370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g475069 (
-	.A(n_5124),
-	.B(n_4266),
-	.X(n_5369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475071 (
-	.A(n_4751),
-	.B(n_1200),
-	.Y(n_5368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475074 (
-	.A(n_5118),
-	.B(n_4692),
-	.Y(n_5367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g475075 (
-	.A1(n_4938),
-	.A2(n_4932),
-	.B1(n_4265),
-	.Y(n_5366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475078 (
-	.A(n_15019),
-	.B(n_5249),
-	.Y(n_5365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g475079 (
-	.A1(n_4920),
-	.A2(n_4503),
-	.B1(n_4487),
-	.B2(n_4268),
-	.X(n_5364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475109 (
-	.A(n_4926),
-	.B(n_5118),
-	.Y(n_5363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475110 (
-	.A(n_5118),
-	.B(n_4924),
-	.Y(n_5362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g475111 (
-	.A_N(n_3791),
-	.B(n_5122),
-	.Y(n_5359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g475112 (
-	.A1(n_4271),
-	.A2(n_4007),
-	.B1(n_4016),
-	.C1(n_4941),
-	.Y(n_5358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475113 (
-	.A(n_4985),
-	.B(n_4749),
-	.C(n_369),
-	.Y(n_5356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g475114 (
-	.A(n_1938),
-	.B(n_2612),
-	.C(n_4900),
-	.X(n_5355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g475115 (
-	.A(n_5250),
-	.Y(n_5249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g475116 (
-	.A(n_5248),
-	.Y(n_5247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g475117 (
-	.A(soc_top_u_pwm_pwm_core_period_counter2[6]),
-	.B(n_4570),
-	.COUT(n_5243),
-	.SUM(n_5244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475118 (
-	.A(n_4794),
-	.B(n_4103),
-	.Y(n_5242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475119 (
-	.A(n_3312),
-	.B(n_4905),
-	.Y(n_5241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475120 (
-	.A(n_3311),
-	.B(n_4905),
-	.Y(n_5240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475121 (
-	.A(n_3332),
-	.B(n_4905),
-	.Y(n_5239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475122 (
-	.A(n_3326),
-	.B(n_4905),
-	.Y(n_5238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475123 (
-	.A(n_3327),
-	.B(n_4905),
-	.Y(n_5237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475124 (
-	.A(n_3331),
-	.B(n_4905),
-	.Y(n_5236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475125 (
-	.A(n_3328),
-	.B(n_4905),
-	.Y(n_5235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475126 (
-	.A(n_3330),
-	.B(n_4905),
-	.Y(n_5234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475127 (
-	.A(n_2336),
-	.B(n_4905),
-	.Y(n_5233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475128 (
-	.A(n_2338),
-	.B(n_4905),
-	.Y(n_5232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475129 (
-	.A(n_4915),
-	.B(io_out[8]),
-	.Y(n_5231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475130 (
-	.A(n_4915),
-	.B(io_out[9]),
-	.Y(n_5230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475131 (
-	.A(n_4915),
-	.B(io_out[10]),
-	.Y(n_5229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475132 (
-	.A(n_2334),
-	.B(n_4905),
-	.Y(n_5228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475133 (
-	.A(n_4912),
-	.B(n_15509),
-	.Y(n_5227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475134 (
-	.A(n_3314),
-	.B(n_4907),
-	.Y(n_5226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475135 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_4839),
-	.Y(n_5225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475136 (
-	.A(n_3317),
-	.B(n_4907),
-	.Y(n_5224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475137 (
-	.A1(n_673),
-	.A2(n_776),
-	.B1(n_4985),
-	.Y(n_5223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475138 (
-	.A(\soc_top_xbar_to_timer[a_address] [2]),
-	.B(n_4909),
-	.Y(n_5222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475139 (
-	.A(\soc_top_xbar_to_timer[a_address] [8]),
-	.B(n_4909),
-	.Y(n_5221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475140 (
-	.A(\soc_top_lsu_to_xbar[a_address] [9]),
-	.B(n_4909),
-	.Y(n_5220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475141 (
-	.A(\soc_top_lsu_to_xbar[a_address] [10]),
-	.B(n_4909),
-	.Y(n_5219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475142 (
-	.A(\soc_top_lsu_to_xbar[a_address] [11]),
-	.B(n_4909),
-	.Y(n_5218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475143 (
-	.A(\soc_top_lsu_to_xbar[a_address] [12]),
-	.B(n_4909),
-	.Y(n_5217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475144 (
-	.A(\soc_top_xbar_to_timer[a_address] [3]),
-	.B(n_4909),
-	.Y(n_5216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475145 (
-	.A(\soc_top_lsu_to_xbar[a_address] [13]),
-	.B(n_4909),
-	.Y(n_5215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475146 (
-	.A(soc_top_u_top_u_core_alu_adder_result_ex[14]),
-	.B(n_4909),
-	.Y(n_5214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475147 (
-	.A(soc_top_u_top_u_core_alu_adder_result_ex[15]),
-	.B(n_4909),
-	.Y(n_5213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475148 (
-	.A(\soc_top_lsu_to_xbar[a_address] [16]),
-	.B(n_4909),
-	.Y(n_5212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475149 (
-	.A(\soc_top_lsu_to_xbar[a_address] [17]),
-	.B(n_4909),
-	.Y(n_5211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475150 (
-	.A(\soc_top_lsu_to_xbar[a_address] [18]),
-	.B(n_4909),
-	.Y(n_5210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475151 (
-	.A(\soc_top_lsu_to_xbar[a_address] [19]),
-	.B(n_4909),
-	.Y(n_5209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475152 (
-	.A(\soc_top_lsu_to_xbar[a_address] [20]),
-	.B(n_4909),
-	.Y(n_5208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475153 (
-	.A(\soc_top_lsu_to_xbar[a_address] [21]),
-	.B(n_4909),
-	.Y(n_5207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475154 (
-	.A(\soc_top_lsu_to_xbar[a_address] [22]),
-	.B(n_4909),
-	.Y(n_5206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475155 (
-	.A(\soc_top_lsu_to_xbar[a_address] [23]),
-	.B(n_4909),
-	.Y(n_5205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475156 (
-	.A(\soc_top_lsu_to_xbar[a_address] [24]),
-	.B(n_4909),
-	.Y(n_5204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475157 (
-	.A(\soc_top_lsu_to_xbar[a_address] [25]),
-	.B(n_4909),
-	.Y(n_5203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475158 (
-	.A(\soc_top_lsu_to_xbar[a_address] [26]),
-	.B(n_4909),
-	.Y(n_5202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475159 (
-	.A(\soc_top_lsu_to_xbar[a_address] [27]),
-	.B(n_4909),
-	.Y(n_5201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475160 (
-	.A(n_17561),
-	.B(n_4909),
-	.Y(n_5200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475161 (
-	.A(\soc_top_lsu_to_xbar[a_address] [29]),
-	.B(n_4909),
-	.Y(n_5199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475162 (
-	.A(\soc_top_lsu_to_xbar[a_address] [30]),
-	.B(n_4909),
-	.Y(n_5198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475163 (
-	.A(n_3313),
-	.B(n_4907),
-	.Y(n_5197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475164 (
-	.A(\soc_top_xbar_to_timer[a_address] [5]),
-	.B(n_4909),
-	.Y(n_5196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475165 (
-	.A(\soc_top_xbar_to_timer[a_address] [7]),
-	.B(n_4909),
-	.Y(n_5195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475166 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_4815),
-	.Y(n_5194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475167 (
-	.A(n_3318),
-	.B(n_4907),
-	.Y(n_5193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475168 (
-	.A(n_4814),
-	.B(n_4123),
-	.Y(n_5192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475169 (
-	.A(n_4813),
-	.B(n_4122),
-	.Y(n_5191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475170 (
-	.A(n_4812),
-	.B(n_4121),
-	.Y(n_5190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475171 (
-	.A(n_4811),
-	.B(n_4120),
-	.Y(n_5189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475172 (
-	.A(n_4810),
-	.B(n_4119),
-	.Y(n_5188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475173 (
-	.A(n_4809),
-	.B(n_4118),
-	.Y(n_5187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475174 (
-	.A(n_4808),
-	.B(n_4117),
-	.Y(n_5186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475175 (
-	.A(n_4807),
-	.B(n_4116),
-	.Y(n_5185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475176 (
-	.A(n_4806),
-	.B(n_4115),
-	.Y(n_5184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475177 (
-	.A(n_4805),
-	.B(n_4114),
-	.Y(n_5183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475178 (
-	.A(n_4804),
-	.B(n_4113),
-	.Y(n_5182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475179 (
-	.A(n_4803),
-	.B(n_4112),
-	.Y(n_5181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475180 (
-	.A(n_4802),
-	.B(n_4111),
-	.Y(n_5180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475181 (
-	.A(n_4801),
-	.B(n_4110),
-	.Y(n_5179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475182 (
-	.A(n_4800),
-	.B(n_4109),
-	.Y(n_5178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475183 (
-	.A(n_4799),
-	.B(n_4108),
-	.Y(n_5177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475184 (
-	.A(n_4798),
-	.B(n_4107),
-	.Y(n_5176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475185 (
-	.A(n_4797),
-	.B(n_4106),
-	.Y(n_5175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475186 (
-	.A(n_4796),
-	.B(n_4105),
-	.Y(n_5174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475187 (
-	.A(n_4795),
-	.B(n_4104),
-	.Y(n_5173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475188 (
-	.A(n_3321),
-	.B(n_4907),
-	.Y(n_5172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475189 (
-	.A(n_4793),
-	.B(n_4102),
-	.Y(n_5171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475190 (
-	.A(n_4792),
-	.B(n_4101),
-	.Y(n_5170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475191 (
-	.A(n_4791),
-	.B(n_4100),
-	.Y(n_5169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475192 (
-	.A(n_4790),
-	.B(n_4099),
-	.Y(n_5168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475193 (
-	.A(n_4789),
-	.B(n_4098),
-	.Y(n_5167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475194 (
-	.A(n_4788),
-	.B(n_4097),
-	.Y(n_5166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475195 (
-	.A(n_4787),
-	.B(n_4096),
-	.Y(n_5165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475196 (
-	.A(n_4786),
-	.B(n_4095),
-	.Y(n_5164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475197 (
-	.A(n_4785),
-	.B(n_4094),
-	.Y(n_5163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475198 (
-	.A(n_4784),
-	.B(n_4093),
-	.Y(n_5162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475199 (
-	.A(n_3323),
-	.B(n_4907),
-	.Y(n_5161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475200 (
-	.A(\soc_top_xbar_to_timer[a_address] [4]),
-	.B(n_4909),
-	.Y(n_5160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475201 (
-	.A(\soc_top_xbar_to_timer[a_address] [6]),
-	.B(n_4909),
-	.Y(n_5159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475202 (
-	.A(n_3325),
-	.B(n_4907),
-	.Y(n_5158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475203 (
-	.A(n_4773),
-	.B(n_3866),
-	.Y(n_5157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475204 (
-	.A(n_3316),
-	.B(n_4907),
-	.Y(n_5156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475205 (
-	.A(n_4772),
-	.B(n_3870),
-	.Y(n_5155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475206 (
-	.A1(n_675),
-	.A2(n_569),
-	.B1(n_4985),
-	.Y(n_5154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475207 (
-	.A(n_4771),
-	.B(n_3867),
-	.Y(n_5153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475208 (
-	.A1(n_674),
-	.A2(n_593),
-	.B1(n_4985),
-	.Y(n_5152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475209 (
-	.A(n_4770),
-	.B(n_3874),
-	.Y(n_5151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475210 (
-	.A(n_2344),
-	.B(n_4907),
-	.Y(n_5150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475211 (
-	.A(n_2342),
-	.B(n_4907),
-	.Y(n_5149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475212 (
-	.A(n_4769),
-	.B(n_3868),
-	.Y(n_5148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475213 (
-	.A(n_2341),
-	.B(n_4907),
-	.Y(n_5147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475214 (
-	.A(n_2330),
-	.B(n_4907),
-	.Y(n_5146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475215 (
-	.A(n_2340),
-	.B(n_4907),
-	.Y(n_5145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475216 (
-	.A1(n_672),
-	.A2(n_576),
-	.B1(n_4985),
-	.Y(n_5144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475217 (
-	.A1(n_670),
-	.A2(n_583),
-	.B1(n_4985),
-	.Y(n_5143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475218 (
-	.A1(n_669),
-	.A2(n_574),
-	.B1(n_4985),
-	.Y(n_5142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475219 (
-	.A(n_2339),
-	.B(n_4907),
-	.Y(n_5141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475220 (
-	.A(n_2334),
-	.B(n_4907),
-	.Y(n_5140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475221 (
-	.A(n_2346),
-	.B(n_4907),
-	.Y(n_5139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475222 (
-	.A(n_2348),
-	.B(n_4907),
-	.Y(n_5138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475223 (
-	.A(n_2332),
-	.B(n_4907),
-	.Y(n_5137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475224 (
-	.A(n_2338),
-	.B(n_4907),
-	.Y(n_5136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475225 (
-	.A(n_2336),
-	.B(n_4907),
-	.Y(n_5135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475226 (
-	.A(n_3330),
-	.B(n_4907),
-	.Y(n_5134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475227 (
-	.A(n_3328),
-	.B(n_4907),
-	.Y(n_5133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475228 (
-	.A(n_3331),
-	.B(n_4907),
-	.Y(n_5132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475229 (
-	.A(n_3327),
-	.B(n_4907),
-	.Y(n_5131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475230 (
-	.A(n_3326),
-	.B(n_4907),
-	.Y(n_5130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475231 (
-	.A(n_3332),
-	.B(n_4907),
-	.Y(n_5129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475232 (
-	.A(n_3311),
-	.B(n_4907),
-	.Y(n_5128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475233 (
-	.A(n_3312),
-	.B(n_4907),
-	.Y(n_5127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g475234 (
-	.A(n_4690),
-	.B_N(n_4932),
-	.Y(n_5260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475235 (
-	.A(n_4984),
-	.B(n_475),
-	.Y(n_5259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475236 (
-	.A(n_3698),
-	.B(n_4917),
-	.Y(n_5258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 g475237 (
-	.A(n_4487),
-	.B(n_4938),
-	.X(n_5257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475238 (
-	.A(n_400),
-	.B(n_4987),
-	.Y(n_5256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g475239 (
-	.A_N(n_4986),
-	.B(soc_top_u_pwm_pwm_core_counter_p1[10]),
-	.Y(n_5255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475240 (
-	.A(n_4920),
-	.B(n_4276),
-	.Y(n_5254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475242 (
-	.A(n_2072),
-	.B(n_4498),
-	.Y(n_5253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475243 (
-	.A(n_4934),
-	.B(n_749),
-	.Y(n_5252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g475244 (
-	.A(n_4691),
-	.B_N(n_4935),
-	.Y(n_5251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475245 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[9]),
-	.B(n_4988),
-	.Y(n_5250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g475256 (
-	.A(n_4506),
-	.B(n_4940),
-	.X(n_5248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475257 (
-	.A(n_872),
-	.B(n_4981),
-	.Y(n_5246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475258 (
-	.A(n_618),
-	.B(n_4980),
-	.Y(n_5245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g475259 (
-	.A1(n_4072),
-	.A2(n_1367),
-	.A3(\soc_top_u_top_u_core_imd_val_q_ex[1] [10]),
-	.B1(n_4774),
-	.Y(n_5117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475260 (
-	.A(n_2340),
-	.B(n_4905),
-	.Y(n_5116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475261 (
-	.A(n_2330),
-	.B(n_4905),
-	.Y(n_5115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475262 (
-	.A(n_2341),
-	.B(n_4905),
-	.Y(n_5114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475263 (
-	.A(n_2342),
-	.B(n_4905),
-	.Y(n_5113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475264 (
-	.A(n_2344),
-	.B(n_4905),
-	.Y(n_5112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475265 (
-	.A(n_3316),
-	.B(n_4905),
-	.Y(n_5111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475266 (
-	.A(n_3325),
-	.B(n_4905),
-	.Y(n_5110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475267 (
-	.A(n_3323),
-	.B(n_4905),
-	.Y(n_5109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475268 (
-	.A(n_3321),
-	.B(n_4905),
-	.Y(n_5108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475269 (
-	.A(n_3318),
-	.B(n_4905),
-	.Y(n_5107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475270 (
-	.A(n_3313),
-	.B(n_4905),
-	.Y(n_5106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475271 (
-	.A(n_3317),
-	.B(n_4905),
-	.Y(n_5105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475272 (
-	.A(n_3314),
-	.B(n_4905),
-	.Y(n_5104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g475273 (
-	.A(soc_top_u_top_u_core_fp_alu_op_mod),
-	.B(n_4705),
-	.X(n_5103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475274 (
-	.A1(n_573),
-	.A2(n_668),
-	.B1(n_4985),
-	.Y(n_5102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475275 (
-	.A1(n_582),
-	.A2(n_667),
-	.B1(n_4985),
-	.Y(n_5101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475276 (
-	.A(n_4768),
-	.B(n_3871),
-	.Y(n_5100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g475277 (
-	.A(soc_top_u_top_u_core_fp_alu_op_mod),
-	.B(n_4706),
-	.X(n_5099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475278 (
-	.A(n_4767),
-	.B(n_3878),
-	.Y(n_5098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475279 (
-	.A(n_4766),
-	.B(n_3850),
-	.Y(n_5097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g475280 (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [5]),
-	.A2_N(n_4294),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [5]),
-	.B2(n_4294),
-	.Y(n_5096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g475281 (
-	.A1_N(\soc_top_timer0_gen_harts[0].u_core_tick_count [5]),
-	.A2_N(n_4283),
-	.B1(\soc_top_timer0_gen_harts[0].u_core_tick_count [5]),
-	.B2(n_4283),
-	.Y(n_5095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475282 (
-	.A1(n_4733),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [19]),
-	.B1(n_16741),
-	.B2(n_4735),
-	.Y(n_5094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475283 (
-	.A1(n_599),
-	.A2(n_666),
-	.B1(n_4985),
-	.Y(n_5093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475284 (
-	.A1(n_586),
-	.A2(n_665),
-	.B1(n_4985),
-	.Y(n_5092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475285 (
-	.A1(n_676),
-	.A2(n_579),
-	.B1(n_4985),
-	.Y(n_5091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475286 (
-	.A1(n_575),
-	.A2(n_663),
-	.B1(n_4985),
-	.Y(n_5090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475287 (
-	.A1(n_578),
-	.A2(n_659),
-	.B1(n_4985),
-	.Y(n_5089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475288 (
-	.A1(n_572),
-	.A2(n_660),
-	.B1(n_4985),
-	.Y(n_5088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475289 (
-	.A1(n_570),
-	.A2(n_662),
-	.B1(n_4985),
-	.Y(n_5087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475290 (
-	.A1(n_594),
-	.A2(n_661),
-	.B1(n_4985),
-	.Y(n_5086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475291 (
-	.A(n_4765),
-	.B(n_3875),
-	.Y(n_5085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475292 (
-	.A1(n_4733),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [18]),
-	.B1(n_16740),
-	.B2(n_4735),
-	.Y(n_5084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475293 (
-	.A(n_4764),
-	.B(n_3872),
-	.Y(n_5083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g475294 (
-	.A(n_4750),
-	.B_N(n_4925),
-	.Y(n_5082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475295 (
-	.A(n_4776),
-	.B(n_3869),
-	.Y(n_5081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475296 (
-	.A(n_4777),
-	.B(n_3876),
-	.Y(n_5080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475297 (
-	.A1(n_4733),
-	.A2(soc_top_u_top_u_core_lsu_wdata[17]),
-	.B1(n_16739),
-	.B2(n_4735),
-	.Y(n_5079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475298 (
-	.A(n_4779),
-	.B(n_3879),
-	.Y(n_5078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475299 (
-	.A(n_4780),
-	.B(n_3877),
-	.Y(n_5077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475300 (
-	.A(n_4816),
-	.B(n_3873),
-	.Y(n_5076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475301 (
-	.A(n_4858),
-	.B(n_3864),
-	.Y(n_5075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475302 (
-	.A(n_4863),
-	.B(n_3863),
-	.Y(n_5074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475303 (
-	.A(n_4864),
-	.B(n_3862),
-	.Y(n_5073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475304 (
-	.A(n_4875),
-	.B(n_3861),
-	.Y(n_5072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475305 (
-	.A(n_4877),
-	.B(n_3860),
-	.Y(n_5071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475306 (
-	.A(n_4878),
-	.B(n_3859),
-	.Y(n_5070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475307 (
-	.A(n_4880),
-	.B(n_3857),
-	.Y(n_5069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475308 (
-	.A(n_4879),
-	.B(n_3858),
-	.Y(n_5068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475309 (
-	.A(n_4881),
-	.B(n_3856),
-	.Y(n_5067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475310 (
-	.A(n_4883),
-	.B(n_3855),
-	.Y(n_5066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475311 (
-	.A(n_4885),
-	.B(n_3854),
-	.Y(n_5065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475312 (
-	.A(n_4886),
-	.B(n_3853),
-	.Y(n_5064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475313 (
-	.A(n_4887),
-	.B(n_3852),
-	.Y(n_5063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475314 (
-	.A(n_4889),
-	.B(n_3851),
-	.Y(n_5062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475315 (
-	.A(n_4577),
-	.B(n_2166),
-	.C(n_3388),
-	.Y(n_5061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g475316 (
-	.A1(n_1373),
-	.A2(n_4066),
-	.B1(n_3865),
-	.C1(n_4579),
-	.Y(n_5060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g475317 (
-	.A1_N(n_797),
-	.A2_N(n_4730),
-	.B1(soc_top_u_top_u_core_rf_wdata_fwd_wb[27]),
-	.B2(n_617),
-	.Y(n_5059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g475318 (
-	.A1_N(n_608),
-	.A2_N(n_4730),
-	.B1(soc_top_u_top_u_core_rf_wdata_fwd_wb[29]),
-	.B2(n_617),
-	.Y(n_5058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g475319 (
-	.A1_N(n_814),
-	.A2_N(n_4730),
-	.B1(soc_top_u_top_u_core_rf_wdata_fwd_wb[11]),
-	.B2(n_617),
-	.Y(n_5057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g475320 (
-	.A1_N(n_850),
-	.A2_N(n_4730),
-	.B1(soc_top_u_top_u_core_rf_wdata_fwd_wb[19]),
-	.B2(n_617),
-	.Y(n_5056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g475321 (
-	.A1_N(n_799),
-	.A2_N(n_4730),
-	.B1(soc_top_u_top_u_core_rf_wdata_fwd_wb[21]),
-	.B2(n_617),
-	.Y(n_5055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475322 (
-	.A1(n_4733),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [16]),
-	.B1(n_16738),
-	.B2(n_4735),
-	.Y(n_5054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g475323 (
-	.A1(n_3715),
-	.A2(n_4744),
-	.B1_N(n_4932),
-	.Y(n_5053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475324 (
-	.A1(n_4733),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [15]),
-	.B1(n_16737),
-	.B2(n_4735),
-	.Y(n_5052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g475325 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [31]),
-	.A2(n_261),
-	.B1(n_3033),
-	.B2(n_17293),
-	.C1(n_4902),
-	.X(n_5051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475326 (
-	.A1(n_4733),
-	.A2(soc_top_u_top_u_core_lsu_wdata[13]),
-	.B1(n_16735),
-	.B2(n_4735),
-	.Y(n_5050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475327 (
-	.A1(n_4733),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [12]),
-	.B1(n_16734),
-	.B2(n_4735),
-	.Y(n_5049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g475328 (
-	.A1(n_4072),
-	.A2(n_1370),
-	.A3(\soc_top_u_top_u_core_imd_val_q_ex[1] [22]),
-	.B1(n_4782),
-	.Y(n_5048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475329 (
-	.A(n_2339),
-	.B(n_4905),
-	.Y(n_5047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475330 (
-	.A(n_4750),
-	.B(n_4269),
-	.C(n_3704),
-	.Y(n_5046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475331 (
-	.A1(n_16731),
-	.A2(n_4735),
-	.B1(n_253),
-	.B2(\soc_top_xbar_to_timer[a_address] [8]),
-	.Y(n_5045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475332 (
-	.A1(n_4745),
-	.A2(n_4741),
-	.B1(n_4276),
-	.Y(n_5044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475333 (
-	.A(n_4625),
-	.B(n_2000),
-	.C(n_1784),
-	.Y(n_5043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475334 (
-	.A(n_4624),
-	.B(n_2001),
-	.C(n_1804),
-	.Y(n_5042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475335 (
-	.A(n_4623),
-	.B(n_1992),
-	.C(n_1782),
-	.Y(n_5041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475336 (
-	.A(n_4622),
-	.B(n_1993),
-	.C(n_1766),
-	.Y(n_5040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475337 (
-	.A(n_4621),
-	.B(n_1994),
-	.C(n_1764),
-	.Y(n_5039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475338 (
-	.A(n_4620),
-	.B(n_1984),
-	.C(n_1765),
-	.Y(n_5038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475339 (
-	.A(n_4619),
-	.B(n_1989),
-	.C(n_1793),
-	.Y(n_5037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475340 (
-	.A(n_4618),
-	.B(n_2004),
-	.C(n_1797),
-	.Y(n_5036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475341 (
-	.A(n_4617),
-	.B(n_1995),
-	.C(n_1794),
-	.Y(n_5035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475342 (
-	.A(n_4616),
-	.B(n_1996),
-	.C(n_1752),
-	.Y(n_5034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475343 (
-	.A(n_4615),
-	.B(n_1990),
-	.C(n_1768),
-	.Y(n_5033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475344 (
-	.A(n_4614),
-	.B(n_1997),
-	.C(n_1792),
-	.Y(n_5032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475345 (
-	.A(n_4613),
-	.B(n_2006),
-	.C(n_1791),
-	.Y(n_5031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475346 (
-	.A(n_4612),
-	.B(n_1998),
-	.C(n_1781),
-	.Y(n_5030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475347 (
-	.A(n_4611),
-	.B(n_1991),
-	.C(n_1780),
-	.Y(n_5029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475348 (
-	.A(n_4610),
-	.B(n_1983),
-	.C(n_1755),
-	.Y(n_5028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475349 (
-	.A(n_4609),
-	.B(n_1999),
-	.C(n_1757),
-	.Y(n_5027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475350 (
-	.A(n_4608),
-	.B(n_2005),
-	.C(n_1767),
-	.Y(n_5026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g475351 (
-	.A1(n_1257),
-	.A2(n_630),
-	.B1(n_1777),
-	.C1(n_4473),
-	.D1(n_4434),
-	.Y(n_5025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475352 (
-	.A1(n_4734),
-	.A2(n_16679),
-	.B1(n_4003),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [22]),
-	.Y(n_5024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475353 (
-	.A1(n_4734),
-	.A2(n_16680),
-	.B1(n_617),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[23]),
-	.Y(n_5023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g475354 (
-	.A1(n_4688),
-	.A2(n_1082),
-	.B1(n_4482),
-	.B2(\soc_top_lsu_to_xbar[a_address] [23]),
-	.X(n_5022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475355 (
-	.A1(n_4733),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][2] ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [25]),
-	.B2(n_4735),
-	.Y(n_5021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475356 (
-	.A1(n_4733),
-	.A2(soc_top_u_top_u_core_lsu_wdata[26]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [26]),
-	.B2(n_4735),
-	.Y(n_5020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475357 (
-	.A1(n_4733),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][5] ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [28]),
-	.B2(n_4735),
-	.Y(n_5019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475358 (
-	.A1(n_4733),
-	.A2(soc_top_u_top_u_core_lsu_wdata[30]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [30]),
-	.B2(n_4735),
-	.Y(n_5018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g475359 (
-	.A1(n_4731),
-	.A2(soc_top_u_top_u_core_alu_operand_b_ex[31]),
-	.A3(n_15397),
-	.B1(n_2194),
-	.B2(n_4496),
-	.Y(n_5017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g475360 (
-	.A1(n_1819),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [0]),
-	.B1(n_1820),
-	.B2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [0]),
-	.C1(n_4718),
-	.Y(n_5016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g475361 (
-	.A1(n_1820),
-	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [1]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [1]),
-	.C1(n_4713),
-	.Y(n_5015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g475362 (
-	.A1(n_1821),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [2]),
-	.B1(n_1820),
-	.B2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [2]),
-	.C1(n_4714),
-	.Y(n_5014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g475363 (
-	.A1(n_1820),
-	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [3]),
-	.B1(n_1819),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [3]),
-	.C1(n_4715),
-	.Y(n_5013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g475364 (
-	.A1(n_1820),
-	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [4]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [4]),
-	.C1(n_4716),
-	.Y(n_5012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g475365 (
-	.A1(n_1820),
-	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [5]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [5]),
-	.C1(n_4717),
-	.Y(n_5011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g475366 (
-	.A1(n_1820),
-	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [6]),
-	.B1(n_1819),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [6]),
-	.C1(n_4712),
-	.Y(n_5010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g475367 (
-	.A1(n_1820),
-	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [7]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [7]),
-	.C1(n_4687),
-	.Y(n_5009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g475368 (
-	.A1(n_1819),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [8]),
-	.B1(n_1820),
-	.B2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [8]),
-	.C1(n_4686),
-	.Y(n_5008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g475369 (
-	.A1(n_1819),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [9]),
-	.B1(n_1820),
-	.B2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [9]),
-	.C1(n_4685),
-	.Y(n_5007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g475370 (
-	.A1(n_1820),
-	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [10]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [10]),
-	.C1(n_4684),
-	.Y(n_5006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g475371 (
-	.A1(n_1820),
-	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [11]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [11]),
-	.C1(n_4683),
-	.Y(n_5005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g475372 (
-	.A1(n_1820),
-	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [12]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [12]),
-	.C1(n_4682),
-	.Y(n_5004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g475373 (
-	.A1(n_1819),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [13]),
-	.B1(n_1820),
-	.B2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [13]),
-	.C1(n_4681),
-	.Y(n_5003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g475374 (
-	.A1(n_1820),
-	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [14]),
-	.B1(n_1819),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [14]),
-	.C1(n_4680),
-	.Y(n_5002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g475375 (
-	.A1(n_1820),
-	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [15]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [15]),
-	.C1(n_4679),
-	.Y(n_5001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475376 (
-	.A1(n_4733),
-	.A2(soc_top_u_top_u_core_lsu_wdata[29]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [29]),
-	.B2(n_4735),
-	.Y(n_5000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475377 (
-	.A1(n_4733),
-	.A2(soc_top_u_top_u_core_lsu_wdata[27]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [27]),
-	.B2(n_4735),
-	.Y(n_4999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g475378 (
-	.A1(n_2665),
-	.A2(\soc_top_intr_controller_reg2hw[prio27][q] [0]),
-	.B1(n_2058),
-	.B2(\soc_top_intr_controller_reg2hw[prio30][q] [0]),
-	.C1(n_4655),
-	.X(n_4998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475379 (
-	.A1(n_16724),
-	.A2(n_4735),
-	.B1(n_4734),
-	.B2(n_16659),
-	.Y(n_4997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g475380 (
-	.A(soc_top_u_top_u_core_fp_alu_op_mod),
-	.B(n_4707),
-	.Y(n_4996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475381 (
-	.A1(n_4688),
-	.A2(n_1087),
-	.B1(n_4482),
-	.B2(soc_top_u_top_u_core_alu_adder_result_ex[0]),
-	.Y(n_4995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475382 (
-	.A1(n_16723),
-	.A2(n_4735),
-	.B1(n_4734),
-	.B2(n_16658),
-	.Y(n_4994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475383 (
-	.A1(n_4734),
-	.A2(n_16660),
-	.B1(n_253),
-	.B2(\soc_top_xbar_to_timer[a_address] [2]),
-	.Y(n_4993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475384 (
-	.A1(n_4734),
-	.A2(n_16661),
-	.B1(n_4003),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [4]),
-	.Y(n_4992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475385 (
-	.A1(n_4734),
-	.A2(n_16662),
-	.B1(n_253),
-	.B2(\soc_top_xbar_to_timer[a_address] [4]),
-	.Y(n_4991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475386 (
-	.A1(n_4734),
-	.A2(n_16663),
-	.B1(n_4003),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [6]),
-	.Y(n_4990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475387 (
-	.A1(n_16729),
-	.A2(n_4735),
-	.B1(n_4003),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [7]),
-	.Y(n_4989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475388 (
-	.A(n_4922),
-	.B(n_4741),
-	.Y(n_5126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475389 (
-	.A(n_4882),
-	.B(n_4016),
-	.Y(n_5125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475391 (
-	.A(n_4920),
-	.B(n_4489),
-	.Y(n_5124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475392 (
-	.A1(n_4287),
-	.A2(n_626),
-	.B1(n_1364),
-	.Y(n_5123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g475393 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[1][is_nan] ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_nan] ),
-	.A3(n_4493),
-	.B1(n_4913),
-	.Y(n_5122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475394 (
-	.A(n_4937),
-	.B(n_4922),
-	.Y(n_5121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475395 (
-	.A(n_4919),
-	.B(n_4266),
-	.Y(n_5120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475396 (
-	.A(n_4268),
-	.B(n_4917),
-	.Y(n_5119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g475397 (
-	.A(n_4277),
-	.B(n_4274),
-	.C(n_4276),
-	.D(n_4509),
-	.Y(n_5118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g475401 (
-	.A(n_4983),
-	.Y(n_4982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g475402 (
-	.A(n_766),
-	.B(n_1226),
-	.CIN(n_3712),
-	.COUT(n_4976),
-	.SUM(n_4977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g475403 (
-	.A(n_914),
-	.B(n_1224),
-	.CIN(n_3788),
-	.COUT(n_4974),
-	.SUM(n_4975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g475404 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [19]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [10]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [9]),
-	.D(n_4257),
-	.X(n_4973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475405 (
-	.A1(n_833),
-	.A2(n_662),
-	.B1(n_4739),
-	.Y(n_4972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475406 (
-	.A1(n_676),
-	.A2(n_827),
-	.B1(n_4739),
-	.Y(n_4971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475407 (
-	.A1(n_801),
-	.A2(n_665),
-	.B1(n_4739),
-	.Y(n_4970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475408 (
-	.A1(n_800),
-	.A2(n_666),
-	.B1(n_4739),
-	.Y(n_4969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475409 (
-	.A1(n_852),
-	.A2(n_667),
-	.B1(n_4739),
-	.Y(n_4968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475410 (
-	.A1(n_611),
-	.A2(n_668),
-	.B1(n_4739),
-	.Y(n_4967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475411 (
-	.A1(n_669),
-	.A2(n_846),
-	.B1(n_4739),
-	.Y(n_4966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475412 (
-	.A1(n_670),
-	.A2(n_821),
-	.B1(n_4739),
-	.Y(n_4965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475413 (
-	.A1(n_672),
-	.A2(n_826),
-	.B1(n_4739),
-	.Y(n_4964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475414 (
-	.A1(n_854),
-	.A2(n_659),
-	.B1(n_4739),
-	.Y(n_4963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475415 (
-	.A1(n_673),
-	.A2(n_558),
-	.B1(n_4736),
-	.Y(n_4962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475416 (
-	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [0]),
-	.B(n_4689),
-	.Y(n_4961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475417 (
-	.A(n_16744),
-	.B(n_4735),
-	.Y(n_4960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g475418 (
-	.A(n_4689),
-	.B_N(n_976),
-	.Y(n_4959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g475419 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [19]),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [10]),
-	.C(\soc_top_u_top_u_core_fp_operands[0] [9]),
-	.D(n_4258),
-	.X(n_4958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475420 (
-	.A1(n_778),
-	.A2(n_663),
-	.B1(n_4739),
-	.Y(n_4957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475421 (
-	.A(n_1382),
-	.B(n_4638),
-	.Y(n_4956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475422 (
-	.A(n_4734),
-	.B(n_16657),
-	.Y(n_4955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475423 (
-	.A(n_16725),
-	.B(n_4735),
-	.Y(n_4954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475424 (
-	.A(n_16726),
-	.B(n_4735),
-	.Y(n_4953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475425 (
-	.A(n_16727),
-	.B(n_4735),
-	.Y(n_4952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475426 (
-	.A(n_16728),
-	.B(n_4735),
-	.Y(n_4951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475427 (
-	.A(n_4734),
-	.B(n_16664),
-	.Y(n_4950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475428 (
-	.A(n_4734),
-	.B(n_16666),
-	.Y(n_4949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475429 (
-	.A(n_2227),
-	.B(n_4689),
-	.Y(n_4948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475430 (
-	.A(n_3643),
-	.B(n_4689),
-	.Y(n_4947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475431 (
-	.A(n_4476),
-	.B(n_4689),
-	.Y(n_4946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475432 (
-	.A(n_4477),
-	.B(n_3785),
-	.Y(n_4945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475433 (
-	.A(n_4389),
-	.B(n_626),
-	.Y(n_4944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475434 (
-	.A1(n_782),
-	.A2(n_661),
-	.B1(n_4739),
-	.Y(n_4943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475435 (
-	.A1(n_802),
-	.A2(n_660),
-	.B1(n_4739),
-	.Y(n_4942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g475495 (
-	.A_N(soc_top_main_swith_host_lsu_num_req_outstanding[8]),
-	.B(n_4287),
-	.Y(n_4988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g475508 (
-	.A(n_395),
-	.B(n_4282),
-	.X(n_4987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g475511 (
-	.A_N(n_4753),
-	.B(soc_top_u_pwm_pwm_core_counter_p1[9]),
-	.Y(n_4986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475567 (
-	.A(n_4749),
-	.B(n_364),
-	.Y(n_4985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475568 (
-	.A(n_4757),
-	.B(n_374),
-	.Y(n_4984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475569 (
-	.A(n_15062),
-	.B(n_4758),
-	.Y(n_4983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475570 (
-	.A(n_4760),
-	.B(n_872),
-	.Y(n_4981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475571 (
-	.A(n_4760),
-	.B(n_618),
-	.Y(n_4980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475572 (
-	.A(n_4749),
-	.B(n_486),
-	.Y(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g475573 (
-	.A(soc_top_u_pwm_pwm_core_n_1204),
-	.B(n_4758),
-	.Y(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g475574 (
-	.A(n_4934),
-	.Y(n_4933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g475575 (
-	.A(n_4928),
-	.Y(n_4927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g475576 (
-	.A(n_4922),
-	.Y(n_4923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g475577 (
-	.A(n_4920),
-	.Y(n_4919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g475578 (
-	.A(n_4918),
-	.Y(n_4917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g475579 (
-	.A(n_4914),
-	.Y(n_4913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g475580 (
-	.A(n_4907),
-	.Y(n_4906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g475581 (
-	.A(n_4905),
-	.Y(n_4904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g475582 (
-	.A1(n_329),
-	.A2(n_4480),
-	.B1(n_2036),
-	.B2(n_3690),
-	.Y(n_4902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g475583 (
-	.A1(n_1635),
-	.A2(n_3711),
-	.B1(n_14271),
-	.B2(n_3383),
-	.C1(n_4640),
-	.Y(n_4901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g475584 (
-	.A1(n_4086),
-	.A2(n_16210),
-	.A3(n_14905),
-	.B1(n_14897),
-	.Y(n_4900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475586 (
-	.A(n_4604),
-	.B(n_2008),
-	.Y(n_4898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g475587 (
-	.A1(soc_top_u_pwm_pwm_core_counter_p1[8]),
-	.A2(n_4510),
-	.B1(soc_top_u_pwm_pwm_core_counter_p1[7]),
-	.B2(n_304),
-	.Y(n_4897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g475588 (
-	.A1(n_1380),
-	.A2(n_4461),
-	.B1(n_1377),
-	.B2(n_3784),
-	.Y(n_4896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475589 (
-	.A1(n_4482),
-	.A2(\soc_top_lsu_to_xbar[a_address] [31]),
-	.B1(n_253),
-	.B2(\soc_top_lsu_to_xbar[a_address] [30]),
-	.Y(n_4895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475590 (
-	.A1(n_955),
-	.A2(n_414),
-	.B1(n_4195),
-	.B2(n_1713),
-	.C1(n_1293),
-	.C2(soc_top_u_pwm_pwm_core_period_counter1[7]),
-	.Y(n_4894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g475591 (
-	.A1(n_4069),
-	.A2(n_1375),
-	.A3(\soc_top_u_top_u_core_imd_val_q_ex[1] [11]),
-	.B1(n_4465),
-	.B2(n_1367),
-	.Y(n_4893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g475592 (
-	.A1(n_4069),
-	.A2(n_1383),
-	.A3(\soc_top_u_top_u_core_imd_val_q_ex[1] [23]),
-	.B1(n_4464),
-	.B2(n_1370),
-	.Y(n_4892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475593 (
-	.A1(n_741),
-	.A2(n_418),
-	.B1(n_4196),
-	.B2(n_1717),
-	.C1(n_1295),
-	.C2(soc_top_u_pwm_pwm_core_period_counter2[7]),
-	.Y(n_4891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475594 (
-	.A1(n_675),
-	.A2(n_587),
-	.B1(n_4736),
-	.Y(n_4890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475595 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
-	.A2(n_4264),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
-	.C1(n_4010),
-	.C2(n_1381),
-	.Y(n_4889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475596 (
-	.A1(n_674),
-	.A2(n_600),
-	.B1(n_4736),
-	.Y(n_4888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475597 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
-	.A2(n_4264),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
-	.C1(n_4014),
-	.C2(n_1381),
-	.Y(n_4887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475598 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
-	.A2(n_4264),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
-	.C1(n_4067),
-	.C2(n_1381),
-	.Y(n_4886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475599 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
-	.A2(n_4264),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
-	.C1(n_4070),
-	.C2(n_1383),
-	.Y(n_4885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475600 (
-	.A(n_4741),
-	.B(n_4269),
-	.Y(n_4884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475601 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
-	.A2(n_4264),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
-	.C1(n_4010),
-	.C2(n_1383),
-	.Y(n_4883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475602 (
-	.A(n_4008),
-	.B(n_4752),
-	.Y(n_4882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475603 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
-	.A2(n_4264),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
-	.C1(n_4014),
-	.C2(n_1383),
-	.Y(n_4881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g475604 (
-	.A1(n_4264),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
-	.C1(n_4214),
-	.Y(n_4880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475605 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
-	.A2(n_4264),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
-	.C1(n_4070),
-	.C2(n_1370),
-	.Y(n_4879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475606 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
-	.A2(n_4264),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
-	.C1(n_4010),
-	.C2(n_1370),
-	.Y(n_4878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475607 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
-	.A2(n_4264),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
-	.C1(n_4014),
-	.C2(n_1370),
-	.Y(n_4877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475608 (
-	.A1(n_672),
-	.A2(n_585),
-	.B1(n_4736),
-	.Y(n_4876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475609 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
-	.A2(n_4264),
-	.B1(n_3385),
-	.B2(n_17347),
-	.C1(n_4067),
-	.C2(n_1370),
-	.Y(n_4875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475610 (
-	.A1(n_581),
-	.A2(n_666),
-	.B1(n_4736),
-	.Y(n_4874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475611 (
-	.A1(n_602),
-	.A2(n_665),
-	.B1(n_4736),
-	.Y(n_4873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475612 (
-	.A1(n_15388),
-	.A2(soc_top_u_top_u_core_alu_operand_b_ex[22]),
-	.B1(n_4729),
-	.Y(n_4872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475613 (
-	.A(n_567),
-	.B(n_4730),
-	.Y(n_4871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475614 (
-	.A1(n_15390),
-	.A2(soc_top_u_top_u_core_alu_operand_b_ex[24]),
-	.B1(n_4729),
-	.Y(n_4870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475615 (
-	.A(n_859),
-	.B(n_4730),
-	.Y(n_4869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475616 (
-	.A(n_810),
-	.B(n_4730),
-	.Y(n_4868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475617 (
-	.A(n_803),
-	.B(n_4730),
-	.Y(n_4867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475618 (
-	.A1(n_15396),
-	.A2(soc_top_u_top_u_core_alu_operand_b_ex[30]),
-	.B1(n_4729),
-	.Y(n_4866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475619 (
-	.A1(n_15397),
-	.A2(soc_top_u_top_u_core_alu_operand_b_ex[31]),
-	.B1(n_4729),
-	.Y(n_4865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475620 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
-	.A2(n_4264),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
-	.C1(n_4070),
-	.C2(n_1372),
-	.Y(n_4864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475621 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
-	.A2(n_4264),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
-	.C1(n_4010),
-	.C2(n_1372),
-	.Y(n_4863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475622 (
-	.A1(n_15366),
-	.A2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B1(n_4729),
-	.Y(n_4862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475623 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[1]),
-	.A2(n_15367),
-	.B1(n_4729),
-	.Y(n_4861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475624 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[2]),
-	.A2(n_15368),
-	.B1(n_4729),
-	.Y(n_4860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475625 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[3]),
-	.A2(n_15369),
-	.B1(n_4729),
-	.Y(n_4859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475626 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
-	.A2(n_4264),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
-	.C1(n_4014),
-	.C2(n_1372),
-	.Y(n_4858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475627 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[4]),
-	.A2(n_15370),
-	.B1(n_4729),
-	.Y(n_4857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475628 (
-	.A1(soc_top_u_top_u_core_csr_addr[5]),
-	.A2(n_15371),
-	.B1(n_4729),
-	.Y(n_4856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475629 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[6]),
-	.A2(n_15372),
-	.B1(n_4729),
-	.Y(n_4855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475630 (
-	.A1(soc_top_u_top_u_core_csr_addr[7]),
-	.A2(n_15373),
-	.B1(n_4729),
-	.Y(n_4854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475631 (
-	.A1(n_15374),
-	.A2(soc_top_u_top_u_core_alu_operand_b_ex[8]),
-	.B1(n_4729),
-	.Y(n_4853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475632 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[9]),
-	.A2(n_15375),
-	.B1(n_4729),
-	.Y(n_4852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475633 (
-	.A1(n_15376),
-	.A2(soc_top_u_top_u_core_alu_operand_b_ex[10]),
-	.B1(n_4729),
-	.Y(n_4851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475634 (
-	.A1(soc_top_u_top_u_core_alu_operand_b_ex[12]),
-	.A2(n_15378),
-	.B1(n_4729),
-	.Y(n_4850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475635 (
-	.A(n_842),
-	.B(n_4730),
-	.Y(n_4849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475636 (
-	.A1(n_15380),
-	.A2(soc_top_u_top_u_core_alu_operand_b_ex[14]),
-	.B1(n_4729),
-	.Y(n_4848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475637 (
-	.A(n_584),
-	.B(n_4730),
-	.Y(n_4847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475638 (
-	.A(n_613),
-	.B(n_4730),
-	.Y(n_4846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475639 (
-	.A(n_832),
-	.B(n_4730),
-	.Y(n_4845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475640 (
-	.A(n_838),
-	.B(n_4730),
-	.Y(n_4844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475641 (
-	.A1(n_15386),
-	.A2(soc_top_u_top_u_core_alu_operand_b_ex[20]),
-	.B1(n_4729),
-	.Y(n_4843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g475642 (
-	.A1(n_3385),
-	.A2(n_17359),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
-	.C1(n_4578),
-	.X(n_4842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g475643 (
-	.A_N(n_2053),
-	.B(n_4143),
-	.C(n_2450),
-	.D(n_1852),
-	.Y(n_4841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g475644 (
-	.A(n_16284),
-	.B(n_16239),
-	.C(n_974),
-	.D(n_17450),
-	.Y(n_4840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475645 (
-	.A1(n_4198),
-	.A2(n_1355),
-	.B1(n_1356),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[4]),
-	.Y(n_4839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g475646 (
-	.A(n_4606),
-	.B(n_3163),
-	.C(n_2935),
-	.D(n_2548),
-	.Y(n_4838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475647 (
-	.A(n_4731),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[21]),
-	.C(n_15387),
-	.Y(n_4837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475648 (
-	.A(n_4731),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[22]),
-	.C(n_15388),
-	.Y(n_4836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475649 (
-	.A(n_4731),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[23]),
-	.C(n_15389),
-	.Y(n_4835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475650 (
-	.A(n_4731),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[26]),
-	.C(n_15392),
-	.Y(n_4834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475651 (
-	.A(n_4731),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[27]),
-	.C(n_15393),
-	.Y(n_4833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475652 (
-	.A(n_4731),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[28]),
-	.C(n_15394),
-	.Y(n_4832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475653 (
-	.A(n_4731),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[29]),
-	.C(n_15395),
-	.Y(n_4831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475654 (
-	.A(n_4731),
-	.B(n_15369),
-	.C(soc_top_u_top_u_core_alu_operand_b_ex[3]),
-	.Y(n_4830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475655 (
-	.A(n_4731),
-	.B(n_15370),
-	.C(soc_top_u_top_u_core_alu_operand_b_ex[4]),
-	.Y(n_4829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475656 (
-	.A(n_4731),
-	.B(n_15371),
-	.C(soc_top_u_top_u_core_csr_addr[5]),
-	.Y(n_4828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475657 (
-	.A(n_4731),
-	.B(n_15372),
-	.C(soc_top_u_top_u_core_alu_operand_b_ex[6]),
-	.Y(n_4827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475658 (
-	.A(n_4731),
-	.B(n_15373),
-	.C(soc_top_u_top_u_core_csr_addr[7]),
-	.Y(n_4826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475659 (
-	.A(n_4731),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[8]),
-	.C(n_15374),
-	.Y(n_4825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475660 (
-	.A(n_4731),
-	.B(n_15375),
-	.C(soc_top_u_top_u_core_alu_operand_b_ex[9]),
-	.Y(n_4824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475661 (
-	.A(n_4731),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[11]),
-	.C(n_15377),
-	.Y(n_4823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475662 (
-	.A(n_4731),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[13]),
-	.C(n_15379),
-	.Y(n_4822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475663 (
-	.A(n_4731),
-	.B(n_15381),
-	.C(soc_top_u_top_u_core_alu_operand_b_ex[15]),
-	.Y(n_4821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475664 (
-	.A(n_4731),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[16]),
-	.C(n_15382),
-	.Y(n_4820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475665 (
-	.A(n_4731),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[17]),
-	.C(n_15383),
-	.Y(n_4819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475666 (
-	.A(n_4731),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[18]),
-	.C(n_15384),
-	.Y(n_4818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475667 (
-	.A(n_4731),
-	.B(n_15385),
-	.C(soc_top_u_top_u_core_alu_operand_b_ex[19]),
-	.Y(n_4817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475668 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
-	.A2(n_4264),
-	.B1(n_3385),
-	.B2(n_17335),
-	.C1(n_4067),
-	.C2(n_1367),
-	.Y(n_4816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475669 (
-	.A1(n_456),
-	.A2(n_4438),
-	.B1(n_15187),
-	.B2(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_rf_we_wb_q ),
-	.Y(n_4815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475670 (
-	.A1(n_17263),
-	.A2(n_3691),
-	.B1(n_261),
-	.B2(soc_top_u_top_u_core_alu_adder_result_ex[0]),
-	.C1(n_4481),
-	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.Y(n_4814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475671 (
-	.A1(n_17264),
-	.A2(n_3691),
-	.B1(soc_top_u_top_u_core_alu_adder_result_ex[1]),
-	.B2(n_261),
-	.C1(n_4481),
-	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [1]),
-	.Y(n_4813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475672 (
-	.A1(n_17265),
-	.A2(n_3691),
-	.B1(\soc_top_xbar_to_timer[a_address] [2]),
-	.B2(n_261),
-	.C1(n_4481),
-	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
-	.Y(n_4812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475673 (
-	.A1(n_17266),
-	.A2(n_3691),
-	.B1(\soc_top_xbar_to_timer[a_address] [3]),
-	.B2(n_261),
-	.C1(n_4481),
-	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
-	.Y(n_4811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475674 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
-	.A2(n_4481),
-	.B1(n_3691),
-	.B2(n_17267),
-	.C1(\soc_top_xbar_to_timer[a_address] [4]),
-	.C2(n_261),
-	.Y(n_4810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475675 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
-	.A2(n_4481),
-	.B1(n_3691),
-	.B2(n_17268),
-	.C1(\soc_top_xbar_to_timer[a_address] [5]),
-	.C2(n_261),
-	.Y(n_4809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475676 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
-	.A2(n_4481),
-	.B1(n_3691),
-	.B2(n_17269),
-	.C1(\soc_top_xbar_to_timer[a_address] [6]),
-	.C2(n_261),
-	.Y(n_4808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475677 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
-	.A2(n_4481),
-	.B1(n_3691),
-	.B2(n_17270),
-	.C1(\soc_top_xbar_to_timer[a_address] [7]),
-	.C2(n_261),
-	.Y(n_4807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475678 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
-	.A2(n_4481),
-	.B1(n_3691),
-	.B2(n_17271),
-	.C1(\soc_top_xbar_to_timer[a_address] [8]),
-	.C2(n_261),
-	.Y(n_4806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475679 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
-	.A2(n_4481),
-	.B1(n_3691),
-	.B2(n_17272),
-	.C1(\soc_top_lsu_to_xbar[a_address] [9]),
-	.C2(n_261),
-	.Y(n_4805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475680 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
-	.A2(n_4481),
-	.B1(n_3691),
-	.B2(n_17273),
-	.C1(\soc_top_lsu_to_xbar[a_address] [10]),
-	.C2(n_261),
-	.Y(n_4804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475681 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
-	.A2(n_4481),
-	.B1(n_3691),
-	.B2(n_17274),
-	.C1(\soc_top_lsu_to_xbar[a_address] [11]),
-	.C2(n_261),
-	.Y(n_4803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475682 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
-	.A2(n_4481),
-	.B1(n_3691),
-	.B2(n_17275),
-	.C1(\soc_top_lsu_to_xbar[a_address] [12]),
-	.C2(n_261),
-	.Y(n_4802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475683 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
-	.A2(n_4481),
-	.B1(n_3691),
-	.B2(n_17276),
-	.C1(\soc_top_lsu_to_xbar[a_address] [13]),
-	.C2(n_261),
-	.Y(n_4801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475684 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
-	.A2(n_4481),
-	.B1(n_3691),
-	.B2(n_17277),
-	.C1(soc_top_u_top_u_core_alu_adder_result_ex[14]),
-	.C2(n_261),
-	.Y(n_4800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475685 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
-	.A2(n_4481),
-	.B1(n_3691),
-	.B2(n_17278),
-	.C1(soc_top_u_top_u_core_alu_adder_result_ex[15]),
-	.C2(n_261),
-	.Y(n_4799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475686 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
-	.A2(n_4481),
-	.B1(n_3691),
-	.B2(n_17279),
-	.C1(\soc_top_lsu_to_xbar[a_address] [16]),
-	.C2(n_261),
-	.Y(n_4798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475687 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
-	.A2(n_4481),
-	.B1(n_3691),
-	.B2(n_17280),
-	.C1(\soc_top_lsu_to_xbar[a_address] [17]),
-	.C2(n_261),
-	.Y(n_4797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475688 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
-	.A2(n_4481),
-	.B1(n_3691),
-	.B2(n_17281),
-	.C1(\soc_top_lsu_to_xbar[a_address] [18]),
-	.C2(n_261),
-	.Y(n_4796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475689 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
-	.A2(n_4481),
-	.B1(n_3691),
-	.B2(n_17282),
-	.C1(\soc_top_lsu_to_xbar[a_address] [19]),
-	.C2(n_261),
-	.Y(n_4795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475690 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
-	.A2(n_4481),
-	.B1(n_3691),
-	.B2(n_17283),
-	.C1(\soc_top_lsu_to_xbar[a_address] [20]),
-	.C2(n_261),
-	.Y(n_4794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475691 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
-	.A2(n_4481),
-	.B1(n_3691),
-	.B2(n_17284),
-	.C1(\soc_top_lsu_to_xbar[a_address] [21]),
-	.C2(n_261),
-	.Y(n_4793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475692 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
-	.A2(n_4481),
-	.B1(n_3691),
-	.B2(n_17285),
-	.C1(\soc_top_lsu_to_xbar[a_address] [22]),
-	.C2(n_261),
-	.Y(n_4792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475693 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
-	.A2(n_4481),
-	.B1(n_3691),
-	.B2(n_17286),
-	.C1(\soc_top_lsu_to_xbar[a_address] [23]),
-	.C2(n_261),
-	.Y(n_4791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475694 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
-	.A2(n_4481),
-	.B1(n_3691),
-	.B2(n_17287),
-	.C1(\soc_top_lsu_to_xbar[a_address] [24]),
-	.C2(n_261),
-	.Y(n_4790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475695 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
-	.A2(n_4481),
-	.B1(n_3691),
-	.B2(n_17288),
-	.C1(\soc_top_lsu_to_xbar[a_address] [25]),
-	.C2(n_261),
-	.Y(n_4789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475696 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
-	.A2(n_4481),
-	.B1(n_3691),
-	.B2(n_17289),
-	.C1(\soc_top_lsu_to_xbar[a_address] [26]),
-	.C2(n_261),
-	.Y(n_4788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475697 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
-	.A2(n_4481),
-	.B1(n_3691),
-	.B2(n_17290),
-	.C1(\soc_top_lsu_to_xbar[a_address] [27]),
-	.C2(n_261),
-	.Y(n_4787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475698 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
-	.A2(n_4481),
-	.B1(n_3691),
-	.B2(n_17291),
-	.C1(n_17561),
-	.C2(n_261),
-	.Y(n_4786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475699 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
-	.A2(n_4481),
-	.B1(n_3691),
-	.B2(n_17292),
-	.C1(\soc_top_lsu_to_xbar[a_address] [29]),
-	.C2(n_261),
-	.Y(n_4785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475700 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
-	.A2(n_4481),
-	.B1(n_3691),
-	.B2(n_17293),
-	.C1(\soc_top_lsu_to_xbar[a_address] [30]),
-	.C2(n_261),
-	.Y(n_4784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475701 (
-	.A1(n_1929),
-	.A2(n_4075),
-	.B1(n_4575),
-	.Y(n_4783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g475702 (
-	.A1(n_1373),
-	.A2(n_4467),
-	.B1(n_1373),
-	.B2(n_4256),
-	.C1(n_4385),
-	.Y(n_4782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g475703 (
-	.A1(n_1431),
-	.A2(n_928),
-	.B1(n_1450),
-	.B2(n_905),
-	.C1(n_4607),
-	.Y(n_4781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475704 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
-	.A2(n_4264),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
-	.C1(n_4067),
-	.C2(n_1378),
-	.Y(n_4780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475705 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
-	.A2(n_4264),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
-	.C1(n_4010),
-	.C2(n_1376),
-	.Y(n_4779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475706 (
-	.A1(n_1377),
-	.A2(n_4066),
-	.B1(n_4580),
-	.Y(n_4778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475707 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
-	.A2(n_4264),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
-	.C1(n_4014),
-	.C2(n_1378),
-	.Y(n_4777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475708 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
-	.A2(n_4264),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
-	.C1(n_4067),
-	.C2(n_1375),
-	.Y(n_4776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g475709 (
-	.A1(n_4387),
-	.A2(n_3225),
-	.B1(soc_top_u_pwm_pwm_core_period[8]),
-	.B2(n_394),
-	.Y(n_4775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g475710 (
-	.A1(n_1374),
-	.A2(n_4468),
-	.B1(n_1373),
-	.B2(n_4255),
-	.C1(n_4429),
-	.Y(n_4774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475711 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
-	.A2(n_4264),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
-	.C1(n_4070),
-	.C2(n_1375),
-	.Y(n_4773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475712 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
-	.A2(n_4264),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
-	.C1(n_4070),
-	.C2(n_1367),
-	.Y(n_4772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475713 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
-	.A2(n_4264),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
-	.C1(n_4010),
-	.C2(n_1375),
-	.Y(n_4771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475714 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
-	.A2(n_4264),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
-	.C1(n_4070),
-	.C2(n_1378),
-	.Y(n_4770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475715 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
-	.A2(n_4264),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
-	.C1(n_4014),
-	.C2(n_1375),
-	.Y(n_4769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475716 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
-	.A2(n_4264),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
-	.C1(n_4010),
-	.C2(n_1367),
-	.Y(n_4768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475717 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
-	.A2(n_4264),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
-	.C1(n_4070),
-	.C2(n_1376),
-	.Y(n_4767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475718 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
-	.A2(n_4264),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
-	.C1(n_4070),
-	.C2(n_1381),
-	.Y(n_4766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475719 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
-	.A2(n_4264),
-	.B1(n_3385),
-	.B2(n_17333),
-	.C1(n_4010),
-	.C2(n_1378),
-	.Y(n_4765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475720 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
-	.A2(n_4264),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
-	.C1(n_4014),
-	.C2(n_1367),
-	.Y(n_4764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g475721 (
-	.A(n_4752),
-	.B(n_4009),
-	.X(n_4941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 g475722 (
-	.A1(n_652),
-	.A2(n_2139),
-	.A3(n_14909),
-	.B1(n_3552),
-	.B2(n_4493),
-	.X(n_4940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475724 (
-	.A(n_4489),
-	.B(n_4744),
-	.Y(n_4938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475725 (
-	.A(n_4756),
-	.B(n_4007),
-	.Y(n_4937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475726 (
-	.A(n_4745),
-	.B(n_4742),
-	.Y(n_4936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475727 (
-	.A(n_4744),
-	.B(n_4007),
-	.Y(n_4935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g475728 (
-	.A(n_4497),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_subnormal] ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_zero] ),
-	.Y(n_4934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475729 (
-	.A(n_4268),
-	.B(n_4744),
-	.Y(n_4932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g475730 (
-	.A_N(n_4283),
-	.B(\soc_top_timer0_gen_harts[0].u_core_tick_count [5]),
-	.Y(n_4931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475731 (
-	.A(n_4742),
-	.B(n_4268),
-	.Y(n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475732 (
-	.A(n_4006),
-	.B(n_4748),
-	.Y(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475733 (
-	.A(n_4763),
-	.B(n_4742),
-	.Y(n_4928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475734 (
-	.A(n_4692),
-	.B(n_4268),
-	.Y(n_4926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g475735 (
-	.A(n_4756),
-	.B(n_4009),
-	.X(n_4925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475736 (
-	.A(n_4265),
-	.B(n_4692),
-	.Y(n_4924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475737 (
-	.A(n_4747),
-	.B(n_4009),
-	.Y(n_4922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475738 (
-	.A(n_4741),
-	.B(n_4268),
-	.Y(n_4921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475739 (
-	.A(n_4267),
-	.B(n_4744),
-	.Y(n_4920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475740 (
-	.A(n_4744),
-	.B(n_4266),
-	.Y(n_4918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475741 (
-	.A(n_4737),
-	.B(n_4725),
-	.Y(n_4916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475742 (
-	.A(n_4738),
-	.B(n_4725),
-	.Y(n_4915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475743 (
-	.A(n_16689),
-	.B(n_726),
-	.C(n_4496),
-	.Y(n_4914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g475744 (
-	.A1(n_952),
-	.A2(n_4512),
-	.B1(soc_top_u_top_u_core_alu_operator_ex[1]),
-	.B2(n_4292),
-	.Y(n_4912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g475745 (
-	.A(n_4501),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.C(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[1]),
-	.X(n_4911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g475746 (
-	.A(n_4505),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.C(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[0]),
-	.X(n_4910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g475747 (
-	.A1(n_14897),
-	.A2(n_4469),
-	.B1_N(n_3338),
-	.Y(n_4909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g475748 (
-	.A(n_4511),
-	.B(n_952),
-	.C(soc_top_u_top_u_core_alu_operator_ex[1]),
-	.X(n_4908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g475749 (
-	.A(n_4505),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.C(n_289),
-	.Y(n_4907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g475750 (
-	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[1]),
-	.B(soc_top_reset_manager_n_13),
-	.C(n_4500),
-	.X(n_4905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475751 (
-	.A(n_4749),
-	.B(n_374),
-	.Y(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g475752 (
-	.A(n_4758),
-	.Y(n_4757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g475753 (
-	.A(n_4754),
-	.Y(n_4755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g475754 (
-	.A(n_4748),
-	.Y(n_4747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g475755 (
-	.A(n_4746),
-	.Y(n_4745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g475756 (
-	.A(n_4743),
-	.Y(n_4742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g475757 (
-	.A(n_4741),
-	.Y(n_4740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g475758 (
-	.A(n_4738),
-	.Y(n_4739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g475759 (
-	.A(n_4737),
-	.Y(n_4736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g475760 (
-	.A(n_4730),
-	.Y(n_4729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g475761 (
-	.A(n_4727),
-	.Y(n_4726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g475762 (
-	.A(n_4725),
-	.Y(n_4724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g475763 (
-	.A(soc_top_u_pwm_pwm_core_counter_p2[4]),
-	.B(n_4063),
-	.COUT(n_4719),
-	.SUM(n_4720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g475764 (
-	.A1(n_2132),
-	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [0]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [0]),
-	.C1(n_4382),
-	.X(n_4718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g475765 (
-	.A1(n_1819),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [5]),
-	.B1(n_1821),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [5]),
-	.C1(n_4376),
-	.X(n_4717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g475766 (
-	.A1(n_1819),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [4]),
-	.B1(n_1821),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [4]),
-	.C1(n_4377),
-	.X(n_4716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g475767 (
-	.A1(n_2132),
-	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [3]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [3]),
-	.C1(n_4378),
-	.X(n_4715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g475768 (
-	.A1(n_2132),
-	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [2]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [2]),
-	.C1(n_4379),
-	.X(n_4714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g475769 (
-	.A1(n_1819),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [1]),
-	.B1(n_1821),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [1]),
-	.C1(n_4380),
-	.X(n_4713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g475770 (
-	.A1(n_2132),
-	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [6]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [6]),
-	.C1(n_4375),
-	.X(n_4712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475771 (
-	.A1(soc_top_u_top_u_core_fp_frm_fpnew[2]),
-	.A2(n_2962),
-	.B1(n_4506),
-	.Y(n_4711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475774 (
-	.A1(n_926),
-	.A2(n_4213),
-	.B1(\soc_top_u_top_u_core_imd_val_q_ex[1] [31]),
-	.Y(n_4709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475775 (
-	.A(n_4458),
-	.B(n_3388),
-	.Y(n_4708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475838 (
-	.A(n_4486),
-	.B(n_4009),
-	.Y(n_4763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475839 (
-	.A(n_4488),
-	.B(n_4266),
-	.Y(n_4762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g475840 (
-	.A(n_4507),
-	.B_N(n_4502),
-	.Y(n_4761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o311ai_1 g475841 (
-	.A1(n_381),
-	.A2(n_2082),
-	.A3(n_3581),
-	.B1(n_1462),
-	.C1(n_2979),
-	.Y(n_4760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475844 (
-	.A(n_2328),
-	.B(n_4495),
-	.Y(n_4707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475845 (
-	.A(n_15200),
-	.B(n_4495),
-	.Y(n_4706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475846 (
-	.A(n_2329),
-	.B(n_4495),
-	.Y(n_4705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g475848 (
-	.A_N(n_4497),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_subnormal] ),
-	.Y(n_4759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475851 (
-	.A(n_4484),
-	.B(n_650),
-	.Y(n_4758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475852 (
-	.A(n_4485),
-	.B(n_4270),
-	.Y(n_4756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g475855 (
-	.A(n_1262),
-	.B_N(n_4491),
-	.Y(n_4754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g475857 (
-	.A_N(n_4510),
-	.B(soc_top_u_pwm_pwm_core_counter_p1[8]),
-	.Y(n_4753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475858 (
-	.A(n_4488),
-	.B(n_4486),
-	.Y(n_4752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475859 (
-	.A(n_1212),
-	.B(n_4021),
-	.Y(n_4751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475861 (
-	.A(n_4486),
-	.B(n_4007),
-	.Y(n_4750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475862 (
-	.A(n_17201),
-	.B(n_4483),
-	.Y(n_4749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475864 (
-	.A(n_4270),
-	.B(n_4499),
-	.Y(n_4748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475866 (
-	.A(n_4008),
-	.B(n_4487),
-	.Y(n_4746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g475867 (
-	.A(n_4269),
-	.B(n_4499),
-	.X(n_4744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475868 (
-	.A(n_4265),
-	.B(n_4507),
-	.Y(n_4743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475871 (
-	.A(n_4502),
-	.B(n_4266),
-	.Y(n_4741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475872 (
-	.A(soc_top_GPIO_u_reg_addr_hit[5]),
-	.B(n_4483),
-	.Y(n_4738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475873 (
-	.A(n_15032),
-	.B(n_4483),
-	.Y(n_4737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g475874 (
-	.A(n_4514),
-	.B_N(n_16655),
-	.Y(n_4735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g475875 (
-	.A(n_16689),
-	.B_N(n_4496),
-	.Y(n_4734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g475876 (
-	.A(n_3598),
-	.B(n_4493),
-	.X(n_4733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475877 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_4501),
-	.Y(n_4732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g475878 (
-	.A(n_4494),
-	.B(n_18154),
-	.X(n_4731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475879 (
-	.A(n_4494),
-	.B(n_947),
-	.Y(n_4730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475880 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_4505),
-	.Y(n_4728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g475881 (
-	.A(n_4484),
-	.B(n_891),
-	.X(n_4727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g475882 (
-	.A(soc_top_GPIO_u_reg_addr_hit[4]),
-	.B(n_4483),
-	.Y(n_4725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475883 (
-	.A(n_4484),
-	.B(n_1455),
-	.Y(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475884 (
-	.A(n_4484),
-	.B(n_1412),
-	.Y(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g475885 (
-	.A(n_4484),
-	.B(n_1458),
-	.Y(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g475886 (
-	.A(n_4636),
-	.Y(n_4704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g475887 (
-	.A(n_4635),
-	.Y(n_4703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g475888 (
-	.A(n_4634),
-	.Y(n_4702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g475889 (
-	.A(n_4633),
-	.Y(n_4701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g475890 (
-	.A(n_4632),
-	.Y(n_4700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g475891 (
-	.A(n_4631),
-	.Y(n_4699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g475892 (
-	.A(n_4630),
-	.Y(n_4698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g475893 (
-	.A(n_4629),
-	.Y(n_4697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g475894 (
-	.A(n_4628),
-	.Y(n_4696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g475895 (
-	.A(n_4627),
-	.Y(n_4695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g475896 (
-	.A(n_4626),
-	.Y(n_4694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g475897 (
-	.A(n_4691),
-	.Y(n_4690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g475898 (
-	.A1(n_1819),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [7]),
-	.B1(n_1821),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [7]),
-	.C1(n_4374),
-	.X(n_4687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g475899 (
-	.A1(n_2132),
-	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [8]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [8]),
-	.C1(n_4373),
-	.X(n_4686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g475900 (
-	.A1(n_2132),
-	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [9]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [9]),
-	.C1(n_4372),
-	.X(n_4685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g475901 (
-	.A1(n_1819),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [10]),
-	.B1(n_1821),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [10]),
-	.C1(n_4371),
-	.X(n_4684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g475902 (
-	.A1(n_1819),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [11]),
-	.B1(n_1821),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [11]),
-	.C1(n_4370),
-	.X(n_4683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g475903 (
-	.A1(n_1819),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [12]),
-	.B1(n_1821),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [12]),
-	.C1(n_4369),
-	.X(n_4682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g475904 (
-	.A1(n_2132),
-	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [13]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [13]),
-	.C1(n_4368),
-	.X(n_4681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g475905 (
-	.A1(n_2132),
-	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [14]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [14]),
-	.C1(n_4367),
-	.X(n_4680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g475906 (
-	.A1(n_1819),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [15]),
-	.B1(n_1821),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [15]),
-	.C1(n_4366),
-	.X(n_4679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475907 (
-	.A1(soc_top_intr_controller_ip[2]),
-	.A2(n_1664),
-	.B1(n_4422),
-	.Y(n_4678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475908 (
-	.A1(soc_top_intr_controller_ip[3]),
-	.A2(n_1660),
-	.B1(n_4421),
-	.Y(n_4677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475909 (
-	.A1(soc_top_intr_controller_ip[8]),
-	.A2(n_1668),
-	.B1(n_4417),
-	.Y(n_4676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475910 (
-	.A1(soc_top_intr_controller_ip[10]),
-	.A2(n_1670),
-	.B1(n_4415),
-	.Y(n_4675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475911 (
-	.A1(soc_top_intr_controller_ip[16]),
-	.A2(n_1696),
-	.B1(n_4409),
-	.Y(n_4674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475912 (
-	.A1(soc_top_intr_controller_ip[17]),
-	.A2(n_1675),
-	.B1(n_4408),
-	.Y(n_4673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475913 (
-	.A1(soc_top_intr_controller_ip[18]),
-	.A2(n_1676),
-	.B1(n_4407),
-	.Y(n_4672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475914 (
-	.A1(soc_top_intr_controller_ip[19]),
-	.A2(n_1693),
-	.B1(n_4406),
-	.Y(n_4671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475915 (
-	.A1(soc_top_intr_controller_ip[20]),
-	.A2(n_1677),
-	.B1(n_4405),
-	.Y(n_4670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475916 (
-	.A1(soc_top_intr_controller_ip[22]),
-	.A2(n_1679),
-	.B1(n_4403),
-	.Y(n_4669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475917 (
-	.A1(soc_top_intr_controller_ip[23]),
-	.A2(n_1680),
-	.B1(n_4402),
-	.Y(n_4668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475918 (
-	.A1(soc_top_intr_controller_ip[24]),
-	.A2(n_1681),
-	.B1(n_4401),
-	.Y(n_4667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475919 (
-	.A1(soc_top_intr_controller_ip[25]),
-	.A2(n_1682),
-	.B1(n_4400),
-	.Y(n_4666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475920 (
-	.A1(soc_top_intr_controller_ip[26]),
-	.A2(n_1683),
-	.B1(n_4399),
-	.Y(n_4665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475921 (
-	.A1(soc_top_intr_controller_ip[27]),
-	.A2(n_1684),
-	.B1(n_4398),
-	.Y(n_4664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475922 (
-	.A1(soc_top_intr_controller_ip[28]),
-	.A2(n_1685),
-	.B1(n_4397),
-	.Y(n_4663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475923 (
-	.A1(soc_top_intr_controller_ip[29]),
-	.A2(n_1692),
-	.B1(n_4396),
-	.Y(n_4662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475924 (
-	.A1(soc_top_intr_controller_ip[30]),
-	.A2(n_1691),
-	.B1(n_4395),
-	.Y(n_4661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475925 (
-	.A1(soc_top_intr_controller_ip[31]),
-	.A2(n_1686),
-	.B1(n_4394),
-	.Y(n_4660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475926 (
-	.A1(soc_top_intr_controller_ip[32]),
-	.A2(n_1687),
-	.B1(n_4393),
-	.Y(n_4659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475927 (
-	.A1(soc_top_intr_controller_ip[33]),
-	.A2(n_1688),
-	.B1(n_4392),
-	.Y(n_4658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475928 (
-	.A1(soc_top_intr_controller_ip[34]),
-	.A2(n_1689),
-	.B1(n_4391),
-	.Y(n_4657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475929 (
-	.A1(soc_top_intr_controller_ip[35]),
-	.A2(n_1690),
-	.B1(n_4390),
-	.Y(n_4656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g475930 (
-	.A(n_2767),
-	.B(n_3899),
-	.C(n_3231),
-	.D(n_3212),
-	.Y(n_4655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211o_1 g475931 (
-	.A1(soc_top_u_pwm_pwm_core_period_2[4]),
-	.A2(n_398),
-	.B1(n_1711),
-	.C1(n_4142),
-	.X(n_4654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g475932 (
-	.A1_N(soc_top_u_top_u_core_instr_rdata_c_id[15]),
-	.A2_N(n_3687),
-	.B1(n_4269),
-	.B2(n_3694),
-	.Y(n_4653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475933 (
-	.A1(n_4288),
-	.A2(n_15021),
-	.B1(n_4514),
-	.Y(n_4652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475934 (
-	.A1(soc_top_intr_controller_ip[11]),
-	.A2(n_1700),
-	.B1(n_4414),
-	.Y(n_4651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475935 (
-	.A1(soc_top_intr_controller_ip[21]),
-	.A2(n_1678),
-	.B1(n_4404),
-	.Y(n_4650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g475936 (
-	.A1(soc_top_u_top_u_core_pc_set),
-	.A2(n_4194),
-	.B1(n_1774),
-	.B2(n_4018),
-	.Y(n_4649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475937 (
-	.A1(soc_top_intr_controller_ip[9]),
-	.A2(n_1669),
-	.B1(n_4416),
-	.Y(n_4648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475938 (
-	.A1(soc_top_intr_controller_ip[6]),
-	.A2(n_1695),
-	.B1(n_4419),
-	.Y(n_4647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475939 (
-	.A1(soc_top_intr_controller_ip[14]),
-	.A2(n_1673),
-	.B1(n_4411),
-	.Y(n_4646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475940 (
-	.A1(soc_top_intr_controller_ip[1]),
-	.A2(n_1663),
-	.B1(n_4423),
-	.Y(n_4645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g475941 (
-	.A1(n_320),
-	.A2(n_3429),
-	.B1(n_3129),
-	.C1(n_3396),
-	.Y(n_4644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475942 (
-	.A1(soc_top_intr_controller_ip[12]),
-	.A2(n_1671),
-	.B1(n_4413),
-	.Y(n_4643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g475944 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
-	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
-	.D(n_3961),
-	.X(n_4642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g475945 (
-	.A(n_17286),
-	.B(n_17285),
-	.C(n_17287),
-	.D(n_3960),
-	.X(n_4641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475946 (
-	.A1(n_17328),
-	.A2(n_3385),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
-	.C1(n_4264),
-	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
-	.Y(n_4640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g475947 (
-	.A1(n_3693),
-	.A2(n_4279),
-	.B1(n_3687),
-	.B2(soc_top_u_top_u_core_instr_rdata_c_id[14]),
-	.X(n_4639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475948 (
-	.A1(\soc_top_u_top_u_core_imd_val_q_ex[1] [25]),
-	.A2(n_4013),
-	.B1(n_4072),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [26]),
-	.C1(n_4015),
-	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [24]),
-	.Y(n_4638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g475949 (
-	.A1(n_1424),
-	.A2(n_719),
-	.B1(n_4433),
-	.Y(n_4637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475950 (
-	.A1(n_15444),
-	.A2(n_4005),
-	.B1(n_629),
-	.B2(n_15398),
-	.C1(n_4004),
-	.C2(soc_top_u_top_u_core_pc_if[2]),
-	.Y(n_4636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475951 (
-	.A1(n_15445),
-	.A2(n_4005),
-	.B1(n_15399),
-	.B2(n_629),
-	.C1(n_4004),
-	.C2(soc_top_u_top_u_core_pc_if[3]),
-	.Y(n_4635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475952 (
-	.A1(n_15446),
-	.A2(n_4005),
-	.B1(n_15400),
-	.B2(n_629),
-	.C1(n_4004),
-	.C2(soc_top_u_top_u_core_pc_if[4]),
-	.Y(n_4634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475953 (
-	.A1(n_15447),
-	.A2(n_4005),
-	.B1(n_4004),
-	.B2(soc_top_u_top_u_core_pc_if[5]),
-	.C1(n_15401),
-	.C2(n_629),
-	.Y(n_4633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475954 (
-	.A1(n_15448),
-	.A2(n_4005),
-	.B1(n_15402),
-	.B2(n_629),
-	.C1(n_4004),
-	.C2(soc_top_u_top_u_core_pc_if[6]),
-	.Y(n_4632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475955 (
-	.A1(n_15449),
-	.A2(n_4005),
-	.B1(n_15403),
-	.B2(n_629),
-	.C1(n_4004),
-	.C2(soc_top_u_top_u_core_pc_if[7]),
-	.Y(n_4631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475956 (
-	.A1(n_15450),
-	.A2(n_4005),
-	.B1(n_4004),
-	.B2(soc_top_u_top_u_core_pc_if[8]),
-	.C1(n_15404),
-	.C2(n_629),
-	.Y(n_4630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475957 (
-	.A1(n_15451),
-	.A2(n_4005),
-	.B1(n_15405),
-	.B2(n_629),
-	.C1(n_4004),
-	.C2(soc_top_u_top_u_core_pc_if[9]),
-	.Y(n_4629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475958 (
-	.A1(n_15452),
-	.A2(n_4005),
-	.B1(n_4004),
-	.B2(soc_top_u_top_u_core_pc_if[10]),
-	.C1(n_15406),
-	.C2(n_629),
-	.Y(n_4628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475959 (
-	.A1(n_15453),
-	.A2(n_4005),
-	.B1(n_15407),
-	.B2(n_629),
-	.C1(n_4004),
-	.C2(soc_top_u_top_u_core_pc_if[11]),
-	.Y(n_4627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475960 (
-	.A1(n_15454),
-	.A2(n_4005),
-	.B1(n_15408),
-	.B2(n_629),
-	.C1(n_4004),
-	.C2(soc_top_u_top_u_core_pc_if[12]),
-	.Y(n_4626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475961 (
-	.A1(n_15455),
-	.A2(n_4005),
-	.B1(n_4004),
-	.B2(soc_top_u_top_u_core_pc_if[13]),
-	.C1(n_1361),
-	.C2(soc_top_u_top_u_core_csr_mepc[13]),
-	.Y(n_4625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475962 (
-	.A1(n_15456),
-	.A2(n_4005),
-	.B1(n_4004),
-	.B2(soc_top_u_top_u_core_pc_if[14]),
-	.C1(n_1361),
-	.C2(soc_top_u_top_u_core_csr_mepc[14]),
-	.Y(n_4624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475963 (
-	.A1(n_15457),
-	.A2(n_4005),
-	.B1(n_1361),
-	.B2(soc_top_u_top_u_core_csr_mepc[15]),
-	.C1(n_4004),
-	.C2(soc_top_u_top_u_core_pc_if[15]),
-	.Y(n_4623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475964 (
-	.A1(n_15458),
-	.A2(n_4005),
-	.B1(n_1361),
-	.B2(soc_top_u_top_u_core_csr_mepc[16]),
-	.C1(n_4004),
-	.C2(soc_top_u_top_u_core_pc_if[16]),
-	.Y(n_4622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475965 (
-	.A1(n_15459),
-	.A2(n_4005),
-	.B1(n_1361),
-	.B2(soc_top_u_top_u_core_csr_mepc[17]),
-	.C1(n_4004),
-	.C2(soc_top_u_top_u_core_pc_if[17]),
-	.Y(n_4621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475966 (
-	.A1(n_15460),
-	.A2(n_4005),
-	.B1(n_1361),
-	.B2(soc_top_u_top_u_core_csr_mepc[18]),
-	.C1(n_4004),
-	.C2(soc_top_u_top_u_core_pc_if[18]),
-	.Y(n_4620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475967 (
-	.A1(n_15461),
-	.A2(n_4005),
-	.B1(n_1361),
-	.B2(soc_top_u_top_u_core_csr_mepc[19]),
-	.C1(n_4004),
-	.C2(soc_top_u_top_u_core_pc_if[19]),
-	.Y(n_4619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475968 (
-	.A1(n_15462),
-	.A2(n_4005),
-	.B1(n_1361),
-	.B2(soc_top_u_top_u_core_csr_mepc[20]),
-	.C1(n_4004),
-	.C2(soc_top_u_top_u_core_pc_if[20]),
-	.Y(n_4618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475969 (
-	.A1(n_15463),
-	.A2(n_4005),
-	.B1(n_1361),
-	.B2(soc_top_u_top_u_core_csr_mepc[21]),
-	.C1(n_4004),
-	.C2(soc_top_u_top_u_core_pc_if[21]),
-	.Y(n_4617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475970 (
-	.A1(n_15464),
-	.A2(n_4005),
-	.B1(n_4004),
-	.B2(soc_top_u_top_u_core_pc_if[22]),
-	.C1(n_1361),
-	.C2(soc_top_u_top_u_core_csr_mepc[22]),
-	.Y(n_4616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475971 (
-	.A1(n_15465),
-	.A2(n_4005),
-	.B1(n_4004),
-	.B2(soc_top_u_top_u_core_pc_if[23]),
-	.C1(n_1361),
-	.C2(soc_top_u_top_u_core_csr_mepc[23]),
-	.Y(n_4615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475972 (
-	.A1(n_15466),
-	.A2(n_4005),
-	.B1(n_4004),
-	.B2(soc_top_u_top_u_core_pc_if[24]),
-	.C1(n_1361),
-	.C2(soc_top_u_top_u_core_csr_mepc[24]),
-	.Y(n_4614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475973 (
-	.A1(n_15467),
-	.A2(n_4005),
-	.B1(n_4004),
-	.B2(soc_top_u_top_u_core_pc_if[25]),
-	.C1(n_1361),
-	.C2(soc_top_u_top_u_core_csr_mepc[25]),
-	.Y(n_4613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475974 (
-	.A1(n_15468),
-	.A2(n_4005),
-	.B1(n_4004),
-	.B2(soc_top_u_top_u_core_pc_if[26]),
-	.C1(n_1361),
-	.C2(soc_top_u_top_u_core_csr_mepc[26]),
-	.Y(n_4612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475975 (
-	.A1(n_15469),
-	.A2(n_4005),
-	.B1(n_4004),
-	.B2(soc_top_u_top_u_core_pc_if[27]),
-	.C1(n_1361),
-	.C2(soc_top_u_top_u_core_csr_mepc[27]),
-	.Y(n_4611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475976 (
-	.A1(n_15470),
-	.A2(n_4005),
-	.B1(n_4004),
-	.B2(soc_top_u_top_u_core_pc_if[28]),
-	.C1(n_1361),
-	.C2(soc_top_u_top_u_core_csr_mepc[28]),
-	.Y(n_4610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475977 (
-	.A1(n_15472),
-	.A2(n_4005),
-	.B1(n_4004),
-	.B2(soc_top_u_top_u_core_pc_if[30]),
-	.C1(n_1361),
-	.C2(soc_top_u_top_u_core_csr_mepc[30]),
-	.Y(n_4609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475978 (
-	.A1(n_15473),
-	.A2(n_4005),
-	.B1(n_1361),
-	.B2(soc_top_u_top_u_core_csr_mepc[31]),
-	.C1(n_4004),
-	.C2(soc_top_u_top_u_core_pc_if[31]),
-	.Y(n_4608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g475979 (
-	.A1(n_677),
-	.A2(n_1430),
-	.B1(n_2116),
-	.C1(n_3272),
-	.D1(n_4431),
-	.Y(n_4607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g475980 (
-	.A1(n_2611),
-	.A2(\soc_top_intr_controller_irq_id_o[0] [1]),
-	.B1(n_3932),
-	.C1(n_3938),
-	.D1(n_3975),
-	.Y(n_4606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475981 (
-	.A1(soc_top_intr_controller_ip[4]),
-	.A2(n_1665),
-	.B1(n_4420),
-	.Y(n_4605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g475982 (
-	.A1(n_15443),
-	.A2(n_4005),
-	.B1(n_1359),
-	.B2(soc_top_u_top_u_core_csr_depc[1]),
-	.C1(n_4004),
-	.C2(soc_top_u_top_u_core_pc_if[1]),
-	.Y(n_4604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g475983 (
-	.A1_N(soc_top_u_top_u_core_instr_rdata_c_id[13]),
-	.A2_N(n_3687),
-	.B1(n_4271),
-	.B2(n_3694),
-	.Y(n_4603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g475984 (
-	.A1_N(soc_top_u_top_u_core_instr_rdata_c_id[12]),
-	.A2_N(n_3687),
-	.B1(n_4267),
-	.B2(n_3694),
-	.Y(n_4602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475985 (
-	.A1(soc_top_intr_controller_ip[7]),
-	.A2(n_1667),
-	.B1(n_4418),
-	.Y(n_4601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g475986 (
-	.A1_N(soc_top_u_top_u_core_instr_rdata_c_id[11]),
-	.A2_N(n_3687),
-	.B1(n_4275),
-	.B2(n_3694),
-	.Y(n_4600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475987 (
-	.A1(n_4271),
-	.A2(n_4285),
-	.B1(n_4016),
-	.Y(n_4599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475988 (
-	.A1(soc_top_intr_controller_ip[15]),
-	.A2(n_1674),
-	.B1(n_4410),
-	.Y(n_4598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g475989 (
-	.A1(soc_top_intr_controller_ip[13]),
-	.A2(n_1672),
-	.B1(n_4412),
-	.Y(n_4597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g475990 (
-	.A(n_3895),
-	.B(n_2863),
-	.C(n_3388),
-	.D(n_2087),
-	.Y(n_4596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g475991 (
-	.A(n_3894),
-	.B(n_2861),
-	.C(n_3388),
-	.D(n_2086),
-	.Y(n_4595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g475992 (
-	.A(n_3893),
-	.B(n_2856),
-	.C(n_3388),
-	.D(n_2085),
-	.Y(n_4594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g475993 (
-	.A(n_3892),
-	.B(n_2854),
-	.C(n_3388),
-	.D(n_2096),
-	.Y(n_4593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g475994 (
-	.A(n_3891),
-	.B(n_2852),
-	.C(n_3388),
-	.D(n_2097),
-	.Y(n_4592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g475995 (
-	.A(n_3890),
-	.B(n_2849),
-	.C(n_3388),
-	.D(n_2563),
-	.Y(n_4591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g475996 (
-	.A(n_3889),
-	.B(n_2847),
-	.C(n_3388),
-	.D(n_2562),
-	.Y(n_4590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g475997 (
-	.A(n_4002),
-	.B(n_2845),
-	.C(n_3388),
-	.D(n_2561),
-	.Y(n_4589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g475998 (
-	.A(n_3886),
-	.B(n_2841),
-	.C(n_3388),
-	.D(n_2102),
-	.Y(n_4588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g475999 (
-	.A(n_3885),
-	.B(n_2838),
-	.C(n_3388),
-	.D(n_2103),
-	.Y(n_4587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g476000 (
-	.A(n_3884),
-	.B(n_2836),
-	.C(n_3388),
-	.D(n_2558),
-	.Y(n_4586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g476001 (
-	.A(n_3883),
-	.B(n_2834),
-	.C(n_3388),
-	.D(n_2557),
-	.Y(n_4585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g476002 (
-	.A(n_3887),
-	.B(n_2844),
-	.C(n_3388),
-	.D(n_2101),
-	.Y(n_4584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g476003 (
-	.A(n_3882),
-	.B(n_2832),
-	.C(n_3388),
-	.D(n_2106),
-	.Y(n_4583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g476004 (
-	.A1_N(soc_top_intr_controller_ip[5]),
-	.A2_N(n_4249),
-	.B1(soc_top_intr_controller_ip[5]),
-	.B2(n_1666),
-	.Y(n_4582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g476005 (
-	.A1(n_628),
-	.A2(n_15431),
-	.B1(n_1365),
-	.B2(soc_top_main_swith_host_lsu_num_req_outstanding[6]),
-	.C1(n_4250),
-	.X(n_4581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g476006 (
-	.A1(n_4264),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
-	.B1(n_3382),
-	.B2(n_17328),
-	.Y(n_4580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g476007 (
-	.A1(n_4264),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
-	.Y(n_4579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g476008 (
-	.A1_N(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [32]),
-	.A2_N(n_4264),
-	.B1(n_2607),
-	.B2(n_3383),
-	.Y(n_4578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g476009 (
-	.A1(n_2131),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [22]),
-	.B1(n_2134),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [22]),
-	.C1(n_4144),
-	.Y(n_4577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g476010 (
-	.A1_N(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
-	.A2_N(n_3790),
-	.B1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
-	.B2(n_3790),
-	.Y(n_4576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g476011 (
-	.A1(n_4262),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sign_z_D ),
-	.B1(n_3713),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [31]),
-	.Y(n_4575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g476012 (
-	.A1_N(soc_top_u_top_u_core_instr_rdata_c_id[8]),
-	.A2_N(n_3687),
-	.B1(n_4277),
-	.B2(n_3694),
-	.Y(n_4574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g476013 (
-	.A1_N(soc_top_u_top_u_core_instr_rdata_c_id[9]),
-	.A2_N(n_3687),
-	.B1(n_4280),
-	.B2(n_3694),
-	.Y(n_4573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g476014 (
-	.A1_N(soc_top_u_top_u_core_instr_rdata_c_id[10]),
-	.A2_N(n_3687),
-	.B1(n_4273),
-	.B2(n_3694),
-	.Y(n_4572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g476015 (
-	.A1(n_2045),
-	.A2(n_4293),
-	.B1(n_4498),
-	.X(n_4693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g476016 (
-	.A(n_4278),
-	.B(n_4270),
-	.C(n_4271),
-	.Y(n_4692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g476017 (
-	.A(n_3699),
-	.B(n_3706),
-	.C(n_3704),
-	.D(n_4020),
-	.Y(n_4691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g476018 (
-	.A(n_1463),
-	.B(n_1461),
-	.C(n_4199),
-	.Y(n_4689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g476019 (
-	.A(n_4494),
-	.B(n_1279),
-	.X(n_4688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g476021 (
-	.A(soc_top_u_pwm_pwm_core_period_counter2[5]),
-	.B(n_3718),
-	.COUT(n_4570),
-	.SUM(n_4571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477173 (
-	.A(n_4428),
-	.Y(n_4569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477174 (
-	.A(n_4427),
-	.Y(n_4568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477175 (
-	.A(n_4425),
-	.Y(n_4567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477176 (
-	.A(n_4388),
-	.Y(n_4566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477177 (
-	.A(n_4386),
-	.Y(n_4565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477178 (
-	.A(n_4384),
-	.Y(n_4564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477179 (
-	.A(n_4365),
-	.Y(n_4563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477180 (
-	.A(n_4364),
-	.Y(n_4562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477181 (
-	.A(n_4363),
-	.Y(n_4561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477182 (
-	.A(n_4362),
-	.Y(n_4560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477183 (
-	.A(n_4361),
-	.Y(n_4559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477184 (
-	.A(n_4360),
-	.Y(n_4558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477185 (
-	.A(n_4359),
-	.Y(n_4557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477186 (
-	.A(n_4358),
-	.Y(n_4556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477187 (
-	.A(n_4357),
-	.Y(n_4555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477188 (
-	.A(n_4356),
-	.Y(n_4554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477189 (
-	.A(n_4355),
-	.Y(n_4553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477190 (
-	.A(n_4354),
-	.Y(n_4552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477191 (
-	.A(n_4353),
-	.Y(n_4551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477192 (
-	.A(n_4352),
-	.Y(n_4550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477193 (
-	.A(n_4351),
-	.Y(n_4549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477194 (
-	.A(n_4350),
-	.Y(n_4548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477195 (
-	.A(n_4349),
-	.Y(n_4547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477196 (
-	.A(n_4348),
-	.Y(n_4546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477197 (
-	.A(n_4347),
-	.Y(n_4545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477198 (
-	.A(n_4346),
-	.Y(n_4544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477199 (
-	.A(n_4345),
-	.Y(n_4543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477200 (
-	.A(n_4344),
-	.Y(n_4542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477201 (
-	.A(n_4343),
-	.Y(n_4541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477202 (
-	.A(n_4342),
-	.Y(n_4540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477203 (
-	.A(n_4341),
-	.Y(n_4539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477204 (
-	.A(n_4340),
-	.Y(n_4538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477205 (
-	.A(n_4339),
-	.Y(n_4537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477206 (
-	.A(n_4338),
-	.Y(n_4536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477207 (
-	.A(n_4337),
-	.Y(n_4535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477208 (
-	.A(n_4336),
-	.Y(n_4534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477209 (
-	.A(n_4335),
-	.Y(n_4533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477210 (
-	.A(n_4334),
-	.Y(n_4532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477211 (
-	.A(n_4333),
-	.Y(n_4531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477212 (
-	.A(n_4332),
-	.Y(n_4530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477213 (
-	.A(n_4331),
-	.Y(n_4529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477214 (
-	.A(n_4330),
-	.Y(n_4528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477215 (
-	.A(n_4329),
-	.Y(n_4527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477216 (
-	.A(n_4328),
-	.Y(n_4526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477217 (
-	.A(n_4327),
-	.Y(n_4525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477218 (
-	.A(n_4326),
-	.Y(n_4524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477219 (
-	.A(n_4325),
-	.Y(n_4523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477220 (
-	.A(n_4324),
-	.Y(n_4522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477221 (
-	.A(n_4323),
-	.Y(n_4521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477222 (
-	.A(n_4322),
-	.Y(n_4520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477223 (
-	.A(n_4321),
-	.Y(n_4519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477224 (
-	.A(n_4320),
-	.Y(n_4518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477225 (
-	.A(n_4319),
-	.Y(n_4517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477226 (
-	.A(n_4318),
-	.Y(n_4516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477227 (
-	.A(n_4317),
-	.Y(n_4515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477228 (
-	.A(n_4511),
-	.Y(n_4512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477230 (
-	.A(n_4500),
-	.Y(n_4501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g477231 (
-	.A(n_4488),
-	.Y(n_4487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477232 (
-	.A(n_4486),
-	.Y(n_4485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g477233 (
-	.A(n_4484),
-	.Y(n_4483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g477234 (
-	.A(n_4481),
-	.Y(n_4480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g477235 (
-	.A1(soc_top_u_top_u_core_pc_set),
-	.A2(n_4078),
-	.B1(n_2069),
-	.B2(n_4018),
-	.Y(n_4479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g477236 (
-	.A(n_394),
-	.B(n_3418),
-	.X(n_4478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g477237 (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
-	.A2_N(n_3334),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
-	.B2(n_3334),
-	.Y(n_4477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g477238 (
-	.A1_N(\soc_top_timer0_gen_harts[0].u_core_tick_count [4]),
-	.A2_N(n_3336),
-	.B1(\soc_top_timer0_gen_harts[0].u_core_tick_count [4]),
-	.B2(n_3336),
-	.Y(n_4476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g477239 (
-	.A1(n_4003),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [2]),
-	.B1(n_253),
-	.B2(soc_top_u_top_u_core_alu_adder_result_ex[1]),
-	.Y(n_4475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g477240 (
-	.A1(n_4003),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [1]),
-	.B1(n_253),
-	.B2(soc_top_u_top_u_core_alu_adder_result_ex[0]),
-	.Y(n_4474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g477241 (
-	.A1(n_4004),
-	.A2(soc_top_u_top_u_core_pc_if[29]),
-	.B1(\soc_top_lsu_to_xbar[a_address] [29]),
-	.B2(n_18228),
-	.Y(n_4473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g477242 (
-	.A1(n_4003),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [8]),
-	.B1(n_253),
-	.B2(\soc_top_xbar_to_timer[a_address] [7]),
-	.Y(n_4472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g477243 (
-	.A1(soc_top_u_pwm_pwm_core_counter_p1[6]),
-	.A2(n_4076),
-	.B1(soc_top_u_pwm_pwm_core_counter_p1[5]),
-	.B2(n_300),
-	.Y(n_4471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g477244 (
-	.A1(n_4069),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [3]),
-	.B1(n_4015),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [4]),
-	.Y(n_4470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g477245 (
-	.A1(n_3717),
-	.A2(n_2613),
-	.A3(n_888),
-	.B1(n_3049),
-	.B2(n_240),
-	.Y(n_4469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g477246 (
-	.A1(n_4015),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [12]),
-	.B1(n_4013),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [13]),
-	.Y(n_4468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g477247 (
-	.A1(n_4015),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [16]),
-	.B1(n_4013),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [17]),
-	.Y(n_4467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g477248 (
-	.A1(n_4013),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [1]),
-	.B1(n_4072),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [2]),
-	.Y(n_4466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g477249 (
-	.A1(n_4015),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [8]),
-	.B1(n_4013),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [9]),
-	.X(n_4465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g477250 (
-	.A1(n_4015),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [20]),
-	.B1(n_4013),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [21]),
-	.X(n_4464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g477251 (
-	.A1(n_4013),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [5]),
-	.B1(n_4072),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [6]),
-	.Y(n_4463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g477252 (
-	.A1(n_4069),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [27]),
-	.B1(n_4013),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [29]),
-	.X(n_4462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g477253 (
-	.A1(n_4015),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [28]),
-	.B1(n_4072),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [30]),
-	.Y(n_4461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g477254 (
-	.A1(n_15594),
-	.A2(n_3776),
-	.B1(n_3307),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [1]),
-	.C1(n_3974),
-	.X(n_4460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g477255 (
-	.A1_N(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.A2_N(n_3421),
-	.B1(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.B2(n_3421),
-	.Y(n_4459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g477256 (
-	.A1(n_1822),
-	.A2(soc_top_GPIO_cio_gpio_q[18]),
-	.B1(n_2133),
-	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [18]),
-	.C1(n_3896),
-	.Y(n_4458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g477257 (
-	.A1(n_4003),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [31]),
-	.B1(n_617),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[31]),
-	.Y(n_4457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g477258 (
-	.A1(n_3777),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [28]),
-	.B1(n_4087),
-	.Y(n_4456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g477259 (
-	.A1(n_3777),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
-	.B1(n_4088),
-	.Y(n_4455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g477260 (
-	.A1(n_3777),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] [28]),
-	.B1(n_4089),
-	.Y(n_4454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g477261 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[2] [0]),
-	.A2(n_3777),
-	.B1(n_4090),
-	.Y(n_4453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g477262 (
-	.A(n_3596),
-	.B(n_2825),
-	.C(n_2538),
-	.D(n_2913),
-	.Y(n_4452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g477263 (
-	.A1(n_2015),
-	.A2(soc_top_u_pwm_pwm_core_period[7]),
-	.B1(n_2016),
-	.B2(soc_top_u_pwm_pwm_core_DC_1[7]),
-	.C1(n_4132),
-	.X(n_4451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g477264 (
-	.A1(n_2017),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[6]),
-	.B1(n_2020),
-	.B2(soc_top_u_pwm_pwm_core_divisor[6]),
-	.C1(n_4133),
-	.X(n_4450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g477265 (
-	.A1(n_2017),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[5]),
-	.B1(n_2015),
-	.B2(soc_top_u_pwm_pwm_core_period[5]),
-	.C1(n_4134),
-	.X(n_4449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g477266 (
-	.A(n_4262),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [8]),
-	.Y(n_4448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g477267 (
-	.A(n_4262),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [9]),
-	.Y(n_4447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g477268 (
-	.A(n_4262),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [10]),
-	.Y(n_4446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g477269 (
-	.A(n_4262),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [11]),
-	.Y(n_4445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g477270 (
-	.A(n_4262),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_NaN_a_S ),
-	.Y(n_4444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g477271 (
-	.A(n_4262),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_NaN_b_S ),
-	.Y(n_4443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g477272 (
-	.A(n_4262),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_SNaN_S ),
-	.Y(n_4442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g477273 (
-	.A(n_4262),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Special_case_dly_SB ),
-	.Y(n_4441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g477274 (
-	.A1(n_2017),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[4]),
-	.B1(n_2015),
-	.B2(soc_top_u_pwm_pwm_core_period[4]),
-	.C1(n_4135),
-	.X(n_4440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g477275 (
-	.A1(n_2020),
-	.A2(soc_top_u_pwm_pwm_core_divisor[3]),
-	.B1(n_2016),
-	.B2(soc_top_u_pwm_pwm_core_DC_1[3]),
-	.C1(n_4136),
-	.X(n_4439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g477276 (
-	.A1(n_3969),
-	.A2(n_14893),
-	.B1(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec),
-	.Y(n_4438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g477277 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_4158),
-	.Y(n_4437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g477278 (
-	.A1(n_2015),
-	.A2(soc_top_u_pwm_pwm_core_period[2]),
-	.B1(n_2016),
-	.B2(soc_top_u_pwm_pwm_core_DC_1[2]),
-	.C1(n_4137),
-	.X(n_4436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g477279 (
-	.A1(n_2017),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[0]),
-	.B1(n_2020),
-	.B2(soc_top_u_pwm_pwm_core_divisor[0]),
-	.C1(n_4138),
-	.X(n_4435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477280 (
-	.A1(soc_top_u_top_u_core_csr_mtvec[29]),
-	.A2(n_1362),
-	.B1(n_1361),
-	.B2(soc_top_u_top_u_core_csr_mepc[29]),
-	.C1(n_4005),
-	.C2(n_15471),
-	.Y(n_4434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g477281 (
-	.A1(n_1437),
-	.A2(n_709),
-	.B1(n_1439),
-	.B2(n_722),
-	.C1(n_4182),
-	.X(n_4433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g477282 (
-	.A1(n_4075),
-	.A2(n_822),
-	.B1(soc_top_u_top_u_core_fp_flush),
-	.Y(n_4432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g477283 (
-	.A1(n_1429),
-	.A2(n_908),
-	.B1(n_2219),
-	.C1(n_2120),
-	.D1(n_3953),
-	.Y(n_4431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g477284 (
-	.A(n_4069),
-	.B(n_1367),
-	.C(\soc_top_u_top_u_core_imd_val_q_ex[1] [7]),
-	.Y(n_4430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g477285 (
-	.A(n_4072),
-	.B(n_1375),
-	.C(\soc_top_u_top_u_core_imd_val_q_ex[1] [14]),
-	.Y(n_4429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477286 (
-	.A1(n_3778),
-	.A2(n_15644),
-	.B1(n_15646),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [56]),
-	.Y(n_4428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477287 (
-	.A1(n_3778),
-	.A2(n_15643),
-	.B1(n_15645),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [55]),
-	.Y(n_4427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g477288 (
-	.A(n_4006),
-	.B(n_4269),
-	.Y(n_4426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477289 (
-	.A1(n_3778),
-	.A2(n_15642),
-	.B1(n_15644),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [54]),
-	.Y(n_4425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g477290 (
-	.A(n_1545),
-	.B(n_3622),
-	.C(n_1351),
-	.D(n_1300),
-	.Y(n_4424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g477291 (
-	.A1(n_1396),
-	.A2(n_4073),
-	.B1(soc_top_intr_controller_ip[1]),
-	.Y(n_4423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g477292 (
-	.A1(n_1408),
-	.A2(n_4071),
-	.B1(soc_top_intr_controller_ip[2]),
-	.Y(n_4422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g477293 (
-	.A1(n_1396),
-	.A2(n_4071),
-	.B1(soc_top_intr_controller_ip[3]),
-	.Y(n_4421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g477294 (
-	.A1(n_1400),
-	.A2(n_4073),
-	.B1(soc_top_intr_controller_ip[4]),
-	.Y(n_4420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g477295 (
-	.A1(n_1400),
-	.A2(n_4071),
-	.B1(soc_top_intr_controller_ip[6]),
-	.Y(n_4419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g477296 (
-	.A1(n_1404),
-	.A2(n_4071),
-	.B1(soc_top_intr_controller_ip[7]),
-	.Y(n_4418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g477297 (
-	.A1(n_1408),
-	.A2(n_4011),
-	.B1(soc_top_intr_controller_ip[8]),
-	.Y(n_4417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g477298 (
-	.A1(n_1396),
-	.A2(n_4011),
-	.B1(soc_top_intr_controller_ip[9]),
-	.Y(n_4416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g477299 (
-	.A1(n_1408),
-	.A2(n_4012),
-	.B1(soc_top_intr_controller_ip[10]),
-	.Y(n_4415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g477300 (
-	.A1(n_1396),
-	.A2(n_4012),
-	.B1(soc_top_intr_controller_ip[11]),
-	.Y(n_4414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g477301 (
-	.A1(n_1400),
-	.A2(n_4011),
-	.B1(soc_top_intr_controller_ip[12]),
-	.Y(n_4413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g477302 (
-	.A1(n_1404),
-	.A2(n_4011),
-	.B1(soc_top_intr_controller_ip[13]),
-	.Y(n_4412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g477303 (
-	.A1(n_1400),
-	.A2(n_4012),
-	.B1(soc_top_intr_controller_ip[14]),
-	.Y(n_4411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g477304 (
-	.A1(n_1404),
-	.A2(n_4012),
-	.B1(soc_top_intr_controller_ip[15]),
-	.Y(n_4410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g477305 (
-	.A1(n_1405),
-	.A2(n_4073),
-	.B1(soc_top_intr_controller_ip[16]),
-	.Y(n_4409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g477306 (
-	.A1(n_1401),
-	.A2(n_4073),
-	.B1(soc_top_intr_controller_ip[17]),
-	.Y(n_4408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g477307 (
-	.A1(n_1405),
-	.A2(n_4071),
-	.B1(soc_top_intr_controller_ip[18]),
-	.Y(n_4407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g477308 (
-	.A1(n_1401),
-	.A2(n_4071),
-	.B1(soc_top_intr_controller_ip[19]),
-	.Y(n_4406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g477309 (
-	.A1(n_1403),
-	.A2(n_4073),
-	.B1(soc_top_intr_controller_ip[20]),
-	.Y(n_4405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g477310 (
-	.A1(n_1409),
-	.A2(n_4073),
-	.B1(soc_top_intr_controller_ip[21]),
-	.Y(n_4404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g477311 (
-	.A1(n_1403),
-	.A2(n_4071),
-	.B1(soc_top_intr_controller_ip[22]),
-	.Y(n_4403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g477312 (
-	.A1(n_1409),
-	.A2(n_4071),
-	.B1(soc_top_intr_controller_ip[23]),
-	.Y(n_4402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g477313 (
-	.A1(n_1405),
-	.A2(n_4011),
-	.B1(soc_top_intr_controller_ip[24]),
-	.Y(n_4401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g477314 (
-	.A1(n_1401),
-	.A2(n_4011),
-	.B1(soc_top_intr_controller_ip[25]),
-	.Y(n_4400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g477315 (
-	.A1(n_1405),
-	.A2(n_4012),
-	.B1(soc_top_intr_controller_ip[26]),
-	.Y(n_4399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g477316 (
-	.A1(n_1401),
-	.A2(n_4012),
-	.B1(soc_top_intr_controller_ip[27]),
-	.Y(n_4398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g477317 (
-	.A1(n_1403),
-	.A2(n_4011),
-	.B1(soc_top_intr_controller_ip[28]),
-	.Y(n_4397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g477318 (
-	.A1(n_1409),
-	.A2(n_4011),
-	.B1(soc_top_intr_controller_ip[29]),
-	.Y(n_4396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g477319 (
-	.A1(n_1403),
-	.A2(n_4012),
-	.B1(soc_top_intr_controller_ip[30]),
-	.Y(n_4395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g477320 (
-	.A1(n_1409),
-	.A2(n_4012),
-	.B1(soc_top_intr_controller_ip[31]),
-	.Y(n_4394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g477321 (
-	.A1(\soc_top_intr_controller_irq_id_o[0] [1]),
-	.A2(n_4023),
-	.B1(soc_top_intr_controller_ip[32]),
-	.Y(n_4393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g477322 (
-	.A1(\soc_top_intr_controller_irq_id_o[0] [1]),
-	.A2(n_4022),
-	.B1(soc_top_intr_controller_ip[33]),
-	.Y(n_4392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g477323 (
-	.A1(n_494),
-	.A2(n_4023),
-	.B1(soc_top_intr_controller_ip[34]),
-	.Y(n_4391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g477324 (
-	.A1(n_494),
-	.A2(n_4022),
-	.B1(soc_top_intr_controller_ip[35]),
-	.Y(n_4390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g477325 (
-	.A1(n_3417),
-	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[7]),
-	.B1(n_4287),
-	.Y(n_4389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477326 (
-	.A1(n_3778),
-	.A2(n_15641),
-	.B1(n_15643),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [53]),
-	.Y(n_4388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211o_1 g477327 (
-	.A1(soc_top_u_pwm_pwm_core_period[4]),
-	.A2(n_397),
-	.B1(n_1716),
-	.C1(n_3881),
-	.X(n_4387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477328 (
-	.A1(n_3778),
-	.A2(n_15640),
-	.B1(n_15642),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [52]),
-	.Y(n_4386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g477329 (
-	.A(n_4069),
-	.B(n_1370),
-	.C(\soc_top_u_top_u_core_imd_val_q_ex[1] [19]),
-	.Y(n_4385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477331 (
-	.A1(n_3778),
-	.A2(n_15639),
-	.B1(n_15641),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [51]),
-	.Y(n_4384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g477332 (
-	.A1(n_1447),
-	.A2(n_915),
-	.B1(n_2165),
-	.C1(n_2162),
-	.D1(n_3579),
-	.Y(n_4383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g477333 (
-	.A(n_3619),
-	.B(n_2903),
-	.C(n_2092),
-	.D(n_2902),
-	.Y(n_4382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g477334 (
-	.A1(n_1377),
-	.A2(n_3396),
-	.B1(n_288),
-	.B2(n_3794),
-	.C1(n_3129),
-	.Y(n_4381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g477335 (
-	.A(n_3618),
-	.B(n_2900),
-	.C(n_2550),
-	.D(n_2899),
-	.Y(n_4380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g477336 (
-	.A(n_3617),
-	.B(n_2897),
-	.C(n_2130),
-	.D(n_2896),
-	.Y(n_4379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g477337 (
-	.A(n_3616),
-	.B(n_2785),
-	.C(n_2091),
-	.D(n_2893),
-	.Y(n_4378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g477338 (
-	.A(n_3615),
-	.B(n_2892),
-	.C(n_2891),
-	.D(n_2576),
-	.Y(n_4377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g477339 (
-	.A(n_3614),
-	.B(n_2890),
-	.C(n_2889),
-	.D(n_2575),
-	.Y(n_4376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g477340 (
-	.A(n_3613),
-	.B(n_2887),
-	.C(n_2109),
-	.D(n_3031),
-	.Y(n_4375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g477341 (
-	.A(n_3612),
-	.B(n_2883),
-	.C(n_2884),
-	.D(n_2574),
-	.Y(n_4374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g477342 (
-	.A(n_3611),
-	.B(n_2882),
-	.C(n_2090),
-	.D(n_2881),
-	.Y(n_4373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g477343 (
-	.A(n_3610),
-	.B(n_2880),
-	.C(n_2110),
-	.D(n_2879),
-	.Y(n_4372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g477344 (
-	.A(n_3609),
-	.B(n_2878),
-	.C(n_2573),
-	.D(n_2877),
-	.Y(n_4371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g477345 (
-	.A(n_3608),
-	.B(n_2875),
-	.C(n_2874),
-	.D(n_2572),
-	.Y(n_4370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g477346 (
-	.A(n_3607),
-	.B(n_2873),
-	.C(n_2872),
-	.D(n_2571),
-	.Y(n_4369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g477347 (
-	.A(n_3605),
-	.B(n_2871),
-	.C(n_2089),
-	.D(n_2870),
-	.Y(n_4368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g477348 (
-	.A(n_3604),
-	.B(n_2868),
-	.C(n_2088),
-	.D(n_2866),
-	.Y(n_4367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g477349 (
-	.A(n_3603),
-	.B(n_2865),
-	.C(n_2864),
-	.D(n_2570),
-	.Y(n_4366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477350 (
-	.A1(n_15593),
-	.A2(n_3778),
-	.B1(n_15595),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [2]),
-	.Y(n_4365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477351 (
-	.A1(n_3778),
-	.A2(n_15594),
-	.B1(n_15596),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [3]),
-	.Y(n_4364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477352 (
-	.A1(n_3778),
-	.A2(n_15595),
-	.B1(n_15597),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [4]),
-	.Y(n_4363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477353 (
-	.A1(n_3778),
-	.A2(n_15596),
-	.B1(n_15598),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [5]),
-	.Y(n_4362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477354 (
-	.A1(n_3778),
-	.A2(n_15597),
-	.B1(n_15599),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [6]),
-	.Y(n_4361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477355 (
-	.A1(n_3778),
-	.A2(n_15598),
-	.B1(n_15600),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [7]),
-	.Y(n_4360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477356 (
-	.A1(n_3778),
-	.A2(n_15599),
-	.B1(n_15601),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [8]),
-	.Y(n_4359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477357 (
-	.A1(n_3778),
-	.A2(n_15600),
-	.B1(n_15602),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [9]),
-	.Y(n_4358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477358 (
-	.A1(n_3778),
-	.A2(n_15601),
-	.B1(n_15603),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [10]),
-	.Y(n_4357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477359 (
-	.A1(n_3778),
-	.A2(n_15602),
-	.B1(n_15604),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [11]),
-	.Y(n_4356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477360 (
-	.A1(n_3778),
-	.A2(n_15603),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] [12]),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [12]),
-	.Y(n_4355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477361 (
-	.A1(n_3778),
-	.A2(n_15604),
-	.B1(n_15605),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [13]),
-	.Y(n_4354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477362 (
-	.A1(n_3778),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] [12]),
-	.B1(n_15606),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [14]),
-	.Y(n_4353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477363 (
-	.A1(n_3778),
-	.A2(n_15605),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] [15]),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [15]),
-	.Y(n_4352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477364 (
-	.A1(n_3778),
-	.A2(n_15606),
-	.B1(n_15607),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [16]),
-	.Y(n_4351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477365 (
-	.A1(n_3778),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] [15]),
-	.B1(n_15608),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [17]),
-	.Y(n_4350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477366 (
-	.A1(n_3778),
-	.A2(n_15607),
-	.B1(n_15609),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [18]),
-	.Y(n_4349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477367 (
-	.A1(n_3778),
-	.A2(n_15608),
-	.B1(n_15610),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [19]),
-	.Y(n_4348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477368 (
-	.A1(n_3778),
-	.A2(n_15609),
-	.B1(n_15611),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [20]),
-	.Y(n_4347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477369 (
-	.A1(n_3778),
-	.A2(n_15610),
-	.B1(n_15612),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [21]),
-	.Y(n_4346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477370 (
-	.A1(n_3778),
-	.A2(n_15611),
-	.B1(n_15613),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [22]),
-	.Y(n_4345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477371 (
-	.A1(n_3778),
-	.A2(n_15613),
-	.B1(n_15615),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [24]),
-	.Y(n_4344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477372 (
-	.A1(n_3778),
-	.A2(n_15612),
-	.B1(n_15614),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [23]),
-	.Y(n_4343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477373 (
-	.A1(n_3778),
-	.A2(n_15614),
-	.B1(n_15616),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [25]),
-	.Y(n_4342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477374 (
-	.A1(n_3778),
-	.A2(n_15615),
-	.B1(n_15617),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [26]),
-	.Y(n_4341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477375 (
-	.A1(n_3778),
-	.A2(n_15616),
-	.B1(n_15618),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [27]),
-	.Y(n_4340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477376 (
-	.A1(n_3778),
-	.A2(n_15617),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] [28]),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [28]),
-	.Y(n_4339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477377 (
-	.A1(n_3778),
-	.A2(n_15618),
-	.B1(n_15619),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [29]),
-	.Y(n_4338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477378 (
-	.A1(n_3778),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] [28]),
-	.B1(n_15620),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [30]),
-	.Y(n_4337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477379 (
-	.A1(n_3778),
-	.A2(n_15619),
-	.B1(n_15621),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [31]),
-	.Y(n_4336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477380 (
-	.A1(n_3778),
-	.A2(n_15620),
-	.B1(n_15622),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [32]),
-	.Y(n_4335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477381 (
-	.A1(n_3778),
-	.A2(n_15621),
-	.B1(n_15623),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [33]),
-	.Y(n_4334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477382 (
-	.A1(n_3778),
-	.A2(n_15622),
-	.B1(n_15624),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [34]),
-	.Y(n_4333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477383 (
-	.A1(n_3778),
-	.A2(n_15623),
-	.B1(n_15625),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [35]),
-	.Y(n_4332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477384 (
-	.A1(n_3778),
-	.A2(n_15624),
-	.B1(n_15626),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [36]),
-	.Y(n_4331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477385 (
-	.A1(n_3778),
-	.A2(n_15625),
-	.B1(n_15627),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [37]),
-	.Y(n_4330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477386 (
-	.A1(n_3778),
-	.A2(n_15626),
-	.B1(n_15628),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [38]),
-	.Y(n_4329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477387 (
-	.A1(n_3778),
-	.A2(n_15627),
-	.B1(n_15629),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [39]),
-	.Y(n_4328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477388 (
-	.A1(n_3778),
-	.A2(n_15628),
-	.B1(n_15630),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [40]),
-	.Y(n_4327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477389 (
-	.A1(n_3778),
-	.A2(n_15629),
-	.B1(n_15631),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [41]),
-	.Y(n_4326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477390 (
-	.A1(n_3778),
-	.A2(n_15630),
-	.B1(n_15632),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [42]),
-	.Y(n_4325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477391 (
-	.A1(n_3778),
-	.A2(n_15631),
-	.B1(n_15633),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [43]),
-	.Y(n_4324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477392 (
-	.A1(n_3778),
-	.A2(n_15632),
-	.B1(n_15634),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [44]),
-	.Y(n_4323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477393 (
-	.A1(n_3778),
-	.A2(n_15633),
-	.B1(n_15635),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [45]),
-	.Y(n_4322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477394 (
-	.A1(n_3778),
-	.A2(n_15634),
-	.B1(n_15636),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [46]),
-	.Y(n_4321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477395 (
-	.A1(n_3778),
-	.A2(n_15635),
-	.B1(n_15637),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [47]),
-	.Y(n_4320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477396 (
-	.A1(n_3778),
-	.A2(n_15636),
-	.B1(n_15638),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [48]),
-	.Y(n_4319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477397 (
-	.A1(n_3778),
-	.A2(n_15637),
-	.B1(n_15639),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [49]),
-	.Y(n_4318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g477398 (
-	.A1(n_3778),
-	.A2(n_15638),
-	.B1(n_15640),
-	.B2(n_3776),
-	.C1(n_3307),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [50]),
-	.Y(n_4317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g478187 (
-	.A(n_4288),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mant_is_zero ),
-	.C_N(n_16961),
-	.X(n_4514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g478201 (
-	.A(n_4291),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_normal] ),
-	.Y(n_4513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478202 (
-	.A(soc_top_u_top_u_core_alu_operator_ex[2]),
-	.B(n_4292),
-	.Y(n_4511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g478205 (
-	.A_N(n_4286),
-	.B(soc_top_u_pwm_pwm_core_counter_p1[7]),
-	.Y(n_4510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g478207 (
-	.A(n_4280),
-	.B(n_3709),
-	.Y(n_4509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g478209 (
-	.A_N(n_4020),
-	.B(n_4267),
-	.Y(n_4508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478211 (
-	.A(n_4278),
-	.B(n_4269),
-	.Y(n_4507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g478261 (
-	.A(n_17131),
-	.B(n_15044),
-	.C(n_4281),
-	.Y(n_4506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g478265 (
-	.A(n_4262),
-	.B(n_490),
-	.C(n_15102),
-	.Y(n_4316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g478335 (
-	.A1(n_2069),
-	.A2(n_3787),
-	.B1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
-	.B2(n_4060),
-	.Y(n_4505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g478336 (
-	.A(FE_DBTN5_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_exponent_5),
-	.B(n_3066),
-	.C(n_4262),
-	.Y(n_4504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478337 (
-	.A(n_4274),
-	.B(n_4275),
-	.Y(n_4503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g478339 (
-	.A(n_4272),
-	.B(n_4278),
-	.Y(n_4502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g478341 (
-	.A1(n_3787),
-	.A2(n_1854),
-	.A3(n_289),
-	.B1(n_4077),
-	.Y(n_4500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g478344 (
-	.A(n_4271),
-	.B(n_4278),
-	.Y(n_4499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g478345 (
-	.A(n_4293),
-	.B(n_2045),
-	.Y(n_4498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g478346 (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_normal] ),
-	.B(n_4291),
-	.Y(n_4497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478347 (
-	.A(n_16961),
-	.B(n_4288),
-	.Y(n_4496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g478350 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[1][is_zero] ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_zero] ),
-	.B1(n_4180),
-	.Y(n_4495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g478351 (
-	.A(soc_top_u_top_u_core_alu_operator_ex[3]),
-	.B(soc_top_u_top_u_core_alu_operator_ex[5]),
-	.C(n_931),
-	.D(n_4019),
-	.Y(n_4494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g478352 (
-	.A(n_15044),
-	.B(n_4281),
-	.C_N(n_17131),
-	.Y(n_4493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g478359 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_6 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_11 ),
-	.C(n_2449),
-	.D(n_4262),
-	.Y(n_4492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g478378 (
-	.A(n_15183),
-	.B(n_4281),
-	.C(n_16962),
-	.Y(n_4491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478379 (
-	.A(n_4272),
-	.B(n_4007),
-	.Y(n_4490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478380 (
-	.A(n_4275),
-	.B(n_4273),
-	.Y(n_4489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g478381 (
-	.A(n_4271),
-	.B(n_4269),
-	.Y(n_4488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g478382 (
-	.A(n_4271),
-	.B(n_4279),
-	.Y(n_4486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g478383 (
-	.A(n_14994),
-	.B(n_1350),
-	.C(n_4026),
-	.Y(n_4484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478384 (
-	.A(soc_top_u_top_u_core_alu_operator_ex[3]),
-	.B(n_4156),
-	.Y(n_4482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478385 (
-	.A(n_3033),
-	.B(n_268),
-	.Y(n_4481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g478606 (
-	.A(n_4261),
-	.Y(n_4315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g478607 (
-	.A(n_4178),
-	.Y(n_4314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g478608 (
-	.A(n_4176),
-	.Y(n_4313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g478609 (
-	.A(n_4175),
-	.Y(n_4312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g478610 (
-	.A(n_4174),
-	.Y(n_4311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g478611 (
-	.A(n_4173),
-	.Y(n_4310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g478612 (
-	.A(n_4172),
-	.Y(n_4309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g478613 (
-	.A(n_4171),
-	.Y(n_4308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g478614 (
-	.A(n_4169),
-	.Y(n_4307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g478615 (
-	.A(n_4168),
-	.Y(n_4306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g478616 (
-	.A(n_4167),
-	.Y(n_4305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g478617 (
-	.A(n_4166),
-	.Y(n_4304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g478618 (
-	.A(n_4165),
-	.Y(n_4303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g478619 (
-	.A(n_4164),
-	.Y(n_4302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g478620 (
-	.A(n_4163),
-	.Y(n_4301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g478621 (
-	.A(n_4162),
-	.Y(n_4300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g478622 (
-	.A(n_4161),
-	.Y(n_4299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g478623 (
-	.A(n_4160),
-	.Y(n_4298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g478624 (
-	.A(n_4159),
-	.Y(n_4297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g478625 (
-	.A(n_4157),
-	.Y(n_4296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g478626 (
-	.A(n_4155),
-	.Y(n_4295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g478627 (
-	.A(n_4284),
-	.Y(n_4285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g478628 (
-	.A(n_4279),
-	.Y(n_4278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g478629 (
-	.A(n_4276),
-	.Y(n_4275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g478630 (
-	.A(n_4274),
-	.Y(n_4273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g478631 (
-	.A(n_4272),
-	.Y(n_4271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g478632 (
-	.A(n_4270),
-	.Y(n_4269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g478633 (
-	.A(n_4268),
-	.Y(n_4267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g478634 (
-	.A(n_4266),
-	.Y(n_4265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g478635 (
-	.A(n_4263),
-	.Y(n_4262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g478636 (
-	.A1(n_17339),
-	.A2(n_3394),
-	.B1(n_3106),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [11]),
-	.C1(\soc_top_lsu_to_xbar[a_address] [11]),
-	.C2(n_3391),
-	.Y(n_4261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g478637 (
-	.A(n_4003),
-	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [29]),
-	.Y(n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g478638 (
-	.A1(n_2184),
-	.A2(n_1831),
-	.B1(n_3942),
-	.Y(n_4259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g478639 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [1]),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [2]),
-	.C(\soc_top_u_top_u_core_fp_operands[0] [7]),
-	.D(n_3342),
-	.X(n_4258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g478640 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [1]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [2]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [7]),
-	.D(n_3343),
-	.X(n_4257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g478641 (
-	.A(n_4072),
-	.B(\soc_top_u_top_u_core_imd_val_q_ex[1] [18]),
-	.Y(n_4256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g478642 (
-	.A(n_4069),
-	.B(\soc_top_u_top_u_core_imd_val_q_ex[1] [15]),
-	.Y(n_4255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478643 (
-	.A(n_2675),
-	.B(n_4018),
-	.Y(n_4254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478644 (
-	.A(n_3642),
-	.B(n_3785),
-	.Y(n_4253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478645 (
-	.A(soc_top_u_top_u_core_fp_flush),
-	.B(n_3971),
-	.Y(n_4252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478646 (
-	.A1(n_3779),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [21]),
-	.B1(n_1352),
-	.B2(n_15040),
-	.Y(n_4251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g478647 (
-	.A1(n_3417),
-	.A2(n_265),
-	.B1(n_626),
-	.Y(n_4250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 g478648 (
-	.A(n_1404),
-	.B(n_4073),
-	.X(n_4249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478649 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3920),
-	.Y(n_4248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478650 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3929),
-	.Y(n_4247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478651 (
-	.A1(n_3779),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [19]),
-	.B1(n_1352),
-	.B2(n_17050),
-	.Y(n_4246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478652 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3924),
-	.Y(n_4245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478653 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3925),
-	.Y(n_4244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478654 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3919),
-	.Y(n_4243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478655 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3918),
-	.Y(n_4242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478656 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3926),
-	.Y(n_4241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478657 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3917),
-	.Y(n_4240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478658 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3916),
-	.Y(n_4239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478659 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3927),
-	.Y(n_4238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478660 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3915),
-	.Y(n_4237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478661 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3914),
-	.Y(n_4236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478662 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3913),
-	.Y(n_4235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478663 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3921),
-	.Y(n_4234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478664 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3912),
-	.Y(n_4233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478665 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3911),
-	.Y(n_4232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478666 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3910),
-	.Y(n_4231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478667 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3909),
-	.Y(n_4230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478668 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3908),
-	.Y(n_4229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478669 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3907),
-	.Y(n_4228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478670 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3906),
-	.Y(n_4227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478671 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3930),
-	.Y(n_4226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478672 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3905),
-	.Y(n_4225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478673 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3904),
-	.Y(n_4224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478674 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3903),
-	.Y(n_4223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478675 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3928),
-	.Y(n_4222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478676 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3902),
-	.Y(n_4221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478677 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3922),
-	.Y(n_4220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478678 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3901),
-	.Y(n_4219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478679 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3923),
-	.Y(n_4218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478680 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3900),
-	.Y(n_4217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478682 (
-	.A1(n_16231),
-	.A2(n_3780),
-	.B1(n_3779),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [18]),
-	.Y(n_4215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478683 (
-	.A(n_1382),
-	.B(n_4066),
-	.Y(n_4214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478684 (
-	.A(n_1377),
-	.B(n_4068),
-	.Y(n_4213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478685 (
-	.A1(n_16230),
-	.A2(n_3780),
-	.B1(n_3779),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [17]),
-	.Y(n_4212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478686 (
-	.A1(n_16229),
-	.A2(n_3780),
-	.B1(n_3779),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [16]),
-	.Y(n_4211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478687 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3978),
-	.Y(n_4210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g478688 (
-	.A(n_3585),
-	.B(n_3129),
-	.Y(n_4209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g478689 (
-	.A(n_2819),
-	.B(n_2820),
-	.C(n_3174),
-	.D(n_2829),
-	.Y(n_4208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478690 (
-	.A1(n_16228),
-	.A2(n_3780),
-	.B1(n_3779),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [15]),
-	.Y(n_4207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478691 (
-	.A1(n_16226),
-	.A2(n_3780),
-	.B1(n_3779),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [13]),
-	.Y(n_4206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478692 (
-	.A1(n_16224),
-	.A2(n_3780),
-	.B1(n_1352),
-	.B2(n_15035),
-	.Y(n_4205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g478693 (
-	.A1(soc_top_reset_manager_n_13),
-	.A2(n_3730),
-	.B1(n_444),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Y(n_4204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g478694 (
-	.A1(n_3710),
-	.A2(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [2]),
-	.B1(n_4017),
-	.X(n_4203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g478695 (
-	.A1(n_3710),
-	.A2(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [3]),
-	.B1(n_4017),
-	.X(n_4202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g478696 (
-	.A1(n_3710),
-	.A2(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [4]),
-	.B1(n_4017),
-	.X(n_4201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g478697 (
-	.A1(n_3710),
-	.A2(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
-	.B1(n_4017),
-	.X(n_4200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g478698 (
-	.A1(n_381),
-	.A2(n_255),
-	.B1(n_1215),
-	.C1(n_1462),
-	.D1(n_3234),
-	.Y(n_4199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g478699 (
-	.A1(n_1203),
-	.A2(n_3150),
-	.B1(n_4021),
-	.Y(n_4198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g478700 (
-	.A1(n_3687),
-	.A2(soc_top_u_top_u_core_instr_rdata_c_id[0]),
-	.B1(n_4025),
-	.X(n_4197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g478701 (
-	.A1(soc_top_u_pwm_pwm_core_DC_2[4]),
-	.A2(n_398),
-	.B1(n_3936),
-	.Y(n_4196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g478702 (
-	.A1(soc_top_u_pwm_pwm_core_DC_1[4]),
-	.A2(n_397),
-	.B1(n_3939),
-	.Y(n_4195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g478703 (
-	.A1(n_3786),
-	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[0]),
-	.B1(n_1854),
-	.Y(n_4194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g478704 (
-	.A1(n_621),
-	.A2(n_3316),
-	.B1(n_3880),
-	.Y(n_4193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g478705 (
-	.A1(n_3322),
-	.A2(n_622),
-	.B1(n_624),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [26]),
-	.C1(n_3940),
-	.Y(n_4192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478706 (
-	.A1(n_16215),
-	.A2(n_3780),
-	.B1(n_1352),
-	.B2(n_17036),
-	.Y(n_4191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g478707 (
-	.A1(n_2020),
-	.A2(soc_top_u_pwm_pwm_core_divisor[15]),
-	.B1(n_1358),
-	.B2(\soc_top_pwm_to_xbar[d_data] [15]),
-	.C1(n_3652),
-	.X(n_4190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g478708 (
-	.A1(n_2020),
-	.A2(soc_top_u_pwm_pwm_core_divisor[14]),
-	.B1(n_1358),
-	.B2(\soc_top_pwm_to_xbar[d_data] [14]),
-	.C1(n_3653),
-	.X(n_4189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g478709 (
-	.A1(n_2020),
-	.A2(soc_top_u_pwm_pwm_core_divisor[13]),
-	.B1(n_1358),
-	.B2(\soc_top_pwm_to_xbar[d_data] [13]),
-	.C1(n_3654),
-	.X(n_4188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478710 (
-	.A1(n_16213),
-	.A2(n_3780),
-	.B1(n_3779),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [0]),
-	.Y(n_4187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g478711 (
-	.A1(n_2020),
-	.A2(soc_top_u_pwm_pwm_core_divisor[11]),
-	.B1(n_1358),
-	.B2(\soc_top_pwm_to_xbar[d_data] [11]),
-	.C1(n_3655),
-	.X(n_4186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g478712 (
-	.A1(n_2020),
-	.A2(soc_top_u_pwm_pwm_core_divisor[9]),
-	.B1(n_1358),
-	.B2(\soc_top_pwm_to_xbar[d_data] [9]),
-	.C1(n_3656),
-	.X(n_4185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g478713 (
-	.A1(n_2020),
-	.A2(soc_top_u_pwm_pwm_core_divisor[8]),
-	.B1(n_1358),
-	.B2(\soc_top_pwm_to_xbar[d_data] [8]),
-	.C1(n_3657),
-	.X(n_4184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g478714 (
-	.A1_N(soc_top_u_top_u_core_instr_rdata_c_id[7]),
-	.A2_N(n_3687),
-	.B1(n_3709),
-	.B2(n_3694),
-	.Y(n_4183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g478715 (
-	.A1(n_709),
-	.A2(n_1437),
-	.B1(n_3941),
-	.Y(n_4182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g478716 (
-	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [2]),
-	.A2(n_3396),
-	.B1(n_3129),
-	.C1(n_3720),
-	.Y(n_4181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g478717 (
-	.A(n_2963),
-	.B(n_3658),
-	.C(n_3238),
-	.D(n_2965),
-	.Y(n_4180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g478718 (
-	.A1(n_15059),
-	.A2(n_3422),
-	.B1(n_263),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
-	.C1(n_3055),
-	.Y(n_4179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g478719 (
-	.A1(soc_top_u_top_u_core_alu_adder_result_ex[0]),
-	.A2(n_3391),
-	.B1(n_3106),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [0]),
-	.C1(n_3394),
-	.C2(n_17328),
-	.Y(n_4178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g478720 (
-	.A1(n_14271),
-	.A2(n_3393),
-	.B1(n_3832),
-	.Y(n_4177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g478721 (
-	.A1(n_17331),
-	.A2(n_3394),
-	.B1(\soc_top_xbar_to_timer[a_address] [3]),
-	.B2(n_3391),
-	.C1(n_3106),
-	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [3]),
-	.Y(n_4176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g478722 (
-	.A1(n_17333),
-	.A2(n_3394),
-	.B1(\soc_top_xbar_to_timer[a_address] [5]),
-	.B2(n_3391),
-	.C1(n_3106),
-	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [5]),
-	.Y(n_4175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g478723 (
-	.A1(n_17334),
-	.A2(n_3394),
-	.B1(\soc_top_xbar_to_timer[a_address] [6]),
-	.B2(n_3391),
-	.C1(n_3106),
-	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [6]),
-	.Y(n_4174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g478724 (
-	.A1(n_17336),
-	.A2(n_3394),
-	.B1(\soc_top_xbar_to_timer[a_address] [8]),
-	.B2(n_3391),
-	.C1(n_3106),
-	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [8]),
-	.Y(n_4173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g478725 (
-	.A1(n_17337),
-	.A2(n_3394),
-	.B1(n_3106),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [9]),
-	.C1(\soc_top_lsu_to_xbar[a_address] [9]),
-	.C2(n_3391),
-	.Y(n_4172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g478726 (
-	.A1(n_17338),
-	.A2(n_3394),
-	.B1(n_3106),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [10]),
-	.C1(\soc_top_lsu_to_xbar[a_address] [10]),
-	.C2(n_3391),
-	.Y(n_4171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g478727 (
-	.A(n_4003),
-	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [28]),
-	.Y(n_4170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g478728 (
-	.A1(n_17340),
-	.A2(n_3394),
-	.B1(\soc_top_lsu_to_xbar[a_address] [12]),
-	.B2(n_3391),
-	.C1(n_3106),
-	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [12]),
-	.Y(n_4169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g478729 (
-	.A1(n_17341),
-	.A2(n_3394),
-	.B1(\soc_top_lsu_to_xbar[a_address] [13]),
-	.B2(n_3391),
-	.C1(n_3106),
-	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [13]),
-	.Y(n_4168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g478730 (
-	.A1(n_17343),
-	.A2(n_3394),
-	.B1(soc_top_u_top_u_core_alu_adder_result_ex[15]),
-	.B2(n_3391),
-	.C1(n_3106),
-	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [15]),
-	.Y(n_4167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g478731 (
-	.A1(n_17344),
-	.A2(n_3394),
-	.B1(\soc_top_lsu_to_xbar[a_address] [16]),
-	.B2(n_3391),
-	.C1(n_3106),
-	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [16]),
-	.Y(n_4166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g478732 (
-	.A1(n_17346),
-	.A2(n_3394),
-	.B1(\soc_top_lsu_to_xbar[a_address] [18]),
-	.B2(n_3391),
-	.C1(n_3106),
-	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [18]),
-	.Y(n_4165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g478733 (
-	.A1(n_17348),
-	.A2(n_3394),
-	.B1(\soc_top_lsu_to_xbar[a_address] [20]),
-	.B2(n_3391),
-	.C1(n_3106),
-	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [20]),
-	.Y(n_4164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g478734 (
-	.A1(n_17349),
-	.A2(n_3394),
-	.B1(\soc_top_lsu_to_xbar[a_address] [21]),
-	.B2(n_3391),
-	.C1(n_3106),
-	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [21]),
-	.Y(n_4163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g478735 (
-	.A1(n_17352),
-	.A2(n_3394),
-	.B1(\soc_top_lsu_to_xbar[a_address] [24]),
-	.B2(n_3391),
-	.C1(n_3106),
-	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [24]),
-	.Y(n_4162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g478736 (
-	.A1(n_17353),
-	.A2(n_3394),
-	.B1(\soc_top_lsu_to_xbar[a_address] [25]),
-	.B2(n_3391),
-	.C1(n_3106),
-	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [25]),
-	.Y(n_4161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g478737 (
-	.A1(n_17354),
-	.A2(n_3394),
-	.B1(\soc_top_lsu_to_xbar[a_address] [26]),
-	.B2(n_3391),
-	.C1(n_3106),
-	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [26]),
-	.Y(n_4160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g478738 (
-	.A1(n_17355),
-	.A2(n_3394),
-	.B1(\soc_top_lsu_to_xbar[a_address] [27]),
-	.B2(n_3391),
-	.C1(n_3106),
-	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [27]),
-	.Y(n_4159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g478739 (
-	.A1(n_3150),
-	.A2(n_3064),
-	.A3(n_1355),
-	.B1(n_1356),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[3]),
-	.Y(n_4158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g478740 (
-	.A1(n_17357),
-	.A2(n_3394),
-	.B1(\soc_top_lsu_to_xbar[a_address] [29]),
-	.B2(n_3391),
-	.C1(n_3106),
-	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [29]),
-	.Y(n_4157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g478741 (
-	.A(soc_top_u_top_u_core_alu_operator_ex[5]),
-	.B(n_4019),
-	.C_N(n_931),
-	.X(n_4156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g478742 (
-	.A1(n_17330),
-	.A2(n_3394),
-	.B1(n_3391),
-	.B2(\soc_top_xbar_to_timer[a_address] [2]),
-	.C1(n_3106),
-	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [2]),
-	.Y(n_4155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g478743 (
-	.A1(n_3390),
-	.A2(n_498),
-	.B1(n_3830),
-	.Y(n_4154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g478744 (
-	.A1(n_3390),
-	.A2(n_493),
-	.B1(n_3829),
-	.Y(n_4153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g478745 (
-	.A1(n_3390),
-	.A2(n_388),
-	.B1(n_3828),
-	.Y(n_4152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g478746 (
-	.A1(n_3390),
-	.A2(n_383),
-	.B1(n_3827),
-	.Y(n_4151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g478747 (
-	.A1(n_3390),
-	.A2(n_387),
-	.B1(n_3826),
-	.Y(n_4150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g478748 (
-	.A1(n_3390),
-	.A2(n_386),
-	.B1(n_3825),
-	.Y(n_4149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g478749 (
-	.A1(n_3390),
-	.A2(FE_DBTN25_soc_top_lsu_to_xbar_a_address_30),
-	.B1(n_3824),
-	.Y(n_4148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g478750 (
-	.A1(n_3390),
-	.A2(FE_DBTN26_soc_top_lsu_to_xbar_a_address_31),
-	.B1(n_3823),
-	.Y(n_4147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g478751 (
-	.A1(n_3390),
-	.A2(n_492),
-	.B1(n_3831),
-	.Y(n_4146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g478752 (
-	.A1(n_3390),
-	.A2(n_379),
-	.B1(n_3966),
-	.Y(n_4145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g478753 (
-	.A(n_2850),
-	.B(n_2564),
-	.C(n_2107),
-	.D(n_3260),
-	.Y(n_4144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g478754 (
-	.A(n_1842),
-	.B(n_1624),
-	.C(n_1628),
-	.D(n_3264),
-	.Y(n_4143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g478755 (
-	.A(n_3158),
-	.B(soc_top_u_pwm_pwm_core_period_2[3]),
-	.C(n_405),
-	.X(n_4142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g478757 (
-	.A(n_2786),
-	.B(n_3241),
-	.C(n_2553),
-	.D(n_2554),
-	.Y(n_4141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g478758 (
-	.A1(n_1621),
-	.A2(n_1829),
-	.A3(\soc_top_intr_controller_reg2hw[prio21][q] [1]),
-	.B1(n_3976),
-	.Y(n_4140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g478759 (
-	.A1(n_628),
-	.A2(n_15430),
-	.B1(n_3054),
-	.B2(soc_top_main_swith_host_lsu_num_req_outstanding[5]),
-	.C1(n_3731),
-	.X(n_4139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g478760 (
-	.A(n_3250),
-	.B(n_2543),
-	.C(n_2539),
-	.D(n_2978),
-	.Y(n_4138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g478761 (
-	.A(n_3258),
-	.B(n_2904),
-	.C(n_2536),
-	.D(n_2544),
-	.Y(n_4137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g478762 (
-	.A(n_3261),
-	.B(n_2534),
-	.C(n_2542),
-	.D(n_2763),
-	.Y(n_4136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g478763 (
-	.A(n_3247),
-	.B(n_2766),
-	.C(n_2545),
-	.D(n_2541),
-	.Y(n_4135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g478764 (
-	.A(n_3246),
-	.B(n_2529),
-	.C(n_2546),
-	.D(n_2921),
-	.Y(n_4134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g478765 (
-	.A(n_3245),
-	.B(n_2533),
-	.C(n_2532),
-	.D(n_2922),
-	.Y(n_4133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g478766 (
-	.A(n_2926),
-	.B(n_3244),
-	.C(n_2530),
-	.D(n_2531),
-	.Y(n_4132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478767 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [23]),
-	.A2(n_3780),
-	.B1(n_1352),
-	.B2(n_17053),
-	.Y(n_4131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478768 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [24]),
-	.A2(n_3780),
-	.B1(n_1352),
-	.B2(n_17054),
-	.Y(n_4130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478769 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [25]),
-	.A2(n_3780),
-	.B1(n_3779),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [25]),
-	.Y(n_4129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478770 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [26]),
-	.A2(n_3780),
-	.B1(n_3779),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [26]),
-	.Y(n_4128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478771 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [27]),
-	.A2(n_3780),
-	.B1(n_1352),
-	.B2(n_17057),
-	.Y(n_4127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g478772 (
-	.A1_N(soc_top_u_top_u_core_instr_rdata_c_id[3]),
-	.A2_N(n_3687),
-	.B1(n_3700),
-	.B2(n_3694),
-	.Y(n_4126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478773 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [28]),
-	.A2(n_3780),
-	.B1(n_3779),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [28]),
-	.Y(n_4125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478774 (
-	.A1(n_3779),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [29]),
-	.B1(n_1352),
-	.B2(n_17059),
-	.Y(n_4124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478775 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [1]),
-	.B1(n_3033),
-	.B2(soc_top_u_top_u_core_multdiv_operand_b_ex[0]),
-	.Y(n_4123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478776 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
-	.B1(n_3033),
-	.B2(n_17263),
-	.Y(n_4122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478777 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
-	.B1(n_3033),
-	.B2(n_17264),
-	.Y(n_4121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478778 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
-	.B1(n_3033),
-	.B2(n_17265),
-	.Y(n_4120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478779 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
-	.B1(n_3033),
-	.B2(n_17266),
-	.Y(n_4119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478780 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
-	.B1(n_3033),
-	.B2(n_17267),
-	.Y(n_4118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478781 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
-	.B1(n_3033),
-	.B2(n_17268),
-	.Y(n_4117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478782 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
-	.B1(n_3033),
-	.B2(n_17269),
-	.Y(n_4116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478783 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
-	.B1(n_3033),
-	.B2(n_17270),
-	.Y(n_4115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478784 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
-	.B1(n_3033),
-	.B2(n_17271),
-	.Y(n_4114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478785 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
-	.B1(n_3033),
-	.B2(n_17272),
-	.Y(n_4113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478786 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
-	.B1(n_3033),
-	.B2(n_17273),
-	.Y(n_4112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478787 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
-	.B1(n_3033),
-	.B2(n_17274),
-	.Y(n_4111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478788 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
-	.B1(n_3033),
-	.B2(n_17275),
-	.Y(n_4110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478789 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
-	.B1(n_3033),
-	.B2(n_17276),
-	.Y(n_4109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478790 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
-	.B1(n_3033),
-	.B2(n_17277),
-	.Y(n_4108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478791 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
-	.B1(n_3033),
-	.B2(n_17278),
-	.Y(n_4107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478792 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
-	.B1(n_3033),
-	.B2(n_17279),
-	.Y(n_4106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478793 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
-	.B1(n_3033),
-	.B2(n_17280),
-	.Y(n_4105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478794 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
-	.B1(n_3033),
-	.B2(n_17281),
-	.Y(n_4104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478795 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
-	.B1(n_3033),
-	.B2(n_17282),
-	.Y(n_4103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478796 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
-	.B1(n_3033),
-	.B2(n_17283),
-	.Y(n_4102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478797 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
-	.B1(n_3033),
-	.B2(n_17284),
-	.Y(n_4101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478798 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
-	.B1(n_3033),
-	.B2(n_17285),
-	.Y(n_4100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478799 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
-	.B1(n_3033),
-	.B2(n_17286),
-	.Y(n_4099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478800 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
-	.B1(n_3033),
-	.B2(n_17287),
-	.Y(n_4098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478801 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
-	.B1(n_3033),
-	.B2(n_17288),
-	.Y(n_4097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478802 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
-	.B1(n_3033),
-	.B2(n_17289),
-	.Y(n_4096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478803 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
-	.B1(n_3033),
-	.B2(n_17290),
-	.Y(n_4095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478804 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
-	.B1(n_3033),
-	.B2(n_17291),
-	.Y(n_4094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478805 (
-	.A1(n_3692),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [31]),
-	.B1(n_3033),
-	.B2(n_17292),
-	.Y(n_4093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g478806 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][3][q] ),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [3]),
-	.C1(n_3732),
-	.Y(n_4092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478807 (
-	.A1(n_16214),
-	.A2(n_3780),
-	.B1(n_1352),
-	.B2(n_15037),
-	.Y(n_4091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478808 (
-	.A1(n_3776),
-	.A2(n_15593),
-	.B1(n_3307),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [0]),
-	.Y(n_4090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478809 (
-	.A1(n_15743),
-	.A2(n_3776),
-	.B1(n_3307),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
-	.Y(n_4089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478810 (
-	.A1(n_15915),
-	.A2(n_3776),
-	.B1(n_3307),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
-	.Y(n_4088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g478811 (
-	.A1(n_15916),
-	.A2(n_3776),
-	.B1(n_3307),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
-	.Y(n_4087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g478812 (
-	.A1(n_3049),
-	.A2(n_2607),
-	.B1(n_4062),
-	.Y(n_4086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g478813 (
-	.A1(n_2020),
-	.A2(soc_top_u_pwm_pwm_core_divisor[12]),
-	.B1(n_1358),
-	.B2(\soc_top_pwm_to_xbar[d_data] [12]),
-	.C1(n_3651),
-	.X(n_4085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g478814 (
-	.A1_N(soc_top_u_top_u_core_instr_rdata_c_id[1]),
-	.A2_N(n_3687),
-	.B1(n_1130),
-	.B2(n_3694),
-	.Y(n_4084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g478815 (
-	.A1_N(soc_top_u_top_u_core_instr_rdata_c_id[2]),
-	.A2_N(n_3687),
-	.B1(n_3703),
-	.B2(n_3694),
-	.Y(n_4083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g478816 (
-	.A1_N(soc_top_u_top_u_core_instr_rdata_c_id[4]),
-	.A2_N(n_3687),
-	.B1(n_3707),
-	.B2(n_3694),
-	.Y(n_4082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g478817 (
-	.A1_N(soc_top_u_top_u_core_instr_rdata_c_id[5]),
-	.A2_N(n_3687),
-	.B1(n_3698),
-	.B2(n_3694),
-	.Y(n_4081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g478818 (
-	.A1_N(soc_top_u_top_u_core_instr_rdata_c_id[6]),
-	.A2_N(n_3687),
-	.B1(n_3695),
-	.B2(n_3694),
-	.Y(n_4080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g478819 (
-	.A_N(n_3334),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
-	.Y(n_4294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478826 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [2]),
-	.B(n_3955),
-	.Y(n_4293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g478831 (
-	.A_N(n_4019),
-	.B(soc_top_u_top_u_core_alu_operator_ex[3]),
-	.Y(n_4292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g478832 (
-	.A(n_16967),
-	.B(n_15070),
-	.C(n_266),
-	.Y(n_4291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g478835 (
-	.A1(n_3320),
-	.A2(n_622),
-	.B1(n_624),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [27]),
-	.C1(n_3944),
-	.Y(n_4290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g478836 (
-	.A1(n_3324),
-	.A2(n_622),
-	.B1(n_624),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [25]),
-	.C1(n_3935),
-	.Y(n_4289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g478838 (
-	.A(n_4053),
-	.B(n_15070),
-	.Y(n_4288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478839 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[7]),
-	.B(n_3417),
-	.Y(n_4287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g478840 (
-	.A_N(n_4076),
-	.B(soc_top_u_pwm_pwm_core_counter_p1[6]),
-	.Y(n_4286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g478841 (
-	.A(n_4007),
-	.B(n_4009),
-	.Y(n_4284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g478842 (
-	.A_N(n_3336),
-	.B(\soc_top_timer0_gen_harts[0].u_core_tick_count [4]),
-	.Y(n_4283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g478843 (
-	.A(n_394),
-	.B(n_3418),
-	.X(n_4282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g478844 (
-	.A(n_15070),
-	.B(n_266),
-	.C_N(n_16967),
-	.X(n_4281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g478845 (
-	.A1(n_3324),
-	.A2(n_632),
-	.B1(n_633),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [25]),
-	.C1(n_3934),
-	.Y(n_4280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g478846 (
-	.A1(n_631),
-	.A2(n_3317),
-	.B1(n_1313),
-	.C1(n_3800),
-	.Y(n_4279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g478847 (
-	.A1(n_3315),
-	.A2(n_632),
-	.B1(n_633),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [24]),
-	.C1(n_3798),
-	.Y(n_4277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g478848 (
-	.A1(n_631),
-	.A2(n_3321),
-	.B1(n_1316),
-	.C1(n_3804),
-	.Y(n_4276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g478849 (
-	.A1(n_631),
-	.A2(n_3323),
-	.B1(n_1309),
-	.C1(n_3803),
-	.Y(n_4274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g478850 (
-	.A1(n_631),
-	.A2(n_3313),
-	.B1(n_1330),
-	.C1(n_3799),
-	.Y(n_4272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g478851 (
-	.A1(n_631),
-	.A2(n_3314),
-	.B1(n_1315),
-	.C1(n_3801),
-	.Y(n_4270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g478852 (
-	.A1(n_631),
-	.A2(n_3318),
-	.B1(n_1343),
-	.C1(n_3802),
-	.Y(n_4268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g478853 (
-	.A(n_4025),
-	.B(n_1130),
-	.Y(n_4266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g478854 (
-	.A(n_3973),
-	.B(n_2605),
-	.Y(n_4264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g478855 (
-	.A(n_3713),
-	.B(n_4074),
-	.X(n_4263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g478856 (
-	.A(n_4077),
-	.Y(n_4078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g478857 (
-	.A(n_4075),
-	.Y(n_4074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g478858 (
-	.A(n_4068),
-	.Y(n_4069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g478859 (
-	.A(n_4067),
-	.Y(n_4066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g478860 (
-	.A(soc_top_u_pwm_pwm_core_counter_p2[3]),
-	.B(n_3102),
-	.COUT(n_4063),
-	.SUM(n_4064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478862 (
-	.A(n_889),
-	.B(n_3717),
-	.Y(n_4062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478863 (
-	.A(n_2229),
-	.B(n_3785),
-	.Y(n_4061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g478864 (
-	.A(n_3787),
-	.B(n_1388),
-	.Y(n_4060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g478865 (
-	.A(n_3687),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
-	.Y(n_4059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478866 (
-	.A(n_3689),
-	.B(n_2379),
-	.Y(n_4058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g478867 (
-	.A(n_3687),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[8]),
-	.Y(n_4057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g478868 (
-	.A(n_3687),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[3]),
-	.Y(n_4056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g478869 (
-	.A(n_3785),
-	.B_N(n_980),
-	.Y(n_4055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478870 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [0]),
-	.B(n_3785),
-	.Y(n_4054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478871 (
-	.A(n_15069),
-	.B(n_266),
-	.Y(n_4053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g478872 (
-	.A(n_3779),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [2]),
-	.Y(n_4052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g478873 (
-	.A(n_3779),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [1]),
-	.Y(n_4051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478874 (
-	.A(n_3689),
-	.B(n_2392),
-	.Y(n_4050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478875 (
-	.A(n_3689),
-	.B(n_2394),
-	.Y(n_4049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478876 (
-	.A(n_3689),
-	.B(n_2391),
-	.Y(n_4048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478877 (
-	.A(n_3689),
-	.B(n_2390),
-	.Y(n_4047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478878 (
-	.A(n_3689),
-	.B(n_2389),
-	.Y(n_4046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478879 (
-	.A(n_3689),
-	.B(n_2388),
-	.Y(n_4045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478880 (
-	.A(n_3689),
-	.B(n_2387),
-	.Y(n_4044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478881 (
-	.A(n_3689),
-	.B(n_2386),
-	.Y(n_4043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478882 (
-	.A(n_3689),
-	.B(n_2385),
-	.Y(n_4042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478883 (
-	.A(n_3689),
-	.B(n_2384),
-	.Y(n_4041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478884 (
-	.A(n_3689),
-	.B(n_2382),
-	.Y(n_4040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478885 (
-	.A(n_3689),
-	.B(n_2381),
-	.Y(n_4039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478886 (
-	.A(n_3689),
-	.B(n_2380),
-	.Y(n_4038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g478901 (
-	.A(n_3716),
-	.B(n_909),
-	.X(n_4037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g478906 (
-	.A(n_260),
-	.B_N(n_924),
-	.Y(n_4079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g478913 (
-	.A(n_2675),
-	.B(n_3787),
-	.Y(n_4077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g478925 (
-	.A_N(n_3789),
-	.B(soc_top_u_pwm_pwm_core_counter_p1[5]),
-	.Y(n_4076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479058 (
-	.A(n_3714),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_ready ),
-	.Y(n_4075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479083 (
-	.A(n_3793),
-	.B(n_494),
-	.Y(n_4073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479084 (
-	.A(n_916),
-	.B(n_3689),
-	.Y(n_4072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479085 (
-	.A(n_3793),
-	.B(\soc_top_intr_controller_irq_id_o[0] [1]),
-	.Y(n_4071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479086 (
-	.A(n_916),
-	.B(n_3711),
-	.Y(n_4070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479087 (
-	.A(n_3688),
-	.B(n_901),
-	.Y(n_4068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g479088 (
-	.A(n_3711),
-	.B_N(n_901),
-	.Y(n_4067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479089 (
-	.A(n_3795),
-	.B(n_3411),
-	.Y(n_4065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g479090 (
-	.A(n_3956),
-	.Y(n_4036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g479091 (
-	.A(n_3952),
-	.Y(n_4035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g479092 (
-	.A(n_3951),
-	.Y(n_4034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g479093 (
-	.A(n_3950),
-	.Y(n_4033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g479094 (
-	.A(n_3949),
-	.Y(n_4032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g479095 (
-	.A(n_3948),
-	.Y(n_4031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g479096 (
-	.A(n_3947),
-	.Y(n_4030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g479097 (
-	.A(n_3946),
-	.Y(n_4029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g479098 (
-	.A(n_3945),
-	.Y(n_4028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g479099 (
-	.A(n_3943),
-	.Y(n_4027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g479101 (
-	.A(n_4009),
-	.Y(n_4008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g479102 (
-	.A(n_4007),
-	.Y(n_4006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479103 (
-	.A1(n_2132),
-	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [25]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [25]),
-	.C1(n_3563),
-	.Y(n_4002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479104 (
-	.A(n_3689),
-	.B(n_2377),
-	.Y(n_4001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479105 (
-	.A(n_3689),
-	.B(n_2376),
-	.Y(n_4000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479106 (
-	.A(n_3689),
-	.B(n_2375),
-	.Y(n_3999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479107 (
-	.A(n_3689),
-	.B(n_2374),
-	.Y(n_3998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479108 (
-	.A(n_3689),
-	.B(n_2373),
-	.Y(n_3997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479109 (
-	.A(n_3689),
-	.B(n_2368),
-	.Y(n_3996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479110 (
-	.A(n_3689),
-	.B(n_2372),
-	.Y(n_3995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479111 (
-	.A(n_3689),
-	.B(n_2371),
-	.Y(n_3994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479112 (
-	.A(n_3689),
-	.B(n_2398),
-	.Y(n_3993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479113 (
-	.A(n_3689),
-	.B(n_2397),
-	.Y(n_3992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479114 (
-	.A(n_3689),
-	.B(n_2396),
-	.Y(n_3991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g479115 (
-	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.B(n_2651),
-	.X(n_3990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g479116 (
-	.A1(n_3380),
-	.A2(n_11456),
-	.B1(n_3729),
-	.Y(n_3989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g479117 (
-	.A1(n_3380),
-	.A2(n_14958),
-	.B1(n_3728),
-	.Y(n_3988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g479118 (
-	.A1(n_3380),
-	.A2(n_11455),
-	.B1(n_3734),
-	.Y(n_3987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g479119 (
-	.A1(n_3380),
-	.A2(n_14946),
-	.B1(n_3726),
-	.Y(n_3986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g479120 (
-	.A1(n_3377),
-	.A2(n_11456),
-	.B1(n_3725),
-	.Y(n_3985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g479121 (
-	.A1(n_3377),
-	.A2(n_14958),
-	.B1(n_3724),
-	.Y(n_3984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g479122 (
-	.A1(n_3377),
-	.A2(n_11455),
-	.B1(n_3723),
-	.Y(n_3983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g479123 (
-	.A1(n_3377),
-	.A2(n_14946),
-	.B1(n_3722),
-	.Y(n_3982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479124 (
-	.A1_N(soc_top_u_spi_host_spi_host_ctrl[13]),
-	.A2_N(n_3310),
-	.B1(n_3128),
-	.B2(n_472),
-	.Y(n_3981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479125 (
-	.A1_N(soc_top_u_spi_host_spi_host_ctrl[9]),
-	.A2_N(n_3310),
-	.B1(n_3128),
-	.B2(n_361),
-	.Y(n_3980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g479126 (
-	.A1(n_3128),
-	.A2(n_469),
-	.B1(n_3576),
-	.Y(n_3979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g479127 (
-	.A1_N(n_1657),
-	.A2_N(n_3309),
-	.B1(soc_top_u_top_u_core_instr_is_compressed_id),
-	.B2(n_3309),
-	.Y(n_3978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g479128 (
-	.A1(n_17452),
-	.A2(FE_DBTN10_soc_top_u_spi_host_spi_host_ctrl_0),
-	.B1(soc_top_reset_manager_n_13),
-	.Y(n_3977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g479129 (
-	.A1(n_2827),
-	.A2(n_1348),
-	.B1(n_2297),
-	.C1(n_2587),
-	.D1(n_2828),
-	.Y(n_3976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g479130 (
-	.A(n_2818),
-	.B(n_2817),
-	.C(n_2909),
-	.D(n_2777),
-	.Y(n_3975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g479131 (
-	.A1(n_15010),
-	.A2(n_571),
-	.B1(n_3777),
-	.Y(n_3974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a311oi_1 g479132 (
-	.A1(n_14895),
-	.A2(n_14874),
-	.A3(n_1397),
-	.B1(n_3425),
-	.C1(n_3422),
-	.Y(n_3973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g479133 (
-	.A1(n_1621),
-	.A2(n_1624),
-	.A3(\soc_top_intr_controller_reg2hw[prio9][q] [0]),
-	.B1(n_3578),
-	.Y(n_3972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g479134 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.A2(n_311),
-	.B1(n_3713),
-	.Y(n_3971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g479135 (
-	.A1(n_1433),
-	.A2(n_2663),
-	.B1(n_3788),
-	.Y(n_3970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a311o_1 g479136 (
-	.A1(n_2460),
-	.A2(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2941),
-	.A3(n_18184),
-	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
-	.C1(n_14878),
-	.X(n_3969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g479137 (
-	.A(n_3333),
-	.B(n_17132),
-	.C(n_491),
-	.D(n_14910),
-	.Y(n_3968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479138 (
-	.A1_N(soc_top_u_spi_host_spi_host_ctrl[6]),
-	.A2_N(n_3395),
-	.B1(n_3136),
-	.B2(n_468),
-	.Y(n_3967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479139 (
-	.A1(n_3394),
-	.A2(n_17332),
-	.B1(n_3106),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [4]),
-	.Y(n_3966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479140 (
-	.A1_N(soc_top_u_spi_host_spi_host_ctrl[5]),
-	.A2_N(n_3395),
-	.B1(n_3136),
-	.B2(n_465),
-	.Y(n_3965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479141 (
-	.A1_N(soc_top_u_spi_host_spi_host_ctrl[3]),
-	.A2_N(n_3395),
-	.B1(n_3136),
-	.B2(n_463),
-	.Y(n_3964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g479142 (
-	.A1(n_2487),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[31]),
-	.B1(n_3659),
-	.Y(n_3963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479143 (
-	.A(n_3791),
-	.B(n_1591),
-	.Y(n_3962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g479144 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
-	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
-	.D(n_2951),
-	.X(n_3961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g479145 (
-	.A(n_17289),
-	.B(n_17288),
-	.C(n_17290),
-	.D(n_2950),
-	.X(n_3960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479146 (
-	.A1_N(soc_top_u_spi_host_spi_host_ctrl[4]),
-	.A2_N(n_3395),
-	.B1(n_3136),
-	.B2(n_464),
-	.Y(n_3959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g479147 (
-	.A1_N(n_621),
-	.A2_N(n_3317),
-	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [30]),
-	.B2(n_624),
-	.Y(n_3958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g479148 (
-	.A1_N(n_637),
-	.A2_N(n_3311),
-	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [14]),
-	.B2(n_634),
-	.Y(n_3957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g479149 (
-	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[11]),
-	.A2(n_18229),
-	.B1(n_2606),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[11]),
-	.C1(n_15407),
-	.C2(n_3122),
-	.Y(n_3956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g479150 (
-	.A1(n_15042),
-	.A2(n_966),
-	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [1]),
-	.B1(n_3583),
-	.Y(n_3955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g479151 (
-	.A(n_3688),
-	.B(n_1636),
-	.C(\soc_top_u_top_u_core_imd_val_q_ex[1] [0]),
-	.Y(n_3954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g479152 (
-	.A1(n_715),
-	.A2(n_1443),
-	.B1(n_2117),
-	.C1(n_3275),
-	.D1(n_3580),
-	.Y(n_3953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g479153 (
-	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[2]),
-	.A2(n_18229),
-	.B1(n_2606),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[2]),
-	.C1(n_3122),
-	.C2(n_15398),
-	.Y(n_3952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g479154 (
-	.A1(n_15400),
-	.A2(n_3122),
-	.B1(n_2606),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[4]),
-	.C1(n_18229),
-	.C2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[4]),
-	.Y(n_3951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g479155 (
-	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[5]),
-	.A2(n_18229),
-	.B1(n_2606),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[5]),
-	.C1(n_3122),
-	.C2(n_15401),
-	.Y(n_3950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g479156 (
-	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[6]),
-	.A2(n_18229),
-	.B1(n_2606),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[6]),
-	.C1(n_15402),
-	.C2(n_3122),
-	.Y(n_3949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g479157 (
-	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[7]),
-	.A2(n_18229),
-	.B1(n_15403),
-	.B2(n_3122),
-	.C1(n_2606),
-	.C2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[7]),
-	.Y(n_3948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g479158 (
-	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[8]),
-	.A2(n_18229),
-	.B1(n_2606),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[8]),
-	.C1(n_15404),
-	.C2(n_3122),
-	.Y(n_3947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g479159 (
-	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[9]),
-	.A2(n_18229),
-	.B1(n_2606),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[9]),
-	.C1(n_15405),
-	.C2(n_3122),
-	.Y(n_3946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g479160 (
-	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[10]),
-	.A2(n_18229),
-	.B1(n_15406),
-	.B2(n_3122),
-	.C1(n_2606),
-	.C2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[10]),
-	.Y(n_3945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g479161 (
-	.A1(n_637),
-	.A2(n_3327),
-	.B1(n_1310),
-	.Y(n_3944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g479162 (
-	.A1(n_15399),
-	.A2(n_3122),
-	.B1(n_2606),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[3]),
-	.C1(n_18229),
-	.C2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[3]),
-	.Y(n_3943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g479163 (
-	.A(n_3556),
-	.B(n_2254),
-	.C(n_2298),
-	.Y(n_3942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g479164 (
-	.A1(n_1436),
-	.A2(n_711),
-	.B1(n_2172),
-	.C1(n_2919),
-	.D1(n_2983),
-	.Y(n_3941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g479165 (
-	.A1(n_637),
-	.A2(n_3331),
-	.B1(n_1341),
-	.Y(n_3940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g479166 (
-	.A(n_2761),
-	.B(soc_top_u_pwm_pwm_core_DC_1[3]),
-	.C(n_509),
-	.X(n_3939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g479167 (
-	.A1(n_1623),
-	.A2(n_2224),
-	.B1(n_3551),
-	.Y(n_3938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g479168 (
-	.A1(n_2677),
-	.A2(n_626),
-	.B1(n_3532),
-	.Y(n_3937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g479169 (
-	.A(n_2760),
-	.B(soc_top_u_pwm_pwm_core_DC_2[3]),
-	.C(n_405),
-	.X(n_3936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g479170 (
-	.A1(n_637),
-	.A2(n_3328),
-	.B1(n_1329),
-	.Y(n_3935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479171 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [9]),
-	.A2_N(n_624),
-	.B1(n_621),
-	.B2(n_3328),
-	.Y(n_3934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g479172 (
-	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.A2(n_3430),
-	.B1(n_3790),
-	.X(n_3933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g479173 (
-	.A1(n_1830),
-	.A2(n_2179),
-	.B1(n_3554),
-	.Y(n_3932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g479174 (
-	.A1(soc_top_u_top_u_core_pc_set),
-	.A2(n_3309),
-	.B1(n_323),
-	.B2(soc_top_u_top_u_core_instr_valid_clear),
-	.Y(n_3931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479175 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[22]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[22]),
-	.Y(n_3930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479176 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[9]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[9]),
-	.Y(n_3929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479177 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[26]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[26]),
-	.Y(n_3928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479178 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[10]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[10]),
-	.Y(n_3927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479179 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[6]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[6]),
-	.Y(n_3926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479180 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[2]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[2]),
-	.Y(n_3925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479181 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[1]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[1]),
-	.Y(n_3924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479182 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[30]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[30]),
-	.Y(n_3923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479183 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[28]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[28]),
-	.Y(n_3922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479184 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[14]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[14]),
-	.Y(n_3921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479185 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[3]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[3]),
-	.Y(n_3920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479186 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[4]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[4]),
-	.Y(n_3919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479187 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[5]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[5]),
-	.Y(n_3918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479188 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[7]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[7]),
-	.Y(n_3917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479189 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[8]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[8]),
-	.Y(n_3916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479190 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[11]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[11]),
-	.Y(n_3915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479191 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[12]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[12]),
-	.Y(n_3914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479192 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[13]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[13]),
-	.Y(n_3913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479193 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[15]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[15]),
-	.Y(n_3912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479194 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[16]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[16]),
-	.Y(n_3911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479195 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[17]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[17]),
-	.Y(n_3910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479196 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[18]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[18]),
-	.Y(n_3909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479197 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[19]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[19]),
-	.Y(n_3908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479198 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[20]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[20]),
-	.Y(n_3907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479199 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[21]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[21]),
-	.Y(n_3906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479200 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[23]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[23]),
-	.Y(n_3905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479201 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[24]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[24]),
-	.Y(n_3904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479202 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[25]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[25]),
-	.Y(n_3903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479203 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[27]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[27]),
-	.Y(n_3902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479204 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[29]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[29]),
-	.Y(n_3901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479205 (
-	.A1(n_3308),
-	.A2(soc_top_u_top_u_core_pc_if[31]),
-	.B1(n_3309),
-	.B2(soc_top_u_top_u_core_pc_id[31]),
-	.Y(n_3900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g479206 (
-	.A1(n_2822),
-	.A2(n_1347),
-	.B1(n_2040),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][32][q] ),
-	.C1(n_2013),
-	.C2(\soc_top_intr_controller_reg2hw[ie0][0][q] ),
-	.Y(n_3899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g479207 (
-	.A1(soc_top_u_pwm_pwm_core_period_counter2[4]),
-	.A2(n_3427),
-	.B1(soc_top_u_pwm_pwm_core_period_counter2[3]),
-	.B2(n_398),
-	.Y(n_3898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g479208 (
-	.A1(n_1214),
-	.A2(n_2664),
-	.B1(n_3712),
-	.Y(n_3897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g479209 (
-	.A(n_2857),
-	.B(n_2858),
-	.C(n_2167),
-	.D(n_2859),
-	.Y(n_3896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479210 (
-	.A1(n_2132),
-	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [16]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [16]),
-	.C1(n_3570),
-	.Y(n_3895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479211 (
-	.A1(n_2132),
-	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [17]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [17]),
-	.C1(n_3569),
-	.Y(n_3894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479212 (
-	.A1(n_2132),
-	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [19]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [19]),
-	.C1(n_3568),
-	.Y(n_3893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479213 (
-	.A1(n_2132),
-	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [20]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [20]),
-	.C1(n_3567),
-	.Y(n_3892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479214 (
-	.A1(n_2132),
-	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [21]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [21]),
-	.C1(n_3566),
-	.Y(n_3891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479215 (
-	.A1(n_2132),
-	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [23]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [23]),
-	.C1(n_3565),
-	.Y(n_3890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479216 (
-	.A1(n_2132),
-	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [24]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [24]),
-	.C1(n_3564),
-	.Y(n_3889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479217 (
-	.A(n_3689),
-	.B(n_2378),
-	.Y(n_3888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479218 (
-	.A1(n_2132),
-	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [26]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [26]),
-	.C1(n_3562),
-	.Y(n_3887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479219 (
-	.A1(n_2132),
-	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [27]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [27]),
-	.C1(n_3561),
-	.Y(n_3886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479220 (
-	.A1(n_2132),
-	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [28]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [28]),
-	.C1(n_3560),
-	.Y(n_3885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479221 (
-	.A1(n_2132),
-	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [29]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [29]),
-	.C1(n_3559),
-	.Y(n_3884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479222 (
-	.A1(n_2132),
-	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [30]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [30]),
-	.C1(n_3558),
-	.Y(n_3883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479223 (
-	.A1(n_2132),
-	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [31]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [31]),
-	.C1(n_3557),
-	.Y(n_3882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g479224 (
-	.A(n_2762),
-	.B(soc_top_u_pwm_pwm_core_period[3]),
-	.C(n_509),
-	.X(n_3881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g479225 (
-	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [24]),
-	.A2(n_624),
-	.B1(n_634),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [8]),
-	.C1(n_3329),
-	.C2(n_636),
-	.Y(n_3880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479226 (
-	.A1(n_3385),
-	.A2(n_17329),
-	.B1(n_3382),
-	.B2(n_17330),
-	.Y(n_3879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479227 (
-	.A1(n_3385),
-	.A2(n_17330),
-	.B1(n_3382),
-	.B2(n_17331),
-	.Y(n_3878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479228 (
-	.A1(n_3385),
-	.A2(n_17331),
-	.B1(n_3382),
-	.B2(n_17332),
-	.Y(n_3877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479229 (
-	.A1(n_3385),
-	.A2(n_17332),
-	.B1(n_3382),
-	.B2(n_17333),
-	.Y(n_3876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479230 (
-	.A1(n_3382),
-	.A2(n_17334),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
-	.Y(n_3875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479231 (
-	.A1(n_3385),
-	.A2(n_17334),
-	.B1(n_3382),
-	.B2(n_17335),
-	.Y(n_3874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479232 (
-	.A1(n_3382),
-	.A2(n_17336),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
-	.Y(n_3873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479233 (
-	.A1(n_3385),
-	.A2(n_17336),
-	.B1(n_3382),
-	.B2(n_17337),
-	.Y(n_3872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479234 (
-	.A1(n_3385),
-	.A2(n_17337),
-	.B1(n_3382),
-	.B2(n_17338),
-	.Y(n_3871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479235 (
-	.A1(n_3385),
-	.A2(n_17338),
-	.B1(n_3382),
-	.B2(n_17339),
-	.Y(n_3870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479236 (
-	.A1(n_3385),
-	.A2(n_17339),
-	.B1(n_3382),
-	.B2(n_17340),
-	.Y(n_3869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479237 (
-	.A1(n_3385),
-	.A2(n_17340),
-	.B1(n_3382),
-	.B2(n_17341),
-	.Y(n_3868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479238 (
-	.A1(n_3385),
-	.A2(n_17341),
-	.B1(n_3382),
-	.B2(n_17342),
-	.Y(n_3867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479239 (
-	.A1(n_3385),
-	.A2(n_17342),
-	.B1(n_3382),
-	.B2(n_17343),
-	.Y(n_3866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479240 (
-	.A1(n_3385),
-	.A2(n_17343),
-	.B1(n_3382),
-	.B2(n_17344),
-	.Y(n_3865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479241 (
-	.A1(n_3385),
-	.A2(n_17344),
-	.B1(n_3382),
-	.B2(n_17345),
-	.Y(n_3864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479242 (
-	.A1(n_3385),
-	.A2(n_17345),
-	.B1(n_3382),
-	.B2(n_17346),
-	.Y(n_3863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479243 (
-	.A1(n_3385),
-	.A2(n_17346),
-	.B1(n_3382),
-	.B2(n_17347),
-	.Y(n_3862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479244 (
-	.A1(n_3382),
-	.A2(n_17348),
-	.B1(n_3387),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
-	.Y(n_3861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479245 (
-	.A1(n_3385),
-	.A2(n_17348),
-	.B1(n_3382),
-	.B2(n_17349),
-	.Y(n_3860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479246 (
-	.A1(n_3385),
-	.A2(n_17349),
-	.B1(n_3382),
-	.B2(n_17350),
-	.Y(n_3859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479247 (
-	.A1(n_3385),
-	.A2(n_17350),
-	.B1(n_3382),
-	.B2(n_17351),
-	.Y(n_3858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479248 (
-	.A1(n_3385),
-	.A2(n_17351),
-	.B1(n_3382),
-	.B2(n_17352),
-	.Y(n_3857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479249 (
-	.A1(n_3385),
-	.A2(n_17352),
-	.B1(n_3382),
-	.B2(n_17353),
-	.Y(n_3856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479250 (
-	.A1(n_3385),
-	.A2(n_17353),
-	.B1(n_3382),
-	.B2(n_17354),
-	.Y(n_3855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479251 (
-	.A1(n_3385),
-	.A2(n_17354),
-	.B1(n_3382),
-	.B2(n_17355),
-	.Y(n_3854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479252 (
-	.A1(n_3385),
-	.A2(n_17355),
-	.B1(n_3382),
-	.B2(n_17356),
-	.Y(n_3853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479253 (
-	.A1(n_3385),
-	.A2(n_17356),
-	.B1(n_3382),
-	.B2(n_17357),
-	.Y(n_3852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479254 (
-	.A1(n_3385),
-	.A2(n_17357),
-	.B1(n_3382),
-	.B2(n_17358),
-	.Y(n_3851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479255 (
-	.A1(n_3385),
-	.A2(n_17358),
-	.B1(n_3382),
-	.B2(n_17359),
-	.Y(n_3850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479256 (
-	.A1_N(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [8]),
-	.A2_N(n_3376),
-	.B1(n_3376),
-	.B2(n_14946),
-	.Y(n_3849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479257 (
-	.A1_N(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [16]),
-	.A2_N(n_3376),
-	.B1(n_3376),
-	.B2(n_11455),
-	.Y(n_3848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479258 (
-	.A1_N(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [24]),
-	.A2_N(n_3376),
-	.B1(n_3376),
-	.B2(n_14958),
-	.Y(n_3847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479259 (
-	.A1_N(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [32]),
-	.A2_N(n_3376),
-	.B1(n_3376),
-	.B2(n_11456),
-	.Y(n_3846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479260 (
-	.A1_N(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [8]),
-	.A2_N(n_3379),
-	.B1(n_3379),
-	.B2(n_14946),
-	.Y(n_3845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479261 (
-	.A1_N(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [16]),
-	.A2_N(n_3379),
-	.B1(n_3379),
-	.B2(n_11455),
-	.Y(n_3844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479262 (
-	.A1_N(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [24]),
-	.A2_N(n_3379),
-	.B1(n_3379),
-	.B2(n_14958),
-	.Y(n_3843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479263 (
-	.A1_N(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [32]),
-	.A2_N(n_3379),
-	.B1(n_3379),
-	.B2(n_11456),
-	.Y(n_3842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479264 (
-	.A1_N(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.A2_N(n_2645),
-	.B1(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B2(n_2645),
-	.Y(n_3841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g479265 (
-	.A(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B(n_2648),
-	.Y(n_3840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479266 (
-	.A1_N(soc_top_u_spi_host_spi_host_ctrl[15]),
-	.A2_N(n_3310),
-	.B1(n_3128),
-	.B2(n_363),
-	.Y(n_3839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479267 (
-	.A1_N(soc_top_u_spi_host_spi_host_ctrl[2]),
-	.A2_N(n_3395),
-	.B1(n_3136),
-	.B2(n_462),
-	.Y(n_3838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479268 (
-	.A1_N(soc_top_u_spi_host_spi_host_ctrl[12]),
-	.A2_N(n_3310),
-	.B1(n_3128),
-	.B2(n_471),
-	.Y(n_3837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479269 (
-	.A1_N(soc_top_u_spi_host_spi_host_ctrl[7]),
-	.A2_N(n_3395),
-	.B1(n_3136),
-	.B2(n_467),
-	.Y(n_3836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479270 (
-	.A1(n_3322),
-	.A2(n_2603),
-	.B1(n_2602),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [26]),
-	.X(n_3835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479271 (
-	.A1_N(soc_top_u_spi_host_spi_host_ctrl[10]),
-	.A2_N(n_3310),
-	.B1(n_3128),
-	.B2(n_470),
-	.Y(n_3834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g479272 (
-	.A1(soc_top_u_pwm_pwm_core_counter_p1[4]),
-	.A2(n_3428),
-	.B1(soc_top_u_pwm_pwm_core_counter_p1[3]),
-	.B2(n_333),
-	.Y(n_3833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479273 (
-	.A1(n_3391),
-	.A2(soc_top_u_top_u_core_alu_adder_result_ex[1]),
-	.B1(n_3106),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [1]),
-	.Y(n_3832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479274 (
-	.A1(n_3394),
-	.A2(n_17335),
-	.B1(n_3106),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [7]),
-	.Y(n_3831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479275 (
-	.A1(n_3394),
-	.A2(n_17342),
-	.B1(n_3106),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [14]),
-	.Y(n_3830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479276 (
-	.A1(n_3394),
-	.A2(n_17345),
-	.B1(n_3106),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [17]),
-	.Y(n_3829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479277 (
-	.A1(n_3394),
-	.A2(n_17347),
-	.B1(n_3106),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [19]),
-	.Y(n_3828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479278 (
-	.A1(n_3394),
-	.A2(n_17350),
-	.B1(n_3106),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [22]),
-	.Y(n_3827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479279 (
-	.A1(n_3394),
-	.A2(n_17351),
-	.B1(n_3106),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [23]),
-	.Y(n_3826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479280 (
-	.A1(n_3394),
-	.A2(n_17356),
-	.B1(n_3106),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [28]),
-	.Y(n_3825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479281 (
-	.A1(n_3394),
-	.A2(n_17358),
-	.B1(n_3106),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [30]),
-	.Y(n_3824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479282 (
-	.A1(n_3394),
-	.A2(n_17359),
-	.B1(n_3106),
-	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [31]),
-	.Y(n_3823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479283 (
-	.A1_N(soc_top_u_spi_host_spi_host_ctrl[14]),
-	.A2_N(n_3310),
-	.B1(n_3128),
-	.B2(n_362),
-	.Y(n_3822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479284 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [8]),
-	.A2_N(n_2602),
-	.B1(n_2604),
-	.B2(n_3330),
-	.Y(n_3821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479285 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [9]),
-	.A2_N(n_2602),
-	.B1(n_2604),
-	.B2(n_3328),
-	.Y(n_3820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479286 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [10]),
-	.A2_N(n_2602),
-	.B1(n_2604),
-	.B2(n_3331),
-	.Y(n_3819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479287 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [11]),
-	.A2_N(n_2602),
-	.B1(n_2604),
-	.B2(n_3327),
-	.Y(n_3818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479288 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [12]),
-	.A2_N(n_2602),
-	.B1(n_2604),
-	.B2(n_3326),
-	.Y(n_3817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479289 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [13]),
-	.A2_N(n_2602),
-	.B1(n_2604),
-	.B2(n_3332),
-	.Y(n_3816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479290 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [14]),
-	.A2_N(n_2602),
-	.B1(n_2604),
-	.B2(n_3311),
-	.Y(n_3815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479291 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [15]),
-	.A2_N(n_2602),
-	.B1(n_2604),
-	.B2(n_3312),
-	.Y(n_3814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479292 (
-	.A1(n_3315),
-	.A2(n_2603),
-	.B1(n_2602),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [24]),
-	.X(n_3813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479293 (
-	.A1(n_3324),
-	.A2(n_2603),
-	.B1(n_2602),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [25]),
-	.X(n_3812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479294 (
-	.A1(n_3320),
-	.A2(n_2603),
-	.B1(n_2602),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [27]),
-	.X(n_3811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479295 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [28]),
-	.A2_N(n_2602),
-	.B1(n_2604),
-	.B2(n_3318),
-	.Y(n_3810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479296 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [29]),
-	.A2_N(n_2602),
-	.B1(n_2604),
-	.B2(n_3313),
-	.Y(n_3809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479297 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [30]),
-	.A2_N(n_2602),
-	.B1(n_2604),
-	.B2(n_3317),
-	.Y(n_3808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479298 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [31]),
-	.A2_N(n_2602),
-	.B1(n_2604),
-	.B2(n_3314),
-	.Y(n_3807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479299 (
-	.A1_N(soc_top_u_spi_host_spi_host_ctrl[11]),
-	.A2_N(n_3310),
-	.B1(n_3128),
-	.B2(n_360),
-	.Y(n_3806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479300 (
-	.A1_N(soc_top_u_spi_host_spi_host_ctrl[1]),
-	.A2_N(n_3395),
-	.B1(n_3136),
-	.B2(n_359),
-	.Y(n_3805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g479301 (
-	.A1_N(n_621),
-	.A2_N(n_3327),
-	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [11]),
-	.B2(n_624),
-	.Y(n_3804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g479302 (
-	.A1_N(n_621),
-	.A2_N(n_3331),
-	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [10]),
-	.B2(n_624),
-	.Y(n_3803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g479303 (
-	.A1_N(n_621),
-	.A2_N(n_3326),
-	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [12]),
-	.B2(n_624),
-	.Y(n_3802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g479304 (
-	.A1_N(n_621),
-	.A2_N(n_3312),
-	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [15]),
-	.B2(n_624),
-	.Y(n_3801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g479305 (
-	.A1_N(n_621),
-	.A2_N(n_3311),
-	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [14]),
-	.B2(n_624),
-	.Y(n_3800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g479306 (
-	.A1_N(n_621),
-	.A2_N(n_3332),
-	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [13]),
-	.B2(n_624),
-	.Y(n_3799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479307 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [8]),
-	.A2_N(n_624),
-	.B1(n_621),
-	.B2(n_3330),
-	.Y(n_3798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4b_1 g479308 (
-	.A(n_14994),
-	.B(n_3154),
-	.C(n_1350),
-	.D_N(\soc_top_xbar_to_timer[a_opcode] [0]),
-	.Y(n_4026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479309 (
-	.A(n_1154),
-	.B(n_3694),
-	.Y(n_4025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g479310 (
-	.A1(n_461),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [6]),
-	.B1(n_2032),
-	.C1(n_3346),
-	.Y(n_4024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g479311 (
-	.A1(n_461),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [7]),
-	.B1(n_2032),
-	.C1(n_3341),
-	.Y(n_3797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g479312 (
-	.A_N(n_1408),
-	.B(n_3335),
-	.C(\soc_top_intr_controller_irq_id_o[0] [5]),
-	.Y(n_4023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g479313 (
-	.A(n_3335),
-	.B(n_1395),
-	.C(\soc_top_intr_controller_irq_id_o[0] [5]),
-	.Y(n_4022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479314 (
-	.A(n_3150),
-	.B(n_1203),
-	.Y(n_4021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479315 (
-	.A(n_3698),
-	.B(n_3695),
-	.Y(n_4020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g479316 (
-	.A(n_3333),
-	.B(n_491),
-	.C(n_14912),
-	.Y(n_4019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479317 (
-	.A(n_3787),
-	.B(n_466),
-	.Y(n_4018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479318 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_3710),
-	.Y(n_4017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479319 (
-	.A(n_3693),
-	.B(n_1657),
-	.Y(n_4016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479320 (
-	.A(n_671),
-	.B(n_3689),
-	.Y(n_4015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479321 (
-	.A(n_671),
-	.B(n_3711),
-	.Y(n_4014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479322 (
-	.A(n_723),
-	.B(n_3689),
-	.Y(n_4013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g479323 (
-	.A(n_3426),
-	.B(n_14994),
-	.C(\soc_top_intr_controller_irq_id_o[0] [1]),
-	.Y(n_4012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g479324 (
-	.A(n_3426),
-	.B(n_14994),
-	.C(n_494),
-	.Y(n_4011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479325 (
-	.A(n_723),
-	.B(n_3711),
-	.Y(n_4010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g479326 (
-	.A(n_3693),
-	.B(n_1130),
-	.C(n_1154),
-	.Y(n_4009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479327 (
-	.A(n_3693),
-	.B(n_1650),
-	.Y(n_4007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479328 (
-	.A(soc_top_u_top_u_core_pc_set),
-	.B(n_3694),
-	.Y(n_4005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479329 (
-	.A(soc_top_u_top_u_core_pc_set),
-	.B(n_3686),
-	.Y(n_4004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g479331 (
-	.A(n_3333),
-	.B(n_1414),
-	.C(n_15246),
-	.X(n_4003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g479332 (
-	.A(n_3795),
-	.Y(n_3796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g479335 (
-	.A(n_3787),
-	.Y(n_3786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g479336 (
-	.A(n_3782),
-	.Y(n_3781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g479337 (
-	.A(n_3778),
-	.Y(n_3777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479338 (
-	.A(n_3380),
-	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
-	.Y(n_3734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479340 (
-	.A(n_3249),
-	.B(n_3155),
-	.Y(n_3732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479341 (
-	.A(n_3273),
-	.B(n_626),
-	.Y(n_3731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g479342 (
-	.A(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]),
-	.B_N(n_2645),
-	.Y(n_3730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479343 (
-	.A(n_3380),
-	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
-	.Y(n_3729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479344 (
-	.A(n_3380),
-	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
-	.Y(n_3728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479345 (
-	.A(n_3425),
-	.B(n_1636),
-	.Y(n_3727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479346 (
-	.A(n_3380),
-	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
-	.Y(n_3726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479347 (
-	.A(n_3377),
-	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
-	.Y(n_3725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479348 (
-	.A(n_3377),
-	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
-	.Y(n_3724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479349 (
-	.A(n_3377),
-	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
-	.Y(n_3723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479350 (
-	.A(n_3377),
-	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
-	.Y(n_3722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479351 (
-	.A(n_3396),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
-	.Y(n_3721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479352 (
-	.A(n_3396),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [2]),
-	.Y(n_3720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479385 (
-	.A(n_3424),
-	.B(soc_top_u_top_u_core_multdiv_operand_b_ex[0]),
-	.Y(n_3795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g479386 (
-	.A(n_3396),
-	.B_N(n_919),
-	.Y(n_3794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g479398 (
-	.A(\soc_top_intr_controller_irq_id_o[0] [5]),
-	.B_N(n_3335),
-	.Y(n_3793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g479431 (
-	.A(n_3339),
-	.B_N(n_935),
-	.Y(n_3719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479441 (
-	.A(n_541),
-	.B(n_3422),
-	.Y(n_3792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479536 (
-	.A(n_3319),
-	.B(n_15036),
-	.Y(n_3791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479549 (
-	.A(n_398),
-	.B(n_3427),
-	.Y(n_3718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479550 (
-	.A(n_3430),
-	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.Y(n_3790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g479551 (
-	.A_N(n_3428),
-	.B(soc_top_u_pwm_pwm_core_counter_p1[4]),
-	.Y(n_3789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479555 (
-	.A(n_2663),
-	.B(n_1433),
-	.Y(n_3788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479562 (
-	.A(n_3308),
-	.B(n_15134),
-	.Y(n_3787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479563 (
-	.A(n_3306),
-	.B(n_15102),
-	.Y(n_3785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479564 (
-	.A(n_264),
-	.B(n_901),
-	.Y(n_3784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479568 (
-	.A(n_417),
-	.B(n_3416),
-	.Y(n_3783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479569 (
-	.A(n_15022),
-	.B(n_3319),
-	.Y(n_3782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479570 (
-	.A(n_3319),
-	.B(n_16968),
-	.Y(n_3780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479571 (
-	.A(n_15023),
-	.B(n_3319),
-	.Y(n_3779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g479572 (
-	.A(n_3306),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.X(n_3778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_1 g479573 (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
-	.B(n_3306),
-	.X(n_3776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479574 (
-	.A(n_3412),
-	.B(n_880),
-	.Y(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479575 (
-	.A(n_3403),
-	.B(n_880),
-	.Y(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g479576 (
-	.A(n_879),
-	.B(n_3413),
-	.Y(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g479577 (
-	.A(n_879),
-	.B(n_3404),
-	.Y(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479578 (
-	.A(n_3398),
-	.B(n_882),
-	.Y(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479579 (
-	.A(n_3397),
-	.B(n_882),
-	.Y(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g479580 (
-	.A(n_879),
-	.B(n_3400),
-	.Y(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479581 (
-	.A(n_3406),
-	.B(n_880),
-	.Y(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479582 (
-	.A(n_3405),
-	.B(n_880),
-	.Y(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479583 (
-	.A(n_3414),
-	.B(n_880),
-	.Y(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479584 (
-	.A(n_3409),
-	.B(n_882),
-	.Y(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479585 (
-	.A(n_3409),
-	.B(n_640),
-	.Y(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479586 (
-	.A(n_3403),
-	.B(n_645),
-	.Y(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g479587 (
-	.A(n_881),
-	.B(n_3413),
-	.Y(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g479588 (
-	.A(n_643),
-	.B(n_3413),
-	.Y(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g479589 (
-	.A(n_641),
-	.B(n_3413),
-	.Y(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g479590 (
-	.A(n_881),
-	.B(n_3404),
-	.Y(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g479591 (
-	.A(n_643),
-	.B(n_3404),
-	.Y(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g479592 (
-	.A(n_641),
-	.B(n_3404),
-	.Y(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g479593 (
-	.A(n_881),
-	.B(n_3400),
-	.Y(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g479594 (
-	.A(n_643),
-	.B(n_3400),
-	.Y(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479595 (
-	.A(n_3412),
-	.B(n_645),
-	.Y(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479596 (
-	.A(n_3414),
-	.B(n_639),
-	.Y(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g479597 (
-	.A(n_641),
-	.B(n_3400),
-	.Y(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g479598 (
-	.A(n_879),
-	.B(n_3401),
-	.Y(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479599 (
-	.A(n_3406),
-	.B(n_639),
-	.Y(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479600 (
-	.A(n_3405),
-	.B(n_639),
-	.Y(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479601 (
-	.A(n_3406),
-	.B(n_645),
-	.Y(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479602 (
-	.A(n_3405),
-	.B(n_645),
-	.Y(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479603 (
-	.A(n_3414),
-	.B(n_645),
-	.Y(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479604 (
-	.A(n_3406),
-	.B(n_877),
-	.Y(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479605 (
-	.A(n_3405),
-	.B(n_877),
-	.Y(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479606 (
-	.A(n_3403),
-	.B(n_877),
-	.Y(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479607 (
-	.A(n_3414),
-	.B(n_877),
-	.Y(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479608 (
-	.A(n_3399),
-	.B(n_639),
-	.Y(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479609 (
-	.A(n_3399),
-	.B(n_645),
-	.Y(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479610 (
-	.A(n_3412),
-	.B(n_639),
-	.Y(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479611 (
-	.A(n_3399),
-	.B(n_877),
-	.Y(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479612 (
-	.A(n_3412),
-	.B(n_877),
-	.Y(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479613 (
-	.A(n_3403),
-	.B(n_639),
-	.Y(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479614 (
-	.A(n_3399),
-	.B(n_880),
-	.Y(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g479615 (
-	.A(n_3707),
-	.Y(n_3706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g479616 (
-	.A(n_3704),
-	.Y(n_3703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g479617 (
-	.A(n_3702),
-	.Y(n_3701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g479618 (
-	.A(n_3700),
-	.Y(n_3699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g479619 (
-	.A(n_3698),
-	.Y(n_3697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g479620 (
-	.A(n_3696),
-	.Y(n_3695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g479621 (
-	.A(n_3694),
-	.Y(n_3693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g479623 (
-	.A(n_3691),
-	.Y(n_3690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g479624 (
-	.A(n_3689),
-	.Y(n_3688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g479625 (
-	.A(n_3687),
-	.Y(n_3686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479626 (
-	.A1(\soc_top_xbar_to_timer[a_data] [1]),
-	.A2(n_2609),
-	.B1(n_3046),
-	.B2(soc_top_u_spi_host_spi_host_divider[1]),
-	.X(n_3663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g479627 (
-	.A1(n_3134),
-	.A2(n_462),
-	.B1(n_425),
-	.B2(n_3130),
-	.Y(n_3662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g479628 (
-	.A1(n_3135),
-	.A2(n_462),
-	.B1(n_423),
-	.B2(n_3132),
-	.Y(n_3661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g479629 (
-	.A(n_648),
-	.B_N(n_3337),
-	.Y(n_3660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g479630 (
-	.A1(n_2579),
-	.A2(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec),
-	.B1(n_550),
-	.B2(n_15088),
-	.C1(n_15074),
-	.Y(n_3659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g479631 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [5]),
-	.A2(n_437),
-	.B1(n_798),
-	.C1(n_1929),
-	.D1(n_2964),
-	.Y(n_3658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479632 (
-	.A(n_2927),
-	.B(n_3243),
-	.Y(n_3657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479633 (
-	.A(n_2928),
-	.B(n_3242),
-	.Y(n_3656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479634 (
-	.A(n_2934),
-	.B(n_3251),
-	.Y(n_3655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479635 (
-	.A(n_2952),
-	.B(n_3239),
-	.Y(n_3654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479636 (
-	.A(n_2953),
-	.B(n_3237),
-	.Y(n_3653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479637 (
-	.A(n_2954),
-	.B(n_3236),
-	.Y(n_3652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479638 (
-	.A(n_2914),
-	.B(n_3235),
-	.Y(n_3651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g479639 (
-	.A1(n_3109),
-	.A2(n_470),
-	.B1(n_526),
-	.B2(n_3037),
-	.Y(n_3650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g479640 (
-	.A1(n_3114),
-	.A2(n_360),
-	.B1(n_523),
-	.B2(n_3045),
-	.Y(n_3649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g479641 (
-	.A1(n_3111),
-	.A2(n_362),
-	.B1(n_416),
-	.B2(n_3043),
-	.Y(n_3648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g479642 (
-	.A1(n_3114),
-	.A2(n_362),
-	.B1(n_422),
-	.B2(n_3045),
-	.Y(n_3647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g479643 (
-	.A1(n_3115),
-	.A2(n_363),
-	.B1(n_530),
-	.B2(n_3039),
-	.Y(n_3646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g479644 (
-	.A1(n_3109),
-	.A2(n_363),
-	.B1(n_520),
-	.B2(n_3037),
-	.Y(n_3645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g479645 (
-	.A1(n_3114),
-	.A2(n_472),
-	.B1(n_415),
-	.B2(n_3045),
-	.Y(n_3644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g479646 (
-	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [3]),
-	.B(n_2052),
-	.Y(n_3643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g479647 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [3]),
-	.B(n_2048),
-	.Y(n_3642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479648 (
-	.A1(\soc_top_xbar_to_timer[a_data] [12]),
-	.A2(n_3110),
-	.B1(n_3036),
-	.B2(soc_top_u_pwm_pwm_core_divisor_2[12]),
-	.X(n_3641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479649 (
-	.A1(n_3041),
-	.A2(soc_top_u_pwm_pwm_core_DC_1[0]),
-	.B1(\soc_top_xbar_to_timer[a_data] [0]),
-	.B2(n_3117),
-	.X(n_3640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479650 (
-	.A1(\soc_top_xbar_to_timer[a_data] [3]),
-	.A2(n_2349),
-	.B1(n_3052),
-	.B2(soc_top_u_spi_host_spi_host_ss[3]),
-	.X(n_3639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479651 (
-	.A1(\soc_top_xbar_to_timer[a_data] [2]),
-	.A2(n_2349),
-	.B1(n_3052),
-	.B2(soc_top_u_spi_host_spi_host_ss[2]),
-	.X(n_3638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479652 (
-	.A1(\soc_top_xbar_to_timer[a_data] [1]),
-	.A2(n_2349),
-	.B1(n_3052),
-	.B2(soc_top_u_spi_host_spi_host_ss[1]),
-	.X(n_3637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479653 (
-	.A1(\soc_top_xbar_to_timer[a_data] [15]),
-	.A2(n_2608),
-	.B1(n_3126),
-	.B2(soc_top_u_spi_host_spi_host_divider[15]),
-	.X(n_3636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479654 (
-	.A1(\soc_top_xbar_to_timer[a_data] [14]),
-	.A2(n_2608),
-	.B1(n_3126),
-	.B2(soc_top_u_spi_host_spi_host_divider[14]),
-	.X(n_3635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479655 (
-	.A1(\soc_top_xbar_to_timer[a_data] [11]),
-	.A2(n_2608),
-	.B1(n_3126),
-	.B2(soc_top_u_spi_host_spi_host_divider[11]),
-	.X(n_3634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479656 (
-	.A1(\soc_top_xbar_to_timer[a_data] [10]),
-	.A2(n_2608),
-	.B1(n_3126),
-	.B2(soc_top_u_spi_host_spi_host_divider[10]),
-	.X(n_3633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479657 (
-	.A1(\soc_top_xbar_to_timer[a_data] [9]),
-	.A2(n_2608),
-	.B1(n_3126),
-	.B2(soc_top_u_spi_host_spi_host_divider[9]),
-	.X(n_3632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479658 (
-	.A1(\soc_top_xbar_to_timer[a_data] [4]),
-	.A2(n_2609),
-	.B1(n_3046),
-	.B2(soc_top_u_spi_host_spi_host_divider[4]),
-	.X(n_3631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479659 (
-	.A1_N(soc_top_u_pwm_pwm_core_ctrl[7]),
-	.A2_N(n_3133),
-	.B1(n_3135),
-	.B2(n_467),
-	.Y(n_3630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479660 (
-	.A1(\soc_top_xbar_to_timer[a_data] [12]),
-	.A2(n_3112),
-	.B1(n_3042),
-	.B2(soc_top_u_pwm_pwm_core_period[12]),
-	.X(n_3629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479661 (
-	.A(n_264),
-	.B(n_1636),
-	.Y(n_3628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479662 (
-	.A1(\soc_top_xbar_to_timer[a_data] [11]),
-	.A2(n_3112),
-	.B1(n_3042),
-	.B2(soc_top_u_pwm_pwm_core_period[11]),
-	.X(n_3627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479663 (
-	.A1(\soc_top_xbar_to_timer[a_data] [9]),
-	.A2(n_3112),
-	.B1(n_3042),
-	.B2(soc_top_u_pwm_pwm_core_period[9]),
-	.X(n_3626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479664 (
-	.A1(\soc_top_xbar_to_timer[a_data] [8]),
-	.A2(n_3112),
-	.B1(n_3042),
-	.B2(soc_top_u_pwm_pwm_core_period[8]),
-	.X(n_3625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479665 (
-	.A1(\soc_top_xbar_to_timer[a_data] [7]),
-	.A2(n_3112),
-	.B1(n_3042),
-	.B2(soc_top_u_pwm_pwm_core_period[7]),
-	.X(n_3624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479666 (
-	.A1(\soc_top_xbar_to_timer[a_data] [6]),
-	.A2(n_3112),
-	.B1(n_3042),
-	.B2(soc_top_u_pwm_pwm_core_period[6]),
-	.X(n_3623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479667 (
-	.A1(n_17360),
-	.A2(\soc_top_timer_to_xbar[d_data] [0]),
-	.B1(n_874),
-	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [0]),
-	.C1(n_2781),
-	.Y(n_3622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479668 (
-	.A1(\soc_top_xbar_to_timer[a_data] [5]),
-	.A2(n_3112),
-	.B1(n_3042),
-	.B2(soc_top_u_pwm_pwm_core_period[5]),
-	.X(n_3621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479669 (
-	.A1(\soc_top_xbar_to_timer[a_data] [4]),
-	.A2(n_3112),
-	.B1(n_3042),
-	.B2(soc_top_u_pwm_pwm_core_period[4]),
-	.X(n_3620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479670 (
-	.A1(n_2325),
-	.A2(soc_top_GPIO_cio_gpio_en_q[0]),
-	.B1(n_2136),
-	.B2(soc_top_GPIO_cio_gpio_en_q[16]),
-	.C1(n_2901),
-	.Y(n_3619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479671 (
-	.A1(n_2325),
-	.A2(soc_top_GPIO_cio_gpio_en_q[1]),
-	.B1(n_2136),
-	.B2(soc_top_GPIO_cio_gpio_en_q[17]),
-	.C1(n_2898),
-	.Y(n_3618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479672 (
-	.A1(n_2325),
-	.A2(soc_top_GPIO_cio_gpio_en_q[2]),
-	.B1(n_2136),
-	.B2(soc_top_GPIO_cio_gpio_en_q[18]),
-	.C1(n_2895),
-	.Y(n_3617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479673 (
-	.A1(n_2131),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [3]),
-	.B1(n_2134),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [3]),
-	.C1(n_3184),
-	.Y(n_3616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479674 (
-	.A1(n_2131),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [4]),
-	.B1(n_2134),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [4]),
-	.C1(n_3183),
-	.Y(n_3615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479675 (
-	.A1(n_2131),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [5]),
-	.B1(n_2134),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [5]),
-	.C1(n_3182),
-	.Y(n_3614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479676 (
-	.A1(n_2325),
-	.A2(soc_top_GPIO_cio_gpio_en_q[6]),
-	.B1(n_2136),
-	.B2(soc_top_GPIO_cio_gpio_en_q[22]),
-	.C1(n_2821),
-	.Y(n_3613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479677 (
-	.A1(n_2131),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [7]),
-	.B1(n_2134),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [7]),
-	.C1(n_3181),
-	.Y(n_3612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479678 (
-	.A1(n_2131),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [8]),
-	.B1(n_2134),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [8]),
-	.C1(n_3180),
-	.Y(n_3611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479679 (
-	.A1(n_2131),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [9]),
-	.B1(n_2134),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [9]),
-	.C1(n_3179),
-	.Y(n_3610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479680 (
-	.A1(n_2325),
-	.A2(soc_top_GPIO_cio_gpio_en_q[10]),
-	.B1(n_2136),
-	.B2(soc_top_GPIO_cio_gpio_en_q[26]),
-	.C1(n_2876),
-	.Y(n_3609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479681 (
-	.A1(n_2131),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [11]),
-	.B1(n_2134),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [11]),
-	.C1(n_3178),
-	.Y(n_3608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479682 (
-	.A1(n_2131),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [12]),
-	.B1(n_2134),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [12]),
-	.C1(n_3177),
-	.Y(n_3607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g479683 (
-	.A1(n_449),
-	.A2(n_3047),
-	.B1(n_3408),
-	.Y(n_3606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479684 (
-	.A1(n_2325),
-	.A2(soc_top_GPIO_cio_gpio_en_q[13]),
-	.B1(n_2136),
-	.B2(soc_top_GPIO_cio_gpio_en_q[29]),
-	.C1(n_2869),
-	.Y(n_3605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479685 (
-	.A1(n_2325),
-	.A2(soc_top_GPIO_cio_gpio_en_q[14]),
-	.B1(n_2136),
-	.B2(soc_top_GPIO_cio_gpio_en_q[30]),
-	.C1(n_2867),
-	.Y(n_3604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479686 (
-	.A1(n_2131),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [15]),
-	.B1(n_2134),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [15]),
-	.C1(n_3176),
-	.Y(n_3603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g479687 (
-	.A1(n_448),
-	.A2(n_3047),
-	.B1(n_3408),
-	.Y(n_3602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g479688 (
-	.A1(n_446),
-	.A2(n_3047),
-	.B1(n_3408),
-	.Y(n_3601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g479689 (
-	.A1(n_543),
-	.A2(n_3047),
-	.B1(n_3408),
-	.Y(n_3600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479690 (
-	.A1(n_2611),
-	.A2(\soc_top_intr_controller_irq_id_o[0] [2]),
-	.B1(n_2040),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][34][q] ),
-	.C1(n_2765),
-	.Y(n_3599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g479691 (
-	.A1(n_421),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[1][is_nan] ),
-	.B1(n_3160),
-	.Y(n_3598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479692 (
-	.A1_N(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]),
-	.A2_N(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B1(soc_top_reset_manager_n_13),
-	.B2(n_3018),
-	.Y(n_3597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479693 (
-	.A1(n_2017),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[1]),
-	.B1(n_2018),
-	.B2(soc_top_u_pwm_pwm_core_divisor_2[1]),
-	.C1(n_3001),
-	.Y(n_3596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g479694 (
-	.A(n_2924),
-	.B(n_2658),
-	.C(n_1307),
-	.Y(n_3595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479695 (
-	.A1(n_2333),
-	.A2(n_636),
-	.B1(n_634),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [2]),
-	.C1(n_3252),
-	.Y(n_3594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479696 (
-	.A1(n_2337),
-	.A2(n_636),
-	.B1(n_634),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [6]),
-	.C1(n_3159),
-	.Y(n_3593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479697 (
-	.A1(\soc_top_xbar_to_timer[a_data] [3]),
-	.A2(n_3112),
-	.B1(n_3042),
-	.B2(soc_top_u_pwm_pwm_core_period[3]),
-	.X(n_3592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479698 (
-	.A1(\soc_top_xbar_to_timer[a_data] [1]),
-	.A2(n_3112),
-	.B1(n_3042),
-	.B2(soc_top_u_pwm_pwm_core_period[1]),
-	.X(n_3591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479699 (
-	.A1(\soc_top_xbar_to_timer[a_data] [0]),
-	.A2(n_3112),
-	.B1(n_3042),
-	.B2(soc_top_u_pwm_pwm_core_period[0]),
-	.X(n_3590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479700 (
-	.A1(\soc_top_xbar_to_timer[a_data] [2]),
-	.A2(n_3113),
-	.B1(n_3044),
-	.B2(soc_top_u_pwm_pwm_core_period_2[2]),
-	.X(n_3589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479701 (
-	.A1(n_3041),
-	.A2(soc_top_u_pwm_pwm_core_DC_1[5]),
-	.B1(\soc_top_xbar_to_timer[a_data] [5]),
-	.B2(n_3117),
-	.X(n_3588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479702 (
-	.A1(\soc_top_xbar_to_timer[a_data] [12]),
-	.A2(n_3113),
-	.B1(n_3044),
-	.B2(soc_top_u_pwm_pwm_core_period_2[12]),
-	.X(n_3587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479703 (
-	.A1(\soc_top_xbar_to_timer[a_data] [10]),
-	.A2(n_3113),
-	.B1(n_3044),
-	.B2(soc_top_u_pwm_pwm_core_period_2[10]),
-	.X(n_3586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g479704 (
-	.A1(n_3137),
-	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
-	.B1(n_3429),
-	.Y(n_3585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479705 (
-	.A1(\soc_top_xbar_to_timer[a_data] [9]),
-	.A2(n_3113),
-	.B1(n_3044),
-	.B2(soc_top_u_pwm_pwm_core_period_2[9]),
-	.X(n_3584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g479706 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [1]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [0]),
-	.C(n_3006),
-	.Y(n_3583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479707 (
-	.A1(\soc_top_xbar_to_timer[a_data] [8]),
-	.A2(n_3113),
-	.B1(n_3044),
-	.B2(soc_top_u_pwm_pwm_core_period_2[8]),
-	.X(n_3582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g479708 (
-	.A1(n_1217),
-	.A2(n_932),
-	.B1(n_3230),
-	.Y(n_3581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479709 (
-	.A1(n_1440),
-	.A2(n_907),
-	.B1(n_1219),
-	.B2(n_703),
-	.C1(n_3255),
-	.Y(n_3580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g479710 (
-	.A1(n_752),
-	.A2(n_1438),
-	.B1(n_2128),
-	.C1(n_2214),
-	.D1(n_2213),
-	.Y(n_3579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g479711 (
-	.A1(n_2216),
-	.A2(n_1348),
-	.B1(n_1826),
-	.B2(n_2218),
-	.C1(n_3270),
-	.Y(n_3578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g479712 (
-	.A(n_2910),
-	.B(n_1714),
-	.C(n_697),
-	.Y(n_3577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g479713 (
-	.A(n_3310),
-	.B(n_15030),
-	.C(soc_top_u_spi_host_spi_host_ctrl[8]),
-	.Y(n_3576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479714 (
-	.A1(\soc_top_xbar_to_timer[a_data] [7]),
-	.A2(n_3113),
-	.B1(n_3044),
-	.B2(soc_top_u_pwm_pwm_core_period_2[7]),
-	.X(n_3575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g479715 (
-	.A1(n_1418),
-	.A2(n_2039),
-	.B1(n_1481),
-	.C1(n_3248),
-	.D1(n_2492),
-	.Y(n_3574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479716 (
-	.A1(\soc_top_xbar_to_timer[a_data] [6]),
-	.A2(n_3113),
-	.B1(n_3044),
-	.B2(soc_top_u_pwm_pwm_core_period_2[6]),
-	.X(n_3573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479717 (
-	.A1(\soc_top_xbar_to_timer[a_data] [5]),
-	.A2(n_3113),
-	.B1(n_3044),
-	.B2(soc_top_u_pwm_pwm_core_period_2[5]),
-	.X(n_3572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g479718 (
-	.A1(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.A2(n_3157),
-	.B1(n_3421),
-	.X(n_3571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g479719 (
-	.A(n_2862),
-	.B(n_2949),
-	.C(n_2569),
-	.Y(n_3570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g479720 (
-	.A(n_2860),
-	.B(n_2948),
-	.C(n_2568),
-	.Y(n_3569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g479721 (
-	.A(n_2855),
-	.B(n_2947),
-	.C(n_2567),
-	.Y(n_3568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g479722 (
-	.A(n_2853),
-	.B(n_2946),
-	.C(n_2566),
-	.Y(n_3567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g479723 (
-	.A(n_2851),
-	.B(n_2945),
-	.C(n_2565),
-	.Y(n_3566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g479724 (
-	.A(n_2848),
-	.B(n_2944),
-	.C(n_2098),
-	.Y(n_3565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g479725 (
-	.A(n_2846),
-	.B(n_2943),
-	.C(n_2099),
-	.Y(n_3564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g479726 (
-	.A(n_2843),
-	.B(n_2942),
-	.C(n_2100),
-	.Y(n_3563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g479727 (
-	.A(n_2842),
-	.B(n_2941),
-	.C(n_2560),
-	.Y(n_3562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g479728 (
-	.A(n_2839),
-	.B(n_2940),
-	.C(n_2559),
-	.Y(n_3561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g479729 (
-	.A(n_2837),
-	.B(n_2939),
-	.C(n_2555),
-	.Y(n_3560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g479730 (
-	.A(n_2835),
-	.B(n_2938),
-	.C(n_2104),
-	.Y(n_3559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g479731 (
-	.A(n_2833),
-	.B(n_2937),
-	.C(n_2105),
-	.Y(n_3558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g479732 (
-	.A(n_2831),
-	.B(n_2936),
-	.C(n_2556),
-	.Y(n_3557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479733 (
-	.A1(n_2070),
-	.A2(\soc_top_intr_controller_reg2hw[prio15][q] [0]),
-	.B1(n_2063),
-	.B2(\soc_top_intr_controller_reg2hw[prio16][q] [0]),
-	.C1(n_3257),
-	.Y(n_3556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g479734 (
-	.A1(n_2905),
-	.A2(n_1347),
-	.B1(n_2062),
-	.B2(\soc_top_intr_controller_reg2hw[prio22][q] [0]),
-	.C1(n_2066),
-	.C2(\soc_top_intr_controller_reg2hw[prio23][q] [0]),
-	.Y(n_3555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479735 (
-	.A1(n_2065),
-	.A2(\soc_top_intr_controller_reg2hw[prio8][q] [1]),
-	.B1(n_2055),
-	.B2(\soc_top_intr_controller_reg2hw[prio7][q] [1]),
-	.C1(n_3259),
-	.Y(n_3554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g479736 (
-	.A1(n_628),
-	.A2(n_15428),
-	.B1(n_2662),
-	.B2(soc_top_main_swith_host_lsu_num_req_outstanding[3]),
-	.C1(n_3082),
-	.X(n_3553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g479737 (
-	.A1(n_2329),
-	.A2(n_911),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[1][is_nan] ),
-	.B2(n_421),
-	.C1(n_3026),
-	.X(n_3552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479738 (
-	.A1(n_2070),
-	.A2(\soc_top_intr_controller_reg2hw[prio15][q] [1]),
-	.B1(n_2063),
-	.B2(\soc_top_intr_controller_reg2hw[prio16][q] [1]),
-	.C1(n_3254),
-	.Y(n_3551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479739 (
-	.A1(\soc_top_xbar_to_timer[a_data] [13]),
-	.A2(n_3112),
-	.B1(n_3042),
-	.B2(soc_top_u_pwm_pwm_core_period[13]),
-	.X(n_3550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479740 (
-	.A1_N(soc_top_u_pwm_pwm_core_ctrl[0]),
-	.A2_N(n_3133),
-	.B1(n_3135),
-	.B2(n_458),
-	.Y(n_3549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479741 (
-	.A1(n_3035),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[3]),
-	.B1(\soc_top_xbar_to_timer[a_data] [3]),
-	.B2(n_3119),
-	.X(n_3548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479742 (
-	.A1_N(soc_top_u_pwm_pwm_core_ctrl_2[7]),
-	.A2_N(n_3131),
-	.B1(n_3134),
-	.B2(n_467),
-	.Y(n_3547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479743 (
-	.A1(\soc_top_xbar_to_timer[a_data] [2]),
-	.A2(n_3112),
-	.B1(n_3042),
-	.B2(soc_top_u_pwm_pwm_core_period[2]),
-	.X(n_3546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479744 (
-	.A1(\soc_top_xbar_to_timer[a_data] [13]),
-	.A2(n_2608),
-	.B1(n_3126),
-	.B2(soc_top_u_spi_host_spi_host_divider[13]),
-	.X(n_3545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479745 (
-	.A1(n_3035),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[2]),
-	.B1(\soc_top_xbar_to_timer[a_data] [2]),
-	.B2(n_3119),
-	.X(n_3544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g479746 (
-	.A1_N(n_14867),
-	.A2_N(n_3053),
-	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
-	.B2(n_263),
-	.Y(n_3543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479747 (
-	.A1(\soc_top_xbar_to_timer[a_data] [13]),
-	.A2(n_3110),
-	.B1(n_3036),
-	.B2(soc_top_u_pwm_pwm_core_divisor_2[13]),
-	.X(n_3542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479748 (
-	.A1(\soc_top_xbar_to_timer[a_data] [15]),
-	.A2(n_3113),
-	.B1(n_3044),
-	.B2(soc_top_u_pwm_pwm_core_period_2[15]),
-	.X(n_3541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479749 (
-	.A1_N(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.A2_N(n_2047),
-	.B1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.B2(n_2047),
-	.Y(n_3540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479750 (
-	.A1(\soc_top_xbar_to_timer[a_data] [7]),
-	.A2(n_2609),
-	.B1(n_3046),
-	.B2(soc_top_u_spi_host_spi_host_divider[7]),
-	.X(n_3539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479751 (
-	.A1_N(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.A2_N(n_2046),
-	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B2(n_2046),
-	.Y(n_3538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g479752 (
-	.A(soc_top_u_pwm_pwm_core_period_counter1[7]),
-	.B(n_3147),
-	.Y(n_3537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479753 (
-	.A1(\soc_top_xbar_to_timer[a_data] [10]),
-	.A2(n_3112),
-	.B1(n_3042),
-	.B2(soc_top_u_pwm_pwm_core_period[10]),
-	.X(n_3536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479754 (
-	.A1(\soc_top_xbar_to_timer[a_data] [8]),
-	.A2(n_2608),
-	.B1(n_3126),
-	.B2(soc_top_u_spi_host_spi_host_divider[8]),
-	.X(n_3535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479755 (
-	.A1(\soc_top_xbar_to_timer[a_data] [12]),
-	.A2(n_2608),
-	.B1(n_3126),
-	.B2(soc_top_u_spi_host_spi_host_divider[12]),
-	.X(n_3534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479756 (
-	.A1(\soc_top_xbar_to_timer[a_data] [2]),
-	.A2(n_2609),
-	.B1(n_3046),
-	.B2(soc_top_u_spi_host_spi_host_divider[2]),
-	.X(n_3533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479757 (
-	.A1(n_3054),
-	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[4]),
-	.B1(n_628),
-	.B2(n_15429),
-	.Y(n_3532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479758 (
-	.A1(\soc_top_xbar_to_timer[a_data] [6]),
-	.A2(n_2609),
-	.B1(n_3046),
-	.B2(soc_top_u_spi_host_spi_host_divider[6]),
-	.X(n_3531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479759 (
-	.A1(\soc_top_xbar_to_timer[a_data] [4]),
-	.A2(n_3113),
-	.B1(n_3044),
-	.B2(soc_top_u_pwm_pwm_core_period_2[4]),
-	.X(n_3530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479760 (
-	.A1(n_3041),
-	.A2(soc_top_u_pwm_pwm_core_DC_1[1]),
-	.B1(\soc_top_xbar_to_timer[a_data] [1]),
-	.B2(n_3117),
-	.X(n_3529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479761 (
-	.A1(n_3041),
-	.A2(soc_top_u_pwm_pwm_core_DC_1[2]),
-	.B1(\soc_top_xbar_to_timer[a_data] [2]),
-	.B2(n_3117),
-	.X(n_3528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479762 (
-	.A1(n_3041),
-	.A2(soc_top_u_pwm_pwm_core_DC_1[3]),
-	.B1(\soc_top_xbar_to_timer[a_data] [3]),
-	.B2(n_3117),
-	.X(n_3527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479763 (
-	.A1(n_3041),
-	.A2(soc_top_u_pwm_pwm_core_DC_1[4]),
-	.B1(\soc_top_xbar_to_timer[a_data] [4]),
-	.B2(n_3117),
-	.X(n_3526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479764 (
-	.A1(\soc_top_xbar_to_timer[a_data] [5]),
-	.A2(n_2609),
-	.B1(n_3046),
-	.B2(soc_top_u_spi_host_spi_host_divider[5]),
-	.X(n_3525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479765 (
-	.A1(\soc_top_xbar_to_timer[a_data] [3]),
-	.A2(n_3113),
-	.B1(n_3044),
-	.B2(soc_top_u_pwm_pwm_core_period_2[3]),
-	.X(n_3524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479766 (
-	.A1(n_3041),
-	.A2(soc_top_u_pwm_pwm_core_DC_1[6]),
-	.B1(\soc_top_xbar_to_timer[a_data] [6]),
-	.B2(n_3117),
-	.X(n_3523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g479767 (
-	.A1(n_414),
-	.A2(n_3040),
-	.B1(n_3118),
-	.B2(n_467),
-	.Y(n_3522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479768 (
-	.A1(n_3041),
-	.A2(soc_top_u_pwm_pwm_core_DC_1[8]),
-	.B1(\soc_top_xbar_to_timer[a_data] [8]),
-	.B2(n_3117),
-	.X(n_3521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479769 (
-	.A1(n_3041),
-	.A2(soc_top_u_pwm_pwm_core_DC_1[9]),
-	.B1(\soc_top_xbar_to_timer[a_data] [9]),
-	.B2(n_3117),
-	.X(n_3520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479770 (
-	.A1(n_3041),
-	.A2(soc_top_u_pwm_pwm_core_DC_1[10]),
-	.B1(\soc_top_xbar_to_timer[a_data] [10]),
-	.B2(n_3117),
-	.X(n_3519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479771 (
-	.A1(n_3041),
-	.A2(soc_top_u_pwm_pwm_core_DC_1[11]),
-	.B1(\soc_top_xbar_to_timer[a_data] [11]),
-	.B2(n_3117),
-	.X(n_3518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479772 (
-	.A1(n_3041),
-	.A2(soc_top_u_pwm_pwm_core_DC_1[12]),
-	.B1(\soc_top_xbar_to_timer[a_data] [12]),
-	.B2(n_3117),
-	.X(n_3517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479773 (
-	.A1(n_3041),
-	.A2(soc_top_u_pwm_pwm_core_DC_1[13]),
-	.B1(\soc_top_xbar_to_timer[a_data] [13]),
-	.B2(n_3117),
-	.X(n_3516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g479774 (
-	.A1(n_420),
-	.A2(n_3040),
-	.B1(n_3118),
-	.B2(n_362),
-	.Y(n_3515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479775 (
-	.A1(n_3041),
-	.A2(soc_top_u_pwm_pwm_core_DC_1[15]),
-	.B1(\soc_top_xbar_to_timer[a_data] [15]),
-	.B2(n_3117),
-	.X(n_3514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479776 (
-	.A1(n_3035),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[0]),
-	.B1(\soc_top_xbar_to_timer[a_data] [0]),
-	.B2(n_3119),
-	.X(n_3513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479777 (
-	.A1(n_3035),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[1]),
-	.B1(\soc_top_xbar_to_timer[a_data] [1]),
-	.B2(n_3119),
-	.X(n_3512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479778 (
-	.A1(n_3035),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[4]),
-	.B1(\soc_top_xbar_to_timer[a_data] [4]),
-	.B2(n_3119),
-	.X(n_3511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479779 (
-	.A1(n_3035),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[5]),
-	.B1(\soc_top_xbar_to_timer[a_data] [5]),
-	.B2(n_3119),
-	.X(n_3510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479780 (
-	.A1(n_3035),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[6]),
-	.B1(\soc_top_xbar_to_timer[a_data] [6]),
-	.B2(n_3119),
-	.X(n_3509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g479781 (
-	.A1(n_418),
-	.A2(n_3034),
-	.B1(n_3120),
-	.B2(n_467),
-	.Y(n_3508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479782 (
-	.A1(n_3035),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[9]),
-	.B1(\soc_top_xbar_to_timer[a_data] [9]),
-	.B2(n_3119),
-	.X(n_3507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479783 (
-	.A1(n_3035),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[8]),
-	.B1(\soc_top_xbar_to_timer[a_data] [8]),
-	.B2(n_3119),
-	.X(n_3506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479784 (
-	.A1(n_3035),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[10]),
-	.B1(\soc_top_xbar_to_timer[a_data] [10]),
-	.B2(n_3119),
-	.X(n_3505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479785 (
-	.A1(n_3035),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[11]),
-	.B1(\soc_top_xbar_to_timer[a_data] [11]),
-	.B2(n_3119),
-	.X(n_3504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479786 (
-	.A1(n_3035),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[12]),
-	.B1(\soc_top_xbar_to_timer[a_data] [12]),
-	.B2(n_3119),
-	.X(n_3503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g479787 (
-	.A1(n_527),
-	.A2(n_3034),
-	.B1(n_3120),
-	.B2(n_362),
-	.Y(n_3502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479788 (
-	.A1(n_3035),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[15]),
-	.B1(\soc_top_xbar_to_timer[a_data] [15]),
-	.B2(n_3119),
-	.X(n_3501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479789 (
-	.A1(n_3035),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[13]),
-	.B1(\soc_top_xbar_to_timer[a_data] [13]),
-	.B2(n_3119),
-	.X(n_3500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479790 (
-	.A1(soc_top_u_top_u_core_alu_adder_result_ex[1]),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
-	.Y(n_3499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479791 (
-	.A1(\soc_top_xbar_to_timer[a_address] [2]),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
-	.Y(n_3498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479792 (
-	.A1(\soc_top_xbar_to_timer[a_address] [3]),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
-	.Y(n_3497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479793 (
-	.A1(\soc_top_xbar_to_timer[a_address] [4]),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
-	.Y(n_3496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479794 (
-	.A1(\soc_top_xbar_to_timer[a_address] [5]),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
-	.Y(n_3495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479795 (
-	.A1(\soc_top_xbar_to_timer[a_address] [6]),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
-	.Y(n_3494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479796 (
-	.A1(\soc_top_xbar_to_timer[a_address] [7]),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
-	.Y(n_3493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479797 (
-	.A1(\soc_top_xbar_to_timer[a_address] [8]),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
-	.Y(n_3492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479798 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [9]),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
-	.Y(n_3491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479799 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [11]),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
-	.Y(n_3490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479800 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [10]),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
-	.Y(n_3489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479801 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [12]),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
-	.Y(n_3488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479802 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [13]),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
-	.Y(n_3487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479803 (
-	.A1(soc_top_u_top_u_core_alu_adder_result_ex[14]),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
-	.Y(n_3486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479804 (
-	.A1(soc_top_u_top_u_core_alu_adder_result_ex[15]),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
-	.Y(n_3485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479805 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [16]),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
-	.Y(n_3484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479806 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [17]),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
-	.Y(n_3483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479807 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [18]),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
-	.Y(n_3482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479808 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [19]),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
-	.Y(n_3481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479809 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [20]),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
-	.Y(n_3480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479810 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [21]),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
-	.Y(n_3479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479811 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [22]),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
-	.Y(n_3478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479812 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [23]),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
-	.Y(n_3477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479813 (
-	.A1(\soc_top_xbar_to_timer[a_data] [15]),
-	.A2(n_3112),
-	.B1(n_3042),
-	.B2(soc_top_u_pwm_pwm_core_period[15]),
-	.X(n_3476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479814 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [24]),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
-	.Y(n_3475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479815 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [25]),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
-	.Y(n_3474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g479816 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [26]),
-	.A2(n_3107),
-	.B1(n_3032),
-	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
-	.Y(n_3473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479817 (
-	.A1_N(soc_top_u_pwm_pwm_core_ctrl_2[3]),
-	.A2_N(n_3131),
-	.B1(n_3134),
-	.B2(n_463),
-	.Y(n_3472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479818 (
-	.A1(\soc_top_xbar_to_timer[a_data] [0]),
-	.A2(n_2609),
-	.B1(n_3046),
-	.B2(soc_top_u_spi_host_spi_host_divider[0]),
-	.X(n_3471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479819 (
-	.A1(\soc_top_xbar_to_timer[a_data] [3]),
-	.A2(n_2609),
-	.B1(n_3046),
-	.B2(soc_top_u_spi_host_spi_host_divider[3]),
-	.X(n_3470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479820 (
-	.A1(\soc_top_xbar_to_timer[a_data] [0]),
-	.A2(n_2349),
-	.B1(n_3052),
-	.B2(soc_top_u_spi_host_spi_host_ss[0]),
-	.X(n_3469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479821 (
-	.A1_N(soc_top_u_pwm_pwm_core_ctrl_2[4]),
-	.A2_N(n_3131),
-	.B1(n_3134),
-	.B2(n_464),
-	.Y(n_3468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479822 (
-	.A1_N(soc_top_u_pwm_pwm_core_ctrl_2[5]),
-	.A2_N(n_3131),
-	.B1(n_3134),
-	.B2(n_465),
-	.Y(n_3467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479823 (
-	.A1_N(soc_top_u_pwm_pwm_core_ctrl_2[6]),
-	.A2_N(n_3131),
-	.B1(n_3134),
-	.B2(n_468),
-	.Y(n_3466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479824 (
-	.A1_N(soc_top_u_pwm_pwm_core_ctrl[3]),
-	.A2_N(n_3133),
-	.B1(n_3135),
-	.B2(n_463),
-	.Y(n_3465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479825 (
-	.A1_N(soc_top_u_pwm_pwm_core_ctrl[4]),
-	.A2_N(n_3133),
-	.B1(n_3135),
-	.B2(n_464),
-	.Y(n_3464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479826 (
-	.A1_N(soc_top_u_pwm_pwm_core_ctrl[5]),
-	.A2_N(n_3133),
-	.B1(n_3135),
-	.B2(n_465),
-	.Y(n_3463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479827 (
-	.A1_N(soc_top_u_pwm_pwm_core_ctrl[6]),
-	.A2_N(n_3133),
-	.B1(n_3135),
-	.B2(n_468),
-	.Y(n_3462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479828 (
-	.A1(\soc_top_xbar_to_timer[a_data] [0]),
-	.A2(n_3110),
-	.B1(n_3036),
-	.B2(soc_top_u_pwm_pwm_core_divisor_2[0]),
-	.X(n_3461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479829 (
-	.A1(\soc_top_xbar_to_timer[a_data] [1]),
-	.A2(n_3110),
-	.B1(n_3036),
-	.B2(soc_top_u_pwm_pwm_core_divisor_2[1]),
-	.X(n_3460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479830 (
-	.A1(\soc_top_xbar_to_timer[a_data] [2]),
-	.A2(n_3110),
-	.B1(n_3036),
-	.B2(soc_top_u_pwm_pwm_core_divisor_2[2]),
-	.X(n_3459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479831 (
-	.A1(\soc_top_xbar_to_timer[a_data] [3]),
-	.A2(n_3110),
-	.B1(n_3036),
-	.B2(soc_top_u_pwm_pwm_core_divisor_2[3]),
-	.X(n_3458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479832 (
-	.A1(\soc_top_xbar_to_timer[a_data] [4]),
-	.A2(n_3110),
-	.B1(n_3036),
-	.B2(soc_top_u_pwm_pwm_core_divisor_2[4]),
-	.X(n_3457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479833 (
-	.A1(\soc_top_xbar_to_timer[a_data] [5]),
-	.A2(n_3110),
-	.B1(n_3036),
-	.B2(soc_top_u_pwm_pwm_core_divisor_2[5]),
-	.X(n_3456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479834 (
-	.A1(\soc_top_xbar_to_timer[a_data] [6]),
-	.A2(n_3110),
-	.B1(n_3036),
-	.B2(soc_top_u_pwm_pwm_core_divisor_2[6]),
-	.X(n_3455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479835 (
-	.A1(\soc_top_xbar_to_timer[a_data] [7]),
-	.A2(n_3110),
-	.B1(n_3036),
-	.B2(soc_top_u_pwm_pwm_core_divisor_2[7]),
-	.X(n_3454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479836 (
-	.A1(\soc_top_xbar_to_timer[a_data] [8]),
-	.A2(n_3110),
-	.B1(n_3036),
-	.B2(soc_top_u_pwm_pwm_core_divisor_2[8]),
-	.X(n_3453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479837 (
-	.A1(\soc_top_xbar_to_timer[a_data] [9]),
-	.A2(n_3110),
-	.B1(n_3036),
-	.B2(soc_top_u_pwm_pwm_core_divisor_2[9]),
-	.X(n_3452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479838 (
-	.A1(\soc_top_xbar_to_timer[a_data] [11]),
-	.A2(n_3110),
-	.B1(n_3036),
-	.B2(soc_top_u_pwm_pwm_core_divisor_2[11]),
-	.X(n_3451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479839 (
-	.A1(\soc_top_xbar_to_timer[a_data] [14]),
-	.A2(n_3110),
-	.B1(n_3036),
-	.B2(soc_top_u_pwm_pwm_core_divisor_2[14]),
-	.X(n_3450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479840 (
-	.A1(\soc_top_xbar_to_timer[a_data] [0]),
-	.A2(n_3116),
-	.B1(n_3038),
-	.B2(soc_top_u_pwm_pwm_core_divisor[0]),
-	.X(n_3449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479841 (
-	.A1(\soc_top_xbar_to_timer[a_data] [1]),
-	.A2(n_3116),
-	.B1(n_3038),
-	.B2(soc_top_u_pwm_pwm_core_divisor[1]),
-	.X(n_3448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479842 (
-	.A1(\soc_top_xbar_to_timer[a_data] [2]),
-	.A2(n_3116),
-	.B1(n_3038),
-	.B2(soc_top_u_pwm_pwm_core_divisor[2]),
-	.X(n_3447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479843 (
-	.A1(\soc_top_xbar_to_timer[a_data] [3]),
-	.A2(n_3116),
-	.B1(n_3038),
-	.B2(soc_top_u_pwm_pwm_core_divisor[3]),
-	.X(n_3446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479844 (
-	.A1(\soc_top_xbar_to_timer[a_data] [4]),
-	.A2(n_3116),
-	.B1(n_3038),
-	.B2(soc_top_u_pwm_pwm_core_divisor[4]),
-	.X(n_3445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479845 (
-	.A1(\soc_top_xbar_to_timer[a_data] [5]),
-	.A2(n_3116),
-	.B1(n_3038),
-	.B2(soc_top_u_pwm_pwm_core_divisor[5]),
-	.X(n_3444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479846 (
-	.A1(\soc_top_xbar_to_timer[a_data] [6]),
-	.A2(n_3116),
-	.B1(n_3038),
-	.B2(soc_top_u_pwm_pwm_core_divisor[6]),
-	.X(n_3443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479847 (
-	.A1(\soc_top_xbar_to_timer[a_data] [7]),
-	.A2(n_3116),
-	.B1(n_3038),
-	.B2(soc_top_u_pwm_pwm_core_divisor[7]),
-	.X(n_3442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479848 (
-	.A1(\soc_top_xbar_to_timer[a_data] [8]),
-	.A2(n_3116),
-	.B1(n_3038),
-	.B2(soc_top_u_pwm_pwm_core_divisor[8]),
-	.X(n_3441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479849 (
-	.A1(\soc_top_xbar_to_timer[a_data] [9]),
-	.A2(n_3116),
-	.B1(n_3038),
-	.B2(soc_top_u_pwm_pwm_core_divisor[9]),
-	.X(n_3440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479850 (
-	.A1(\soc_top_xbar_to_timer[a_data] [10]),
-	.A2(n_3116),
-	.B1(n_3038),
-	.B2(soc_top_u_pwm_pwm_core_divisor[10]),
-	.X(n_3439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479851 (
-	.A1(\soc_top_xbar_to_timer[a_data] [11]),
-	.A2(n_3116),
-	.B1(n_3038),
-	.B2(soc_top_u_pwm_pwm_core_divisor[11]),
-	.X(n_3438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479852 (
-	.A1(\soc_top_xbar_to_timer[a_data] [12]),
-	.A2(n_3116),
-	.B1(n_3038),
-	.B2(soc_top_u_pwm_pwm_core_divisor[12]),
-	.X(n_3437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479853 (
-	.A1(\soc_top_xbar_to_timer[a_data] [13]),
-	.A2(n_3116),
-	.B1(n_3038),
-	.B2(soc_top_u_pwm_pwm_core_divisor[13]),
-	.X(n_3436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479854 (
-	.A1(\soc_top_xbar_to_timer[a_data] [14]),
-	.A2(n_3116),
-	.B1(n_3038),
-	.B2(soc_top_u_pwm_pwm_core_divisor[14]),
-	.X(n_3435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g479855 (
-	.A1_N(soc_top_u_pwm_pwm_core_ctrl_2[0]),
-	.A2_N(n_3131),
-	.B1(n_3134),
-	.B2(n_458),
-	.Y(n_3434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479856 (
-	.A1(\soc_top_xbar_to_timer[a_data] [0]),
-	.A2(n_3113),
-	.B1(n_3044),
-	.B2(soc_top_u_pwm_pwm_core_period_2[0]),
-	.X(n_3433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g479857 (
-	.A1(\soc_top_xbar_to_timer[a_data] [1]),
-	.A2(n_3113),
-	.B1(n_3044),
-	.B2(soc_top_u_pwm_pwm_core_period_2[1]),
-	.X(n_3432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g479858 (
-	.A(n_461),
-	.B(n_3050),
-	.Y(n_3431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g479859 (
-	.A1(n_2036),
-	.A2(n_2607),
-	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q ),
-	.C1(n_3068),
-	.Y(n_3717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479861 (
-	.A(n_2032),
-	.B(n_3340),
-	.Y(n_3716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479862 (
-	.A1(n_2335),
-	.A2(n_636),
-	.B1(n_634),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [7]),
-	.C1(n_3168),
-	.Y(n_3715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g479863 (
-	.A(n_15119),
-	.B(n_15067),
-	.C(soc_top_u_top_u_core_fp_flush),
-	.D(n_2670),
-	.Y(n_3714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4b_1 g479864 (
-	.A(soc_top_u_top_u_core_fp_flush),
-	.B(n_920),
-	.C(n_2670),
-	.D_N(n_15119),
-	.Y(n_3713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479865 (
-	.A(n_2664),
-	.B(n_1214),
-	.Y(n_3712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g479866 (
-	.A(n_1617),
-	.B(n_3138),
-	.C(n_510),
-	.Y(n_3711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g479867 (
-	.A1(soc_top_reset_manager_n_13),
-	.A2(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B1_N(n_2648),
-	.Y(n_3710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479868 (
-	.A1(n_2343),
-	.A2(n_632),
-	.B1(n_633),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [23]),
-	.C1(n_3223),
-	.Y(n_3709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g479869 (
-	.A_N(n_671),
-	.B(n_264),
-	.Y(n_3708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479870 (
-	.A1(n_2347),
-	.A2(n_622),
-	.B1(n_624),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [4]),
-	.C1(n_3229),
-	.Y(n_3707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g479871 (
-	.A_N(n_723),
-	.B(n_264),
-	.Y(n_3705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g479872 (
-	.A1(n_631),
-	.A2(n_2339),
-	.B1(n_1323),
-	.C1(n_3227),
-	.Y(n_3704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g479873 (
-	.A_N(n_916),
-	.B(n_264),
-	.Y(n_3702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479874 (
-	.A1(n_2345),
-	.A2(n_622),
-	.B1(n_624),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [3]),
-	.C1(n_3268),
-	.Y(n_3700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g479875 (
-	.A1(n_2331),
-	.A2(n_622),
-	.B1(n_624),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [5]),
-	.C1(n_3253),
-	.Y(n_3698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g479876 (
-	.A1(n_621),
-	.A2(n_2338),
-	.B1(n_1306),
-	.C1(n_3222),
-	.Y(n_3696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479877 (
-	.A(n_3308),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(n_3694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g479878 (
-	.A1(n_16210),
-	.A2(n_3137),
-	.B1(n_3386),
-	.Y(n_3692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479879 (
-	.A(n_3384),
-	.B(n_3383),
-	.Y(n_3691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g479880 (
-	.A1(n_2652),
-	.A2(n_3048),
-	.B1(n_1397),
-	.B2(n_889),
-	.X(n_3689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g479881 (
-	.A(n_3309),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.X(n_3687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479882 (
-	.A(n_3402),
-	.B(n_645),
-	.Y(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479883 (
-	.A(n_3407),
-	.B(n_645),
-	.Y(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479884 (
-	.A(n_3407),
-	.B(n_639),
-	.Y(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479885 (
-	.A(n_3402),
-	.B(n_639),
-	.Y(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g479886 (
-	.A(n_643),
-	.B(n_3401),
-	.Y(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g479887 (
-	.A(n_641),
-	.B(n_3401),
-	.Y(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479888 (
-	.A(n_3420),
-	.B(n_640),
-	.Y(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g479889 (
-	.A(n_879),
-	.B(n_3419),
-	.Y(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g479890 (
-	.A(n_3407),
-	.B(n_877),
-	.X(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g479891 (
-	.A(n_3402),
-	.B(n_877),
-	.X(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g479892 (
-	.A(n_881),
-	.B(n_3401),
-	.X(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479893 (
-	.A(n_3420),
-	.B(n_642),
-	.Y(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479894 (
-	.A(n_3397),
-	.B(n_878),
-	.Y(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479895 (
-	.A(n_3397),
-	.B(n_642),
-	.Y(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479896 (
-	.A(n_3398),
-	.B(n_878),
-	.Y(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479897 (
-	.A(n_3398),
-	.B(n_640),
-	.Y(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479898 (
-	.A(n_3398),
-	.B(n_642),
-	.Y(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479899 (
-	.A(n_3397),
-	.B(n_640),
-	.Y(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479900 (
-	.A(n_3402),
-	.B(n_880),
-	.Y(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479901 (
-	.A(n_3407),
-	.B(n_880),
-	.Y(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479902 (
-	.A(n_3409),
-	.B(n_642),
-	.Y(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479903 (
-	.A(n_3409),
-	.B(n_878),
-	.Y(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g479904 (
-	.A(n_3424),
-	.Y(n_3423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g479905 (
-	.A(n_3419),
-	.Y(n_3420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g479906 (
-	.A(n_3415),
-	.Y(n_3416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g479907 (
-	.A(n_3411),
-	.Y(n_3410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g479908 (
-	.A(n_3394),
-	.Y(n_3393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g479909 (
-	.A(n_3391),
-	.Y(n_3390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g479911 (
-	.A(n_3387),
-	.Y(n_3386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g479912 (
-	.A(n_3385),
-	.Y(n_3384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g479913 (
-	.A(n_3383),
-	.Y(n_3382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g479914 (
-	.A(n_3381),
-	.Y(n_3380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g479915 (
-	.A(n_3378),
-	.Y(n_3377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g479916 (
-	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B(n_17459),
-	.COUT(n_3430),
-	.SUM(n_3375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g479917 (
-	.A1(n_678),
-	.A2(n_1892),
-	.B1(n_2310),
-	.C1(n_2618),
-	.Y(n_3374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g479918 (
-	.A(n_1949),
-	.B(n_1526),
-	.C(n_808),
-	.D(n_1351),
-	.Y(n_3373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g479919 (
-	.A(n_1948),
-	.B(n_1522),
-	.C(n_775),
-	.D(n_1351),
-	.Y(n_3372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g479920 (
-	.A(n_1945),
-	.B(n_1511),
-	.C(n_555),
-	.D(n_1351),
-	.Y(n_3371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g479921 (
-	.A1(n_695),
-	.A2(n_1878),
-	.B1(n_2284),
-	.C1(n_2624),
-	.Y(n_3370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g479922 (
-	.A(n_1970),
-	.B(n_1544),
-	.C(n_804),
-	.D(n_1351),
-	.Y(n_3369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g479923 (
-	.A(n_1538),
-	.B(n_1944),
-	.C(n_1351),
-	.D(n_1311),
-	.Y(n_3368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g479924 (
-	.A(n_1524),
-	.B(n_1943),
-	.C(n_1351),
-	.D(n_1322),
-	.Y(n_3367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g479925 (
-	.A(n_1525),
-	.B(n_1942),
-	.C(n_1351),
-	.D(n_1327),
-	.Y(n_3366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g479926 (
-	.A1(n_733),
-	.A2(n_1879),
-	.B1(n_2286),
-	.C1(n_2626),
-	.Y(n_3365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g479927 (
-	.A(n_1530),
-	.B(n_1939),
-	.C(n_1351),
-	.D(n_1332),
-	.Y(n_3364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g479928 (
-	.A1(n_729),
-	.A2(n_1880),
-	.B1(n_2288),
-	.C1(n_2615),
-	.Y(n_3363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g479929 (
-	.A1(n_687),
-	.A2(n_1887),
-	.B1(n_2308),
-	.C1(n_2630),
-	.Y(n_3362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g479930 (
-	.A1(n_710),
-	.A2(n_1875),
-	.B1(n_2595),
-	.C1(n_2634),
-	.Y(n_3361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g479931 (
-	.A1(n_696),
-	.A2(n_1882),
-	.B1(n_2293),
-	.C1(n_2633),
-	.Y(n_3360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g479932 (
-	.A1(n_701),
-	.A2(n_1881),
-	.B1(n_2294),
-	.C1(n_2638),
-	.Y(n_3359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g479933 (
-	.A1(n_684),
-	.A2(n_1871),
-	.B1(n_2268),
-	.C1(n_2639),
-	.Y(n_3358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g479934 (
-	.A1(n_689),
-	.A2(n_1857),
-	.B1(n_2316),
-	.C1(n_2614),
-	.Y(n_3357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g479935 (
-	.A(n_1930),
-	.B(n_1520),
-	.C(n_610),
-	.D(n_1351),
-	.Y(n_3356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g479936 (
-	.A1(n_735),
-	.A2(n_1869),
-	.B1(n_2261),
-	.C1(n_2627),
-	.Y(n_3355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g479937 (
-	.A1(n_700),
-	.A2(n_1860),
-	.B1(n_2296),
-	.C1(n_2635),
-	.Y(n_3354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g479938 (
-	.A1(n_724),
-	.A2(n_1883),
-	.B1(n_2301),
-	.C1(n_2628),
-	.Y(n_3353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g479939 (
-	.A1(n_734),
-	.A2(n_1889),
-	.B1(n_2289),
-	.C1(n_2644),
-	.Y(n_3352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g479940 (
-	.A1(n_691),
-	.A2(n_1884),
-	.B1(n_2291),
-	.C1(n_2632),
-	.Y(n_3351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g479941 (
-	.A1(n_737),
-	.A2(n_1874),
-	.B1(n_2588),
-	.C1(n_2642),
-	.Y(n_3350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g479942 (
-	.A1(n_718),
-	.A2(n_1865),
-	.B1(n_2589),
-	.C1(n_2637),
-	.Y(n_3349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g479943 (
-	.A1(n_728),
-	.A2(n_1872),
-	.B1(n_2269),
-	.C1(n_2636),
-	.Y(n_3348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211o_1 g479944 (
-	.A1(soc_top_u_top_u_core_pc_set),
-	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[0]),
-	.B1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_branch_discard_q[0]),
-	.C1(n_2537),
-	.X(n_3347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479945 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [6]),
-	.B(n_3139),
-	.Y(n_3346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479947 (
-	.A(n_15476),
-	.B(n_3107),
-	.Y(n_3344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g479948 (
-	.A(soc_top_u_top_u_core_lsu_wdata[3]),
-	.B(soc_top_u_top_u_core_lsu_wdata[0]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [12]),
-	.D(n_2113),
-	.X(n_3343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g479949 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [3]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [0]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [12]),
-	.D(n_2112),
-	.X(n_3342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479950 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [7]),
-	.B(n_3139),
-	.Y(n_3341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479951 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [4]),
-	.B(n_3139),
-	.Y(n_3340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479953 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
-	.B(n_3137),
-	.Y(n_3429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g479954 (
-	.A_N(n_2049),
-	.B(soc_top_u_pwm_pwm_core_counter_p1[3]),
-	.Y(n_3428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g479955 (
-	.A(n_405),
-	.B(n_2050),
-	.X(n_3427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479965 (
-	.A(\soc_top_intr_controller_irq_id_o[0] [5]),
-	.B(n_3155),
-	.Y(n_3426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g479983 (
-	.A1(n_16210),
-	.A2(n_14900),
-	.B1(n_3137),
-	.Y(n_3425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479985 (
-	.A(n_14905),
-	.B(n_3149),
-	.Y(n_3424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g479987 (
-	.A(n_14900),
-	.B(n_3129),
-	.Y(n_3422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479988 (
-	.A(n_3157),
-	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.Y(n_3421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g479989 (
-	.A(n_3144),
-	.B(n_880),
-	.Y(n_3419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g479990 (
-	.A_N(n_3147),
-	.B(soc_top_u_pwm_pwm_core_period_counter1[7]),
-	.Y(n_3418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g479991 (
-	.A_N(soc_top_main_swith_host_lsu_num_req_outstanding[6]),
-	.B(n_3146),
-	.Y(n_3417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480022 (
-	.A(n_16210),
-	.B(n_3149),
-	.Y(n_3415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480025 (
-	.A(n_879),
-	.B(n_3140),
-	.Y(n_3414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480026 (
-	.A(n_3141),
-	.B(n_880),
-	.Y(n_3413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480027 (
-	.A(n_879),
-	.B(n_3142),
-	.Y(n_3412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480032 (
-	.A(n_3049),
-	.B(n_2657),
-	.Y(n_3411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480038 (
-	.A(n_876),
-	.B(n_3143),
-	.Y(n_3409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480043 (
-	.A(n_3047),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(n_3408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480045 (
-	.A(n_881),
-	.B(n_3140),
-	.Y(n_3407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480046 (
-	.A(n_643),
-	.B(n_3140),
-	.Y(n_3406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480047 (
-	.A(n_641),
-	.B(n_3140),
-	.Y(n_3405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480048 (
-	.A(n_3141),
-	.B(n_639),
-	.Y(n_3404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480049 (
-	.A(n_643),
-	.B(n_3142),
-	.Y(n_3403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480050 (
-	.A(n_881),
-	.B(n_3142),
-	.Y(n_3402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480051 (
-	.A(n_3141),
-	.B(n_877),
-	.Y(n_3401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480052 (
-	.A(n_3141),
-	.B(n_645),
-	.Y(n_3400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480053 (
-	.A(n_641),
-	.B(n_3142),
-	.Y(n_3399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480055 (
-	.A(n_638),
-	.B(n_3143),
-	.Y(n_3398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480056 (
-	.A(n_644),
-	.B(n_3143),
-	.Y(n_3397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480077 (
-	.A(n_3138),
-	.B(n_901),
-	.Y(n_3396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g480078 (
-	.A(soc_top_reset_manager_n_13),
-	.B_N(n_3145),
-	.Y(n_3395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480079 (
-	.A(n_240),
-	.B(n_3106),
-	.Y(n_3394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480080 (
-	.A(n_3049),
-	.B(n_925),
-	.Y(n_3392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480081 (
-	.A(n_2607),
-	.B(n_3106),
-	.Y(n_3391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480082 (
-	.A(n_3148),
-	.B(n_888),
-	.Y(n_3389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480083 (
-	.A(n_1349),
-	.B(n_3154),
-	.Y(n_3388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480084 (
-	.A(n_14905),
-	.B(n_3137),
-	.Y(n_3387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480085 (
-	.A(n_14905),
-	.B(n_3129),
-	.Y(n_3385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g480086 (
-	.A(n_16210),
-	.B(n_3129),
-	.X(n_3383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g480087 (
-	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B(n_3151),
-	.Y(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480088 (
-	.A(n_3152),
-	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.Y(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_1 g480089 (
-	.A_N(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B(n_3156),
-	.X(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480090 (
-	.A(n_3156),
-	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.Y(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480091 (
-	.A(n_3330),
-	.Y(n_3329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g480092 (
-	.A(n_3325),
-	.Y(n_3324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480093 (
-	.A(n_3323),
-	.Y(n_3322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480094 (
-	.A(n_3321),
-	.Y(n_3320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g480096 (
-	.A(n_3316),
-	.Y(n_3315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g480097 (
-	.A(n_3309),
-	.Y(n_3308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g480098 (
-	.A(n_3307),
-	.Y(n_3306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g480099 (
-	.A1(n_2600),
-	.A2(n_14986),
-	.B1(n_3086),
-	.Y(n_3305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g480100 (
-	.A(n_1950),
-	.B(n_1519),
-	.C(n_818),
-	.D(n_1351),
-	.Y(n_3304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g480101 (
-	.A1(n_702),
-	.A2(n_1877),
-	.B1(n_2282),
-	.C1(n_2622),
-	.Y(n_3303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g480102 (
-	.A(n_1931),
-	.B(n_1528),
-	.C(n_598),
-	.D(n_1351),
-	.Y(n_3302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g480103 (
-	.A1(n_693),
-	.A2(n_1861),
-	.B1(n_2278),
-	.C1(n_2621),
-	.Y(n_3301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g480104 (
-	.A(n_1512),
-	.B(n_1936),
-	.C(n_1351),
-	.D(n_1337),
-	.Y(n_3300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g480105 (
-	.A(n_2011),
-	.B(n_1541),
-	.C(n_557),
-	.D(n_1351),
-	.Y(n_3299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g480106 (
-	.A(n_1955),
-	.B(n_1523),
-	.C(n_835),
-	.D(n_1351),
-	.Y(n_3298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g480107 (
-	.A1(n_2600),
-	.A2(n_14990),
-	.B1(n_3087),
-	.Y(n_3297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g480108 (
-	.A(n_1963),
-	.B(n_1515),
-	.C(n_1351),
-	.D(n_1338),
-	.Y(n_3296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g480109 (
-	.A(n_1962),
-	.B(n_1474),
-	.C(n_1351),
-	.D(n_1335),
-	.Y(n_3295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g480110 (
-	.A(n_1956),
-	.B(n_1536),
-	.C(n_1351),
-	.D(n_1339),
-	.Y(n_3294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g480111 (
-	.A(n_1941),
-	.B(n_1539),
-	.C(n_1351),
-	.D(n_1340),
-	.Y(n_3293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g480112 (
-	.A1(n_690),
-	.A2(n_1862),
-	.B1(n_2276),
-	.C1(n_2620),
-	.Y(n_3292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g480113 (
-	.A(n_1957),
-	.B(n_1514),
-	.C(n_1351),
-	.D(n_1333),
-	.Y(n_3291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g480114 (
-	.A1(n_730),
-	.A2(n_1886),
-	.B1(n_2270),
-	.C1(n_2619),
-	.Y(n_3290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g480115 (
-	.A1(n_681),
-	.A2(n_1868),
-	.B1(n_2275),
-	.C1(n_2640),
-	.Y(n_3289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g480116 (
-	.A1(n_683),
-	.A2(n_1867),
-	.B1(n_2273),
-	.C1(n_2631),
-	.Y(n_3288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g480117 (
-	.A1(n_732),
-	.A2(n_1888),
-	.B1(n_2272),
-	.C1(n_2617),
-	.Y(n_3287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g480118 (
-	.A1(n_694),
-	.A2(n_1864),
-	.B1(n_2265),
-	.C1(n_2625),
-	.Y(n_3286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g480119 (
-	.A1(n_682),
-	.A2(n_1885),
-	.B1(n_2277),
-	.C1(n_2616),
-	.Y(n_3285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g480120 (
-	.A1(n_731),
-	.A2(n_1870),
-	.B1(n_2267),
-	.C1(n_2643),
-	.Y(n_3284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g480121 (
-	.A1(n_692),
-	.A2(n_1890),
-	.B1(n_2321),
-	.C1(n_2629),
-	.Y(n_3283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g480122 (
-	.A1(n_685),
-	.A2(n_1858),
-	.B1(n_2257),
-	.C1(n_2641),
-	.Y(n_3282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g480123 (
-	.A1(n_2600),
-	.A2(n_14984),
-	.B1(n_3088),
-	.Y(n_3281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480124 (
-	.A(n_648),
-	.B(n_3075),
-	.Y(n_3280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g480125 (
-	.A1(n_2600),
-	.A2(n_14985),
-	.B1(n_3089),
-	.Y(n_3279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g480127 (
-	.A1(n_688),
-	.A2(n_1859),
-	.B1(n_2259),
-	.C1(n_2623),
-	.Y(n_3277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g480128 (
-	.A1(soc_top_u_pwm_pwm_core_period_counter1[6]),
-	.A2(n_2674),
-	.B1(soc_top_u_pwm_pwm_core_period_counter1[5]),
-	.B2(n_504),
-	.Y(n_3276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g480129 (
-	.A1(n_1443),
-	.A2(n_715),
-	.B1(n_2980),
-	.Y(n_3275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g480130 (
-	.A1(n_2065),
-	.A2(\soc_top_intr_controller_reg2hw[prio8][q] [0]),
-	.B1(n_2055),
-	.B2(\soc_top_intr_controller_reg2hw[prio7][q] [0]),
-	.C1(n_2266),
-	.Y(n_3274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g480131 (
-	.A1(soc_top_main_swith_host_lsu_num_req_outstanding[4]),
-	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[5]),
-	.B1(n_3146),
-	.Y(n_3273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g480132 (
-	.A1(n_1430),
-	.A2(n_677),
-	.B1(n_2999),
-	.Y(n_3272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g480133 (
-	.A1(n_15080),
-	.A2(n_377),
-	.B1(n_15079),
-	.C1(n_2923),
-	.Y(n_3271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g480134 (
-	.A1(n_2044),
-	.A2(soc_top_intr_controller_u_reg_reg_rdata_next[0]),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [0]),
-	.C1(n_2252),
-	.Y(n_3270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g480135 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [5]),
-	.A2_N(n_2602),
-	.B1(n_2604),
-	.B2(n_2332),
-	.Y(n_3269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g480136 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [19]),
-	.A2_N(n_633),
-	.B1(n_631),
-	.B2(n_2340),
-	.Y(n_3268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a311o_1 g480138 (
-	.A1(n_705),
-	.A2(n_490),
-	.A3(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [1]),
-	.B1(n_2260),
-	.C1(n_2528),
-	.X(n_3266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g480139 (
-	.A(n_1973),
-	.B(n_2115),
-	.C(n_18408),
-	.D(n_15189),
-	.Y(n_3265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g480140 (
-	.A(n_1876),
-	.B(n_246),
-	.C(n_1891),
-	.D(n_1974),
-	.X(n_3264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g480141 (
-	.A1(n_2650),
-	.A2(n_376),
-	.B1(soc_top_reset_manager_n_13),
-	.Y(n_3263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g480142 (
-	.A1(n_2659),
-	.A2(n_485),
-	.B1(soc_top_reset_manager_n_13),
-	.Y(n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480143 (
-	.A1(soc_top_u_pwm_pwm_core_DC_2[3]),
-	.A2(n_2017),
-	.B1(n_2024),
-	.B2(soc_top_u_pwm_pwm_core_ctrl_2[3]),
-	.C1(n_2022),
-	.C2(soc_top_u_pwm_pwm_core_ctrl[3]),
-	.Y(n_3261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480144 (
-	.A1(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [22]),
-	.A2(n_1820),
-	.B1(n_2132),
-	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [22]),
-	.C1(n_1350),
-	.C2(\soc_top_gpio_to_xbarp[d_data] [22]),
-	.Y(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g480145 (
-	.A1(n_1826),
-	.A2(n_2180),
-	.B1(n_2127),
-	.B2(n_1348),
-	.C1(n_2255),
-	.Y(n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480146 (
-	.A1(soc_top_u_pwm_pwm_core_ctrl_2[2]),
-	.A2(n_2024),
-	.B1(n_2022),
-	.B2(soc_top_u_pwm_pwm_core_ctrl[2]),
-	.C1(n_2020),
-	.C2(soc_top_u_pwm_pwm_core_divisor[2]),
-	.Y(n_3258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g480147 (
-	.A1(n_1627),
-	.A2(n_2151),
-	.B1(n_1623),
-	.B2(n_2108),
-	.C1(n_2311),
-	.Y(n_3257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480148 (
-	.A1(\soc_top_intr_controller_irq_id_o[0] [5]),
-	.A2(n_2611),
-	.B1(n_2012),
-	.B2(\soc_top_intr_controller_reg2hw[le][5][q] ),
-	.C1(n_2013),
-	.C2(\soc_top_intr_controller_reg2hw[ie0][5][q] ),
-	.Y(n_3256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g480149 (
-	.A1(n_708),
-	.A2(n_1448),
-	.B1(n_2933),
-	.Y(n_3255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g480150 (
-	.A1(n_1627),
-	.A2(n_2202),
-	.B1(n_1623),
-	.B2(n_2114),
-	.C1(n_2295),
-	.Y(n_3254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g480151 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [21]),
-	.A2_N(n_633),
-	.B1(n_631),
-	.B2(n_2341),
-	.Y(n_3253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g480152 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [18]),
-	.A2_N(n_624),
-	.B1(n_621),
-	.B2(n_2339),
-	.Y(n_3252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480153 (
-	.A1(soc_top_u_pwm_pwm_core_divisor_2[11]),
-	.A2(n_2018),
-	.B1(n_2016),
-	.B2(soc_top_u_pwm_pwm_core_DC_1[11]),
-	.C1(n_2017),
-	.C2(soc_top_u_pwm_pwm_core_DC_2[11]),
-	.Y(n_3251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480154 (
-	.A1(soc_top_u_pwm_pwm_core_ctrl_2[0]),
-	.A2(n_2024),
-	.B1(n_2022),
-	.B2(soc_top_u_pwm_pwm_core_ctrl[0]),
-	.C1(n_2015),
-	.C2(soc_top_u_pwm_pwm_core_period[0]),
-	.Y(n_3250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480155 (
-	.A1(\soc_top_intr_controller_reg2hw[ie0][3][q] ),
-	.A2(n_2013),
-	.B1(n_2040),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][35][q] ),
-	.C1(n_2043),
-	.C2(\soc_top_intr_controller_reg2hw[le][35][q] ),
-	.Y(n_3249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g480156 (
-	.A_N(n_2457),
-	.B(n_1415),
-	.C(n_15071),
-	.Y(n_3248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480157 (
-	.A1(soc_top_u_pwm_pwm_core_ctrl_2[4]),
-	.A2(n_2024),
-	.B1(n_2018),
-	.B2(soc_top_u_pwm_pwm_core_divisor_2[4]),
-	.C1(n_2016),
-	.C2(soc_top_u_pwm_pwm_core_DC_1[4]),
-	.Y(n_3247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480158 (
-	.A1(soc_top_u_pwm_pwm_core_ctrl_2[5]),
-	.A2(n_2024),
-	.B1(n_2022),
-	.B2(soc_top_u_pwm_pwm_core_ctrl[5]),
-	.C1(n_2020),
-	.C2(soc_top_u_pwm_pwm_core_divisor[5]),
-	.Y(n_3246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480159 (
-	.A1(soc_top_u_pwm_pwm_core_ctrl_2[6]),
-	.A2(n_2024),
-	.B1(n_2022),
-	.B2(soc_top_u_pwm_pwm_core_ctrl[6]),
-	.C1(n_2015),
-	.C2(soc_top_u_pwm_pwm_core_period[6]),
-	.Y(n_3245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480160 (
-	.A1(soc_top_u_pwm_pwm_core_DC_2[7]),
-	.A2(n_2017),
-	.B1(n_2024),
-	.B2(soc_top_u_pwm_pwm_core_ctrl_2[7]),
-	.C1(n_2018),
-	.C2(soc_top_u_pwm_pwm_core_divisor_2[7]),
-	.Y(n_3244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480161 (
-	.A1(soc_top_u_pwm_pwm_core_divisor_2[8]),
-	.A2(n_2018),
-	.B1(n_2016),
-	.B2(soc_top_u_pwm_pwm_core_DC_1[8]),
-	.C1(n_2019),
-	.C2(soc_top_u_pwm_pwm_core_period_2[8]),
-	.Y(n_3243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480162 (
-	.A1(soc_top_u_pwm_pwm_core_divisor_2[9]),
-	.A2(n_2018),
-	.B1(n_2016),
-	.B2(soc_top_u_pwm_pwm_core_DC_1[9]),
-	.C1(n_2017),
-	.C2(soc_top_u_pwm_pwm_core_DC_2[9]),
-	.Y(n_3242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480163 (
-	.A1(soc_top_u_pwm_pwm_core_divisor[10]),
-	.A2(n_2020),
-	.B1(n_1358),
-	.B2(\soc_top_pwm_to_xbar[d_data] [10]),
-	.C1(n_2018),
-	.C2(soc_top_u_pwm_pwm_core_divisor_2[10]),
-	.Y(n_3241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g480164 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [18]),
-	.A2_N(n_2602),
-	.B1(n_2604),
-	.B2(n_2339),
-	.Y(n_3240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480165 (
-	.A1(soc_top_u_pwm_pwm_core_divisor_2[13]),
-	.A2(n_2018),
-	.B1(n_2015),
-	.B2(soc_top_u_pwm_pwm_core_period[13]),
-	.C1(n_2019),
-	.C2(soc_top_u_pwm_pwm_core_period_2[13]),
-	.Y(n_3239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g480166 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [10]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_24 ),
-	.B1(n_1494),
-	.C1(n_1477),
-	.D1(n_2930),
-	.Y(n_3238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480167 (
-	.A1(soc_top_u_pwm_pwm_core_divisor_2[14]),
-	.A2(n_2018),
-	.B1(n_2015),
-	.B2(soc_top_u_pwm_pwm_core_period[14]),
-	.C1(n_2019),
-	.C2(soc_top_u_pwm_pwm_core_period_2[14]),
-	.Y(n_3237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480168 (
-	.A1(soc_top_u_pwm_pwm_core_divisor_2[15]),
-	.A2(n_2018),
-	.B1(n_2016),
-	.B2(soc_top_u_pwm_pwm_core_DC_1[15]),
-	.C1(n_2019),
-	.C2(soc_top_u_pwm_pwm_core_period_2[15]),
-	.Y(n_3236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480169 (
-	.A1(soc_top_u_pwm_pwm_core_divisor_2[12]),
-	.A2(n_2018),
-	.B1(n_2016),
-	.B2(soc_top_u_pwm_pwm_core_DC_1[12]),
-	.C1(n_2019),
-	.C2(soc_top_u_pwm_pwm_core_period_2[12]),
-	.Y(n_3235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g480170 (
-	.A1(n_2081),
-	.A2(n_2292),
-	.B1(\soc_top_timer0_reg2hw[ctrl][0][q] ),
-	.Y(n_3234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480171 (
-	.A1(\soc_top_iccm_to_xbar[d_data] [0]),
-	.A2(n_2603),
-	.B1(n_2602),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [0]),
-	.X(n_3233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480172 (
-	.A1(\soc_top_intr_controller_irq_id_o[0] [4]),
-	.A2(n_2611),
-	.B1(n_2012),
-	.B2(\soc_top_intr_controller_reg2hw[le][4][q] ),
-	.C1(n_2013),
-	.C2(\soc_top_intr_controller_reg2hw[ie0][4][q] ),
-	.Y(n_3232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g480173 (
-	.A1(n_1347),
-	.A2(n_1851),
-	.A3(soc_top_intr_controller_u_reg_msip0_qs),
-	.B1(n_2611),
-	.B2(\soc_top_intr_controller_irq_id_o[0] [0]),
-	.Y(n_3231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g480174 (
-	.A1(n_2081),
-	.A2(n_2454),
-	.B1(n_1451),
-	.B2(n_1472),
-	.Y(n_3230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g480175 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [20]),
-	.A2_N(n_633),
-	.B1(n_631),
-	.B2(n_2330),
-	.Y(n_3229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480177 (
-	.A1(n_2333),
-	.A2(n_622),
-	.B1(n_624),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [2]),
-	.Y(n_3227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480178 (
-	.A1(soc_top_u_pwm_pwm_core_period_counter2[8]),
-	.A2(n_2126),
-	.B1(n_1484),
-	.B2(soc_top_u_pwm_pwm_core_period_counter2[9]),
-	.C1(n_922),
-	.C2(n_959),
-	.Y(n_3226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480179 (
-	.A1(soc_top_u_pwm_pwm_core_period_counter1[4]),
-	.A2(n_2125),
-	.B1(n_1483),
-	.B2(soc_top_u_pwm_pwm_core_period_counter1[5]),
-	.C1(n_918),
-	.C2(n_984),
-	.Y(n_3225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480180 (
-	.A1(soc_top_u_pwm_pwm_core_period_counter1[8]),
-	.A2(n_2119),
-	.B1(n_1485),
-	.B2(soc_top_u_pwm_pwm_core_period_counter1[9]),
-	.C1(n_904),
-	.C2(n_978),
-	.Y(n_3224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g480181 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [7]),
-	.A2_N(n_624),
-	.B1(n_621),
-	.B2(n_2336),
-	.Y(n_3223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g480182 (
-	.A1_N(n_631),
-	.A2_N(n_2342),
-	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [22]),
-	.B2(n_633),
-	.Y(n_3222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480183 (
-	.A1(\soc_top_ifu_to_xbar[a_address] [12]),
-	.A2(n_2649),
-	.B1(n_2606),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[12]),
-	.X(n_3221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g480184 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [23]),
-	.A2_N(n_2602),
-	.B1(n_2604),
-	.B2(n_2344),
-	.Y(n_3220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g480185 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [22]),
-	.A2_N(n_2602),
-	.B1(n_2604),
-	.B2(n_2342),
-	.Y(n_3219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g480186 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [7]),
-	.A2_N(n_2602),
-	.B1(n_2604),
-	.B2(n_2336),
-	.Y(n_3218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g480187 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [6]),
-	.A2_N(n_2602),
-	.B1(n_2604),
-	.B2(n_2338),
-	.Y(n_3217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g480188 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [4]),
-	.A2_N(n_2602),
-	.B1(n_2604),
-	.B2(n_2348),
-	.Y(n_3216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g480189 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [3]),
-	.A2_N(n_2602),
-	.B1(n_2604),
-	.B2(n_2346),
-	.Y(n_3215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g480190 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [2]),
-	.A2_N(n_2602),
-	.B1(n_2604),
-	.B2(n_2334),
-	.Y(n_3214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480191 (
-	.A1(\soc_top_iccm_to_xbar[d_data] [1]),
-	.A2(n_2603),
-	.B1(n_2602),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [1]),
-	.X(n_3213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g480192 (
-	.A1(n_1619),
-	.A2(n_1848),
-	.A3(\soc_top_intr_controller_reg2hw[prio32][q] [0]),
-	.B1(n_2666),
-	.B2(\soc_top_intr_controller_reg2hw[prio33][q] [0]),
-	.Y(n_3212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g480193 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [20]),
-	.A2_N(n_2602),
-	.B1(n_2604),
-	.B2(n_2330),
-	.Y(n_3211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g480194 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [19]),
-	.A2_N(n_2602),
-	.B1(n_2604),
-	.B2(n_2340),
-	.Y(n_3210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480195 (
-	.A1(soc_top_u_pwm_pwm_core_period_counter2[4]),
-	.A2(n_2123),
-	.B1(n_1486),
-	.B2(soc_top_u_pwm_pwm_core_period_counter2[5]),
-	.C1(n_727),
-	.C2(n_1011),
-	.Y(n_3209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g480196 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [21]),
-	.A2_N(n_2602),
-	.B1(n_2604),
-	.B2(n_2341),
-	.Y(n_3208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480197 (
-	.A1(n_2324),
-	.A2(soc_top_u_uart_u_uart_core_control[0]),
-	.B1(\soc_top_xbar_to_timer[a_data] [0]),
-	.B2(n_2323),
-	.X(n_3207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480198 (
-	.A1(n_2324),
-	.A2(soc_top_u_uart_u_uart_core_control[1]),
-	.B1(\soc_top_xbar_to_timer[a_data] [1]),
-	.B2(n_2323),
-	.X(n_3206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480199 (
-	.A1(n_2324),
-	.A2(soc_top_u_uart_u_uart_core_control[2]),
-	.B1(n_2323),
-	.B2(soc_top_u_uart_u_uart_core_rx_status),
-	.X(n_3205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480200 (
-	.A1(n_2324),
-	.A2(soc_top_u_uart_u_uart_core_control[3]),
-	.B1(\soc_top_xbar_to_timer[a_data] [3]),
-	.B2(n_2323),
-	.X(n_3204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480201 (
-	.A1(n_2324),
-	.A2(soc_top_u_uart_u_uart_core_control[4]),
-	.B1(\soc_top_xbar_to_timer[a_data] [4]),
-	.B2(n_2323),
-	.X(n_3203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480202 (
-	.A1(n_2324),
-	.A2(soc_top_u_uart_u_uart_core_control[5]),
-	.B1(\soc_top_xbar_to_timer[a_data] [5]),
-	.B2(n_2323),
-	.X(n_3202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480203 (
-	.A1(n_2324),
-	.A2(soc_top_u_uart_u_uart_core_control[6]),
-	.B1(\soc_top_xbar_to_timer[a_data] [6]),
-	.B2(n_2323),
-	.X(n_3201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480204 (
-	.A1(n_2324),
-	.A2(soc_top_u_uart_u_uart_core_control[7]),
-	.B1(\soc_top_xbar_to_timer[a_data] [7]),
-	.B2(n_2323),
-	.X(n_3200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480205 (
-	.A1(n_2324),
-	.A2(soc_top_u_uart_u_uart_core_control[8]),
-	.B1(\soc_top_xbar_to_timer[a_data] [8]),
-	.B2(n_2323),
-	.X(n_3199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480206 (
-	.A1(n_2324),
-	.A2(soc_top_u_uart_u_uart_core_control[10]),
-	.B1(\soc_top_xbar_to_timer[a_data] [10]),
-	.B2(n_2323),
-	.X(n_3198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480207 (
-	.A1(n_2324),
-	.A2(soc_top_u_uart_u_uart_core_control[11]),
-	.B1(\soc_top_xbar_to_timer[a_data] [11]),
-	.B2(n_2323),
-	.X(n_3197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480208 (
-	.A1(n_2324),
-	.A2(soc_top_u_uart_u_uart_core_control[12]),
-	.B1(\soc_top_xbar_to_timer[a_data] [12]),
-	.B2(n_2323),
-	.X(n_3196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480209 (
-	.A1(n_2324),
-	.A2(soc_top_u_uart_u_uart_core_control[14]),
-	.B1(\soc_top_xbar_to_timer[a_data] [14]),
-	.B2(n_2323),
-	.X(n_3195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480210 (
-	.A1(n_2324),
-	.A2(soc_top_u_uart_u_uart_core_control[15]),
-	.B1(\soc_top_xbar_to_timer[a_data] [15]),
-	.B2(n_2323),
-	.X(n_3194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480211 (
-	.A1(n_2324),
-	.A2(soc_top_u_uart_u_uart_core_control[16]),
-	.B1(\soc_top_xbar_to_timer[a_data] [16]),
-	.B2(n_2323),
-	.X(n_3193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480212 (
-	.A1(n_2324),
-	.A2(soc_top_u_uart_u_uart_core_control[17]),
-	.B1(\soc_top_xbar_to_timer[a_data] [17]),
-	.B2(n_2323),
-	.X(n_3192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480213 (
-	.A1(n_2324),
-	.A2(soc_top_u_uart_u_uart_core_control[18]),
-	.B1(\soc_top_xbar_to_timer[a_data] [18]),
-	.B2(n_2323),
-	.X(n_3191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480214 (
-	.A1(n_2326),
-	.A2(soc_top_u_uart_u_uart_core_tx[0]),
-	.B1(\soc_top_xbar_to_timer[a_data] [0]),
-	.B2(n_2327),
-	.X(n_3190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480215 (
-	.A1(n_2326),
-	.A2(soc_top_u_uart_u_uart_core_tx[2]),
-	.B1(\soc_top_xbar_to_timer[a_data] [2]),
-	.B2(n_2327),
-	.X(n_3189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480216 (
-	.A1(n_2326),
-	.A2(soc_top_u_uart_u_uart_core_tx[3]),
-	.B1(\soc_top_xbar_to_timer[a_data] [3]),
-	.B2(n_2327),
-	.X(n_3188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480217 (
-	.A1(n_2326),
-	.A2(soc_top_u_uart_u_uart_core_tx[4]),
-	.B1(\soc_top_xbar_to_timer[a_data] [4]),
-	.B2(n_2327),
-	.X(n_3187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480218 (
-	.A1(n_2326),
-	.A2(soc_top_u_uart_u_uart_core_tx[6]),
-	.B1(\soc_top_xbar_to_timer[a_data] [6]),
-	.B2(n_2327),
-	.X(n_3186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480219 (
-	.A1(n_2326),
-	.A2(soc_top_u_uart_u_uart_core_tx[7]),
-	.B1(\soc_top_xbar_to_timer[a_data] [7]),
-	.B2(n_2327),
-	.X(n_3185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480220 (
-	.A1(n_2325),
-	.A2(soc_top_GPIO_cio_gpio_en_q[3]),
-	.B1(n_2136),
-	.B2(soc_top_GPIO_cio_gpio_en_q[19]),
-	.X(n_3184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480221 (
-	.A1(n_2325),
-	.A2(soc_top_GPIO_cio_gpio_en_q[4]),
-	.B1(n_2136),
-	.B2(soc_top_GPIO_cio_gpio_en_q[20]),
-	.X(n_3183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480222 (
-	.A1(n_2325),
-	.A2(soc_top_GPIO_cio_gpio_en_q[5]),
-	.B1(n_2136),
-	.B2(soc_top_GPIO_cio_gpio_en_q[21]),
-	.X(n_3182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480223 (
-	.A1(n_2325),
-	.A2(soc_top_GPIO_cio_gpio_en_q[7]),
-	.B1(n_2136),
-	.B2(soc_top_GPIO_cio_gpio_en_q[23]),
-	.X(n_3181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480224 (
-	.A1(n_2325),
-	.A2(soc_top_GPIO_cio_gpio_en_q[8]),
-	.B1(n_2136),
-	.B2(soc_top_GPIO_cio_gpio_en_q[24]),
-	.X(n_3180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480225 (
-	.A1(n_2325),
-	.A2(soc_top_GPIO_cio_gpio_en_q[9]),
-	.B1(n_2136),
-	.B2(soc_top_GPIO_cio_gpio_en_q[25]),
-	.X(n_3179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480226 (
-	.A1(n_2325),
-	.A2(soc_top_GPIO_cio_gpio_en_q[11]),
-	.B1(n_2136),
-	.B2(soc_top_GPIO_cio_gpio_en_q[27]),
-	.X(n_3178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480227 (
-	.A1(n_2325),
-	.A2(soc_top_GPIO_cio_gpio_en_q[12]),
-	.B1(n_2136),
-	.B2(soc_top_GPIO_cio_gpio_en_q[28]),
-	.X(n_3177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480228 (
-	.A1(n_2325),
-	.A2(soc_top_GPIO_cio_gpio_en_q[15]),
-	.B1(n_2136),
-	.B2(soc_top_GPIO_cio_gpio_en_q[31]),
-	.X(n_3176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g480229 (
-	.A1_N(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
-	.A2_N(n_2599),
-	.B1(n_2599),
-	.B2(n_14990),
-	.Y(n_3175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480230 (
-	.A1(n_2666),
-	.A2(\soc_top_intr_controller_reg2hw[prio33][q] [1]),
-	.B1(n_259),
-	.B2(\soc_top_intr_controller_reg2hw[prio34][q] [1]),
-	.Y(n_3174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g480231 (
-	.A1_N(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
-	.A2_N(n_2599),
-	.B1(n_2599),
-	.B2(n_14985),
-	.Y(n_3173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g480232 (
-	.A1_N(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
-	.A2_N(n_2599),
-	.B1(n_2599),
-	.B2(n_14984),
-	.Y(n_3172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g480233 (
-	.A1_N(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
-	.A2_N(n_2599),
-	.B1(n_2599),
-	.B2(n_14986),
-	.Y(n_3171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g480234 (
-	.A1_N(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.A2_N(n_1644),
-	.B1(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B2(n_1644),
-	.Y(n_3170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480235 (
-	.A1(n_2324),
-	.A2(soc_top_u_uart_u_uart_core_control[13]),
-	.B1(\soc_top_xbar_to_timer[a_data] [13]),
-	.B2(n_2323),
-	.X(n_3169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g480236 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [23]),
-	.A2_N(n_624),
-	.B1(n_621),
-	.B2(n_2344),
-	.Y(n_3168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480237 (
-	.A1(n_2324),
-	.A2(soc_top_u_uart_u_uart_core_control[9]),
-	.B1(\soc_top_xbar_to_timer[a_data] [9]),
-	.B2(n_2323),
-	.X(n_3167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480238 (
-	.A1(n_2326),
-	.A2(soc_top_u_uart_u_uart_core_tx[5]),
-	.B1(\soc_top_xbar_to_timer[a_data] [5]),
-	.B2(n_2327),
-	.X(n_3166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480239 (
-	.A1(n_2326),
-	.A2(soc_top_u_uart_u_uart_core_tx[1]),
-	.B1(\soc_top_xbar_to_timer[a_data] [1]),
-	.B2(n_2327),
-	.X(n_3165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480240 (
-	.A1(\soc_top_iccm_to_xbar[d_data] [17]),
-	.A2(n_2603),
-	.B1(n_2602),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [17]),
-	.X(n_3164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480241 (
-	.A1(n_2673),
-	.A2(\soc_top_intr_controller_reg2hw[prio28][q] [1]),
-	.B1(n_2665),
-	.B2(\soc_top_intr_controller_reg2hw[prio27][q] [1]),
-	.Y(n_3163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480242 (
-	.A1(\soc_top_iccm_to_xbar[d_data] [16]),
-	.A2(n_2603),
-	.B1(n_2602),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [16]),
-	.X(n_3162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g480243 (
-	.A1(n_2662),
-	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[2]),
-	.B1(n_628),
-	.B2(n_15427),
-	.C1(n_2290),
-	.X(n_3161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g480244 (
-	.A1(n_713),
-	.A2(n_2328),
-	.B1(n_911),
-	.B2(n_2329),
-	.Y(n_3160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g480245 (
-	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [22]),
-	.A2_N(n_624),
-	.B1(n_621),
-	.B2(n_2342),
-	.Y(n_3159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g480246 (
-	.A1(n_893),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [3]),
-	.B1(n_3139),
-	.Y(n_3339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g480247 (
-	.A(n_2652),
-	.B(n_16210),
-	.C(n_2612),
-	.Y(n_3338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g480248 (
-	.A1(n_1422),
-	.A2(n_2658),
-	.B1(n_1274),
-	.Y(n_3337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g480249 (
-	.A(n_2498),
-	.B(soc_top_u_pwm_pwm_core_period_2[2]),
-	.C(n_499),
-	.X(n_3158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480250 (
-	.A(n_2052),
-	.B(\soc_top_timer0_gen_harts[0].u_core_tick_count [3]),
-	.Y(n_3336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g480251 (
-	.A(\soc_top_intr_controller_irq_id_o[0] [3]),
-	.B(n_484),
-	.C(n_2610),
-	.Y(n_3335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480252 (
-	.A(n_2048),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [3]),
-	.Y(n_3334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4bb_1 g480253 (
-	.A(soc_top_u_top_u_core_is_fp_instr),
-	.B(n_2139),
-	.C_N(n_14909),
-	.D_N(n_652),
-	.Y(n_3333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480254 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
-	.A2(n_7608),
-	.B1(n_7609),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
-	.C1(n_2364),
-	.C2(n_14844),
-	.Y(n_3332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480255 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
-	.A2(n_7608),
-	.B1(n_7609),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
-	.C1(n_2361),
-	.C2(n_14844),
-	.Y(n_3331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480256 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
-	.A2(n_7608),
-	.B1(n_7609),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
-	.C1(n_2358),
-	.C2(n_14844),
-	.Y(n_3330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480257 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
-	.A2(n_7608),
-	.B1(n_7609),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
-	.C1(n_2359),
-	.C2(n_14844),
-	.Y(n_3328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480258 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
-	.A2(n_7608),
-	.B1(n_7609),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
-	.C1(n_2362),
-	.C2(n_14844),
-	.Y(n_3327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480259 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
-	.A2(n_7608),
-	.B1(n_7609),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
-	.C1(n_2363),
-	.C2(n_14844),
-	.Y(n_3326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480260 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
-	.A2(n_7608),
-	.B1(n_7609),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
-	.C1(n_2357),
-	.C2(n_14844),
-	.Y(n_3325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480261 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
-	.A2(n_7608),
-	.B1(n_7609),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
-	.C1(n_2352),
-	.C2(n_14844),
-	.Y(n_3323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480262 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
-	.A2(n_7608),
-	.B1(n_7609),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
-	.C1(n_2355),
-	.C2(n_14844),
-	.Y(n_3321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g480263 (
-	.A_N(n_2139),
-	.B(n_652),
-	.C(n_14909),
-	.D(soc_top_u_top_u_core_is_fp_instr),
-	.Y(n_3319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480264 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
-	.A2(n_7608),
-	.B1(n_7609),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
-	.C1(n_2354),
-	.C2(n_14844),
-	.Y(n_3318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480265 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
-	.A2(n_7608),
-	.B1(n_7609),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
-	.C1(n_2366),
-	.C2(n_14844),
-	.Y(n_3317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480266 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
-	.A2(n_7608),
-	.B1(n_7609),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
-	.C1(n_2356),
-	.C2(n_14844),
-	.Y(n_3316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480267 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
-	.A2(n_7608),
-	.B1(n_7609),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
-	.C1(n_2351),
-	.C2(n_14844),
-	.Y(n_3314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480268 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
-	.A2(n_7608),
-	.B1(n_7609),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
-	.C1(n_2353),
-	.C2(n_14844),
-	.Y(n_3313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480269 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
-	.A2(n_7608),
-	.B1(n_7609),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
-	.C1(n_2360),
-	.C2(n_14844),
-	.Y(n_3312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480270 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
-	.A2(n_7608),
-	.B1(n_7609),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
-	.C1(n_2365),
-	.C2(n_14844),
-	.Y(n_3311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g480271 (
-	.A1(n_2350),
-	.A2(\soc_top_xbar_to_timer[a_mask] [1]),
-	.B1(soc_top_reset_manager_n_13),
-	.Y(n_3310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480272 (
-	.A(soc_top_u_top_u_core_id_in_ready),
-	.B(n_3080),
-	.Y(n_3309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_4 g480273 (
-	.A(n_2228),
-	.B(soc_top_u_top_u_core_fp_flush),
-	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Special_case_dly_SB ),
-	.X(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480274 (
-	.A(n_3151),
-	.Y(n_3152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480275 (
-	.A(n_3149),
-	.Y(n_3148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g480277 (
-	.A(n_3143),
-	.Y(n_3144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g480278 (
-	.A(n_3138),
-	.Y(n_3137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g480279 (
-	.A(n_3132),
-	.Y(n_3133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g480280 (
-	.A(n_3130),
-	.Y(n_3131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g480281 (
-	.A(n_3120),
-	.Y(n_3119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g480282 (
-	.A(n_3118),
-	.Y(n_3117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480283 (
-	.A(n_3116),
-	.Y(n_3115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g480284 (
-	.A(n_3114),
-	.Y(n_3113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480285 (
-	.A(n_3112),
-	.Y(n_3111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g480286 (
-	.A(n_3110),
-	.Y(n_3109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g480287 (
-	.A(n_3108),
-	.Y(n_3107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g480288 (
-	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B(n_1856),
-	.COUT(n_3157),
-	.SUM(n_3104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g480289 (
-	.A(soc_top_u_pwm_pwm_core_counter_p2[2]),
-	.B(n_1816),
-	.COUT(n_3102),
-	.SUM(n_3103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480290 (
-	.A1(n_1010),
-	.A2(n_1143),
-	.B1(n_2634),
-	.Y(n_3101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480291 (
-	.A1(n_1078),
-	.A2(n_1161),
-	.B1(n_2642),
-	.Y(n_3100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480292 (
-	.A1(n_1080),
-	.A2(n_1189),
-	.B1(n_2637),
-	.Y(n_3099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480293 (
-	.A1(n_1063),
-	.A2(n_1177),
-	.B1(n_2640),
-	.Y(n_3098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480294 (
-	.A1(n_1072),
-	.A2(n_1171),
-	.B1(n_2620),
-	.Y(n_3097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480295 (
-	.A1(n_1008),
-	.A2(n_1137),
-	.B1(n_2622),
-	.Y(n_3096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480296 (
-	.A1(n_1075),
-	.A2(n_1165),
-	.B1(n_2624),
-	.Y(n_3095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480297 (
-	.A1(n_981),
-	.A2(n_1181),
-	.B1(n_2626),
-	.Y(n_3094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480298 (
-	.A1(n_1057),
-	.A2(n_1149),
-	.B1(n_2629),
-	.Y(n_3093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480299 (
-	.A1(n_1070),
-	.A2(n_1135),
-	.B1(n_2638),
-	.Y(n_3092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480300 (
-	.A1(n_1066),
-	.A2(n_1183),
-	.B1(n_2644),
-	.Y(n_3091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480302 (
-	.A1(n_1053),
-	.A2(n_1191),
-	.B1(n_2630),
-	.Y(n_3090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480303 (
-	.A(n_2600),
-	.B(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
-	.Y(n_3089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480304 (
-	.A(n_2600),
-	.B(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
-	.Y(n_3088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480305 (
-	.A(n_2600),
-	.B(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
-	.Y(n_3087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480306 (
-	.A(n_2600),
-	.B(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
-	.Y(n_3086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480307 (
-	.A1(n_1050),
-	.A2(n_1179),
-	.B1(n_2615),
-	.Y(n_3085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480308 (
-	.A1(n_1069),
-	.A2(n_1141),
-	.B1(n_2633),
-	.Y(n_3084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480309 (
-	.A1(n_1094),
-	.A2(n_1155),
-	.B1(n_2631),
-	.Y(n_3083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480310 (
-	.A(n_2482),
-	.B(n_626),
-	.Y(n_3082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480311 (
-	.A1(n_989),
-	.A2(n_1147),
-	.B1(n_2639),
-	.Y(n_3081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480312 (
-	.A(n_2215),
-	.B(n_1853),
-	.Y(n_3080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480313 (
-	.A1(n_1071),
-	.A2(n_1151),
-	.B1(n_2618),
-	.Y(n_3079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480314 (
-	.A1(n_2080),
-	.A2(n_1734),
-	.B1(soc_top_u_pwm_pwm_core_DC_2[15]),
-	.Y(n_3078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480315 (
-	.A1(n_2083),
-	.A2(n_1763),
-	.B1(soc_top_u_pwm_pwm_core_DC_1[15]),
-	.Y(n_3077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480316 (
-	.A1(n_1060),
-	.A2(n_1157),
-	.B1(n_2628),
-	.Y(n_3076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g480317 (
-	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[1]),
-	.B_N(n_2658),
-	.Y(n_3075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480318 (
-	.A1(n_1085),
-	.A2(n_1139),
-	.B1(n_2636),
-	.Y(n_3074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480319 (
-	.A1(n_1086),
-	.A2(n_1175),
-	.B1(n_2635),
-	.Y(n_3073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480320 (
-	.A1(n_1073),
-	.A2(n_1145),
-	.B1(n_2632),
-	.Y(n_3072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480321 (
-	.A1(n_1083),
-	.A2(n_1187),
-	.B1(n_2621),
-	.Y(n_3071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480322 (
-	.A1(n_1002),
-	.A2(n_1131),
-	.B1(n_2614),
-	.Y(n_3070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480323 (
-	.A1(n_1000),
-	.A2(n_1159),
-	.B1(n_2619),
-	.Y(n_3069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480324 (
-	.A(n_2607),
-	.B(n_2036),
-	.Y(n_3068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480325 (
-	.A(n_16272),
-	.B(n_2451),
-	.Y(n_3067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g480326 (
-	.A(n_239),
-	.B(soc_top_u_top_u_core_lsu_wdata[24]),
-	.C(soc_top_u_top_u_core_lsu_wdata[26]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][2] ),
-	.Y(n_3066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480327 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_2190),
-	.Y(n_3065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480328 (
-	.A(n_1206),
-	.B(n_1873),
-	.Y(n_3064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480504 (
-	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B(n_2671),
-	.Y(n_3156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480511 (
-	.A(n_2611),
-	.B(\soc_top_intr_controller_irq_id_o[0] [3]),
-	.Y(n_3155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g480523 (
-	.A(n_650),
-	.B(n_891),
-	.C(n_2002),
-	.Y(n_3154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480524 (
-	.A(n_240),
-	.B(soc_top_u_top_u_core_multdiv_operand_b_ex[0]),
-	.Y(n_3153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480526 (
-	.A(n_2672),
-	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Y(n_3151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g480536 (
-	.A(n_1873),
-	.B(n_1206),
-	.X(n_3150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480542 (
-	.A(n_2613),
-	.B(n_2657),
-	.Y(n_3149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g480543 (
-	.A(n_504),
-	.B(n_2674),
-	.X(n_3147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480544 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[5]),
-	.B(n_2677),
-	.Y(n_3146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480545 (
-	.A(n_2350),
-	.B(\soc_top_xbar_to_timer[a_mask] [0]),
-	.Y(n_3145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480548 (
-	.A(n_2667),
-	.B(n_408),
-	.Y(n_3143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480550 (
-	.A(n_2667),
-	.B(soc_top_u_top_u_core_rf_waddr_wb[4]),
-	.Y(n_3142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480553 (
-	.A(soc_top_u_top_u_core_rf_waddr_wb[4]),
-	.B(n_2660),
-	.Y(n_3141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480554 (
-	.A(n_2661),
-	.B(soc_top_u_top_u_core_rf_waddr_wb[4]),
-	.Y(n_3140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480555 (
-	.A(n_2646),
-	.B(n_16649),
-	.Y(n_3139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480557 (
-	.A(n_2652),
-	.B(n_263),
-	.Y(n_3138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480558 (
-	.A(n_2350),
-	.B(n_646),
-	.Y(n_3136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g480559 (
-	.A_N(n_2650),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(n_3135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g480560 (
-	.A_N(n_2659),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(n_3134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480561 (
-	.A(n_2650),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(n_3132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480562 (
-	.A(n_2659),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(n_3130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480563 (
-	.A(n_2605),
-	.B(n_2657),
-	.Y(n_3129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480564 (
-	.A(n_2350),
-	.B(n_884),
-	.Y(n_3128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480565 (
-	.A(n_2678),
-	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.Y(n_3127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g480566 (
-	.A1(n_2042),
-	.A2(\soc_top_xbar_to_timer[a_mask] [1]),
-	.B1(soc_top_reset_manager_n_13),
-	.Y(n_3126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480567 (
-	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B(n_262),
-	.Y(n_3125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g480568 (
-	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B_N(n_2678),
-	.Y(n_3124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480569 (
-	.A(n_2676),
-	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.Y(n_3123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g480570 (
-	.A(n_15002),
-	.B_N(n_2649),
-	.Y(n_3122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480572 (
-	.A(n_2656),
-	.B(n_486),
-	.Y(n_3120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480573 (
-	.A(n_2654),
-	.B(n_486),
-	.Y(n_3118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480574 (
-	.A(soc_top_u_pwm_pwm_core_n_1204),
-	.B(n_2653),
-	.Y(n_3116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480575 (
-	.A(n_2656),
-	.B(n_374),
-	.Y(n_3114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480576 (
-	.A(soc_top_u_pwm_pwm_core_n_1288),
-	.B(n_2653),
-	.Y(n_3112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g480577 (
-	.A(soc_top_u_pwm_pwm_core_n_1204),
-	.B(n_2655),
-	.Y(n_3110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g480578 (
-	.A(n_2613),
-	.B(n_1946),
-	.Y(n_3108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g480579 (
-	.A(n_14895),
-	.B(n_263),
-	.X(n_3106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221a_2 g480580 (
-	.A1(n_1503),
-	.A2(n_15083),
-	.B1(\soc_top_xbar_to_lsu[d_error] ),
-	.B2(n_740),
-	.C1(n_706),
-	.X(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g480581 (
-	.A(n_2961),
-	.Y(n_3063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g480582 (
-	.A(n_2960),
-	.Y(n_3062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g480583 (
-	.A(n_2959),
-	.Y(n_3061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g480584 (
-	.A(n_2958),
-	.Y(n_3060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g480585 (
-	.A(n_2957),
-	.Y(n_3059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g480586 (
-	.A(n_2956),
-	.Y(n_3058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g480587 (
-	.A(n_2955),
-	.Y(n_3057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g480588 (
-	.A(n_2929),
-	.Y(n_3056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480589 (
-	.A(n_3049),
-	.Y(n_3048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g480590 (
-	.A(n_3045),
-	.Y(n_3044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480591 (
-	.A(n_3042),
-	.Y(n_3043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480592 (
-	.A(n_3041),
-	.Y(n_3040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480593 (
-	.A(n_3038),
-	.Y(n_3039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g480594 (
-	.A(n_3037),
-	.Y(n_3036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480595 (
-	.A(n_3035),
-	.Y(n_3034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480598 (
-	.A1(n_2131),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [6]),
-	.B1(n_2134),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [6]),
-	.Y(n_3031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480599 (
-	.A1(n_1067),
-	.A2(n_1163),
-	.B1(n_2627),
-	.Y(n_3030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480600 (
-	.A1(n_1081),
-	.A2(n_1185),
-	.B1(n_2641),
-	.Y(n_3029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480601 (
-	.A1(n_1076),
-	.A2(n_1167),
-	.B1(n_2643),
-	.Y(n_3028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480602 (
-	.A1(n_1056),
-	.A2(n_1193),
-	.B1(n_2625),
-	.Y(n_3027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g480603 (
-	.A(n_2329),
-	.B_N(n_713),
-	.Y(n_3026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480604 (
-	.A1(n_1049),
-	.A2(n_1169),
-	.B1(n_2616),
-	.Y(n_3025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480605 (
-	.A1(n_1089),
-	.A2(n_1173),
-	.B1(n_2623),
-	.Y(n_3024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g480606 (
-	.A1(n_1629),
-	.A2(n_1831),
-	.A3(\soc_top_intr_controller_reg2hw[prio19][q] [0]),
-	.B1(n_2303),
-	.Y(n_3023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480607 (
-	.A1(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [0]),
-	.A2(n_1193),
-	.B1(n_2625),
-	.Y(n_3022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480608 (
-	.A1(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [0]),
-	.A2(n_1177),
-	.B1(n_2640),
-	.Y(n_3021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g480609 (
-	.A1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.A2(n_256),
-	.B1(n_2490),
-	.Y(n_3020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480610 (
-	.A1(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [0]),
-	.A2(n_1175),
-	.B1(n_2635),
-	.Y(n_3019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g480611 (
-	.A1(n_2137),
-	.A2(n_328),
-	.B1(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]),
-	.Y(n_3018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g480612 (
-	.A(soc_top_u_top_u_core_lsu_wdata[23]),
-	.B(n_2141),
-	.X(n_3017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480613 (
-	.A1(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [0]),
-	.A2(n_1149),
-	.B1(n_2629),
-	.Y(n_3016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480614 (
-	.A1(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [0]),
-	.A2(n_1183),
-	.B1(n_2644),
-	.Y(n_3015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480615 (
-	.A1(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [0]),
-	.A2(n_1151),
-	.B1(n_2618),
-	.Y(n_3014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480616 (
-	.A1(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [0]),
-	.A2(n_1139),
-	.B1(n_2636),
-	.Y(n_3013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480617 (
-	.A1(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [0]),
-	.A2(n_1157),
-	.B1(n_2628),
-	.Y(n_3012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480618 (
-	.A1(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [0]),
-	.A2(n_1145),
-	.B1(n_2632),
-	.Y(n_3011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480619 (
-	.A1(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [0]),
-	.A2(n_1141),
-	.B1(n_2633),
-	.Y(n_3010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480620 (
-	.A1(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [0]),
-	.A2(n_1191),
-	.B1(n_2630),
-	.Y(n_3009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480621 (
-	.A1(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [0]),
-	.A2(n_1135),
-	.B1(n_2638),
-	.Y(n_3008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480622 (
-	.A1(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [0]),
-	.A2(n_1179),
-	.B1(n_2615),
-	.Y(n_3007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480623 (
-	.A1(n_2045),
-	.A2(n_15051),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_fpu_norm_U0_Mant_lower_D [1]),
-	.Y(n_3006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480624 (
-	.A1(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [0]),
-	.A2(n_1181),
-	.B1(n_2626),
-	.Y(n_3005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480625 (
-	.A1(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [0]),
-	.A2(n_1165),
-	.B1(n_2624),
-	.Y(n_3004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480626 (
-	.A1(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [0]),
-	.A2(n_1137),
-	.B1(n_2622),
-	.Y(n_3003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g480627 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][0] ),
-	.B(n_2140),
-	.X(n_3002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g480628 (
-	.A1(n_485),
-	.A2(n_2023),
-	.B1(n_376),
-	.B2(n_2021),
-	.Y(n_3001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480629 (
-	.A1(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [0]),
-	.A2(n_1187),
-	.B1(n_2621),
-	.Y(n_3000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g480630 (
-	.A1(n_912),
-	.A2(n_1446),
-	.B1(n_927),
-	.B2(n_1465),
-	.C1(n_2121),
-	.Y(n_2999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480631 (
-	.A1(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [0]),
-	.A2(n_1143),
-	.B1(n_2634),
-	.Y(n_2998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480632 (
-	.A1(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [0]),
-	.A2(n_1171),
-	.B1(n_2620),
-	.Y(n_2997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480633 (
-	.A1(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [0]),
-	.A2(n_1159),
-	.B1(n_2619),
-	.Y(n_2996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480634 (
-	.A1(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [0]),
-	.A2(n_1163),
-	.B1(n_2627),
-	.Y(n_2995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480635 (
-	.A1(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [0]),
-	.A2(n_1155),
-	.B1(n_2631),
-	.Y(n_2994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480636 (
-	.A1(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [0]),
-	.A2(n_1133),
-	.B1(n_2617),
-	.Y(n_2993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480637 (
-	.A1(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [0]),
-	.A2(n_1169),
-	.B1(n_2616),
-	.Y(n_2992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480638 (
-	.A1(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [0]),
-	.A2(n_1131),
-	.B1(n_2614),
-	.Y(n_2991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480639 (
-	.A1(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [0]),
-	.A2(n_1167),
-	.B1(n_2643),
-	.Y(n_2990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480640 (
-	.A1(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [0]),
-	.A2(n_1147),
-	.B1(n_2639),
-	.Y(n_2989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480641 (
-	.A1(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [0]),
-	.A2(n_1185),
-	.B1(n_2641),
-	.Y(n_2988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480642 (
-	.A1(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [0]),
-	.A2(n_1189),
-	.B1(n_2637),
-	.Y(n_2987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480643 (
-	.A1(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [0]),
-	.A2(n_1161),
-	.B1(n_2642),
-	.Y(n_2986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480644 (
-	.A1(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [0]),
-	.A2(n_1173),
-	.B1(n_2623),
-	.Y(n_2985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g480645 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.A2(n_2137),
-	.B1(n_2648),
-	.X(n_2984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g480646 (
-	.A1(n_716),
-	.A2(n_1432),
-	.B1(n_722),
-	.B2(n_1439),
-	.C1(n_2095),
-	.Y(n_2983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480647 (
-	.A1(soc_top_u_pwm_pwm_core_period_counter2[11]),
-	.A2(n_1294),
-	.B1(n_1698),
-	.B2(n_963),
-	.C1(n_523),
-	.C2(n_942),
-	.Y(n_2982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g480648 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.A2(n_2137),
-	.B1(n_2645),
-	.X(n_2981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g480649 (
-	.A1(n_712),
-	.A2(n_1428),
-	.B1(n_736),
-	.B2(n_1441),
-	.C1(n_2118),
-	.Y(n_2980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g480650 (
-	.A1_N(n_761),
-	.A2_N(n_1215),
-	.B1(n_1452),
-	.B2(n_1976),
-	.Y(n_2979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480651 (
-	.A1(n_2019),
-	.A2(soc_top_u_pwm_pwm_core_period_2[0]),
-	.B1(n_1358),
-	.B2(\soc_top_pwm_to_xbar[d_data] [0]),
-	.Y(n_2978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g480652 (
-	.A(n_1959),
-	.B(n_1516),
-	.C(n_1351),
-	.Y(n_2977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g480653 (
-	.A(n_1967),
-	.B(n_1518),
-	.C(n_1351),
-	.Y(n_2976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g480654 (
-	.A(n_1952),
-	.B(n_1490),
-	.C(n_1351),
-	.Y(n_2975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g480655 (
-	.A(n_1954),
-	.B(n_1517),
-	.C(n_1351),
-	.Y(n_2974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g480656 (
-	.A(n_1966),
-	.B(n_1543),
-	.C(n_1351),
-	.Y(n_2973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g480657 (
-	.A(n_1964),
-	.B(n_1535),
-	.C(n_1351),
-	.Y(n_2972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g480658 (
-	.A(n_1965),
-	.B(n_1537),
-	.C(n_1351),
-	.Y(n_2971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g480659 (
-	.A(n_1935),
-	.B(n_1513),
-	.C(n_1351),
-	.Y(n_2970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g480660 (
-	.A(n_1961),
-	.B(n_1532),
-	.C(n_1351),
-	.Y(n_2969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g480661 (
-	.A(n_1934),
-	.B(n_1529),
-	.C(n_1351),
-	.Y(n_2968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g480662 (
-	.A(n_1958),
-	.B(n_1540),
-	.C(n_1351),
-	.Y(n_2967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g480663 (
-	.A(n_1951),
-	.B(n_1506),
-	.C(n_1351),
-	.Y(n_2966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g480664 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [14]),
-	.A2(FE_DBTN22_soc_top_u_top_u_core_fp_operands_0_14),
-	.B1(n_1476),
-	.C1(n_1475),
-	.D1(n_2455),
-	.Y(n_2965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g480665 (
-	.A1(n_437),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [5]),
-	.B1(n_845),
-	.C1(n_1499),
-	.D1(n_1960),
-	.Y(n_2964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g480666 (
-	.A(n_1980),
-	.B(n_1969),
-	.C(n_1498),
-	.D(n_1505),
-	.Y(n_2963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g480667 (
-	.A1(\soc_top_u_top_u_core_fp_operands[0] [31]),
-	.A2(n_16964),
-	.B1(soc_top_u_top_u_core_lsu_wdata[31]),
-	.B2(n_16966),
-	.C1(n_2176),
-	.X(n_2962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480668 (
-	.A1(soc_top_u_uart_u_uart_core_rx[0]),
-	.A2(n_1631),
-	.B1(n_1353),
-	.B2(\soc_top_uart_to_xbar[d_data] [0]),
-	.C1(n_1618),
-	.C2(soc_top_u_uart_u_uart_core_control[0]),
-	.Y(n_2961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480669 (
-	.A1(soc_top_u_uart_u_uart_core_rx[1]),
-	.A2(n_1631),
-	.B1(n_1353),
-	.B2(\soc_top_uart_to_xbar[d_data] [1]),
-	.C1(n_1618),
-	.C2(soc_top_u_uart_u_uart_core_control[1]),
-	.Y(n_2960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480670 (
-	.A1(soc_top_u_uart_u_uart_core_rx[3]),
-	.A2(n_1631),
-	.B1(n_1353),
-	.B2(\soc_top_uart_to_xbar[d_data] [3]),
-	.C1(n_1618),
-	.C2(soc_top_u_uart_u_uart_core_control[3]),
-	.Y(n_2959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480671 (
-	.A1(soc_top_u_uart_u_uart_core_rx[4]),
-	.A2(n_1631),
-	.B1(n_1353),
-	.B2(\soc_top_uart_to_xbar[d_data] [4]),
-	.C1(n_1618),
-	.C2(soc_top_u_uart_u_uart_core_control[4]),
-	.Y(n_2958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480672 (
-	.A1(soc_top_u_uart_u_uart_core_rx[5]),
-	.A2(n_1631),
-	.B1(n_1353),
-	.B2(\soc_top_uart_to_xbar[d_data] [5]),
-	.C1(n_1618),
-	.C2(soc_top_u_uart_u_uart_core_control[5]),
-	.Y(n_2957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480673 (
-	.A1(soc_top_u_uart_u_uart_core_rx[6]),
-	.A2(n_1631),
-	.B1(n_1353),
-	.B2(\soc_top_uart_to_xbar[d_data] [6]),
-	.C1(n_1618),
-	.C2(soc_top_u_uart_u_uart_core_control[6]),
-	.Y(n_2956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480674 (
-	.A1(soc_top_u_uart_u_uart_core_rx[7]),
-	.A2(n_1631),
-	.B1(n_1353),
-	.B2(\soc_top_uart_to_xbar[d_data] [7]),
-	.C1(n_1618),
-	.C2(soc_top_u_uart_u_uart_core_control[7]),
-	.Y(n_2955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480675 (
-	.A1(n_2017),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[15]),
-	.B1(n_2015),
-	.B2(soc_top_u_pwm_pwm_core_period[15]),
-	.Y(n_2954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480676 (
-	.A1(n_2017),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[14]),
-	.B1(n_2016),
-	.B2(soc_top_u_pwm_pwm_core_DC_1[14]),
-	.Y(n_2953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480677 (
-	.A1(n_2017),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[13]),
-	.B1(n_2016),
-	.B2(soc_top_u_pwm_pwm_core_DC_1[13]),
-	.Y(n_2952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g480678 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
-	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
-	.D(n_1467),
-	.X(n_2951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g480679 (
-	.A(n_17263),
-	.B(n_17291),
-	.C(n_17292),
-	.D(n_1466),
-	.X(n_2950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480680 (
-	.A1(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [16]),
-	.A2(n_1820),
-	.B1(n_1824),
-	.B2(soc_top_GPIO_cio_gpio_en_q[16]),
-	.C1(n_2133),
-	.C2(\soc_top_GPIO_reg2hw[intr_enable][q] [16]),
-	.Y(n_2949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480681 (
-	.A1(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [17]),
-	.A2(n_1820),
-	.B1(n_1824),
-	.B2(soc_top_GPIO_cio_gpio_en_q[17]),
-	.C1(n_2133),
-	.C2(\soc_top_GPIO_reg2hw[intr_enable][q] [17]),
-	.Y(n_2948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480682 (
-	.A1(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [19]),
-	.A2(n_1820),
-	.B1(n_1822),
-	.B2(gpio_o[19]),
-	.C1(n_2135),
-	.C2(soc_top_GPIO_u_reg_data_in_qs[19]),
-	.Y(n_2947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480683 (
-	.A1(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [20]),
-	.A2(n_1820),
-	.B1(n_1824),
-	.B2(soc_top_GPIO_cio_gpio_en_q[20]),
-	.C1(n_2135),
-	.C2(soc_top_GPIO_u_reg_data_in_qs[20]),
-	.Y(n_2946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480684 (
-	.A1(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [21]),
-	.A2(n_1820),
-	.B1(n_1824),
-	.B2(soc_top_GPIO_cio_gpio_en_q[21]),
-	.C1(n_2133),
-	.C2(\soc_top_GPIO_reg2hw[intr_enable][q] [21]),
-	.Y(n_2945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480685 (
-	.A1(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [23]),
-	.A2(n_1820),
-	.B1(n_1824),
-	.B2(soc_top_GPIO_cio_gpio_en_q[23]),
-	.C1(n_2133),
-	.C2(\soc_top_GPIO_reg2hw[intr_enable][q] [23]),
-	.Y(n_2944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480686 (
-	.A1(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [24]),
-	.A2(n_1820),
-	.B1(n_1824),
-	.B2(soc_top_GPIO_cio_gpio_en_q[24]),
-	.C1(n_2135),
-	.C2(soc_top_GPIO_u_reg_data_in_qs[24]),
-	.Y(n_2943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480687 (
-	.A1(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [25]),
-	.A2(n_1820),
-	.B1(n_1822),
-	.B2(gpio_o[25]),
-	.C1(n_2135),
-	.C2(soc_top_GPIO_u_reg_data_in_qs[25]),
-	.Y(n_2942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480688 (
-	.A1(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [26]),
-	.A2(n_1820),
-	.B1(n_1822),
-	.B2(gpio_o[26]),
-	.C1(n_2133),
-	.C2(\soc_top_GPIO_reg2hw[intr_enable][q] [26]),
-	.Y(n_2941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480689 (
-	.A1(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [27]),
-	.A2(n_1820),
-	.B1(n_1824),
-	.B2(soc_top_GPIO_cio_gpio_en_q[27]),
-	.C1(n_2133),
-	.C2(\soc_top_GPIO_reg2hw[intr_enable][q] [27]),
-	.Y(n_2940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480690 (
-	.A1(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [28]),
-	.A2(n_1820),
-	.B1(n_1824),
-	.B2(soc_top_GPIO_cio_gpio_en_q[28]),
-	.C1(n_2133),
-	.C2(\soc_top_GPIO_reg2hw[intr_enable][q] [28]),
-	.Y(n_2939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480691 (
-	.A1(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [29]),
-	.A2(n_1820),
-	.B1(n_1824),
-	.B2(soc_top_GPIO_cio_gpio_en_q[29]),
-	.C1(n_2135),
-	.C2(soc_top_GPIO_u_reg_data_in_qs[29]),
-	.Y(n_2938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480692 (
-	.A1(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [30]),
-	.A2(n_1820),
-	.B1(n_1822),
-	.B2(io_out[0]),
-	.C1(n_2135),
-	.C2(soc_top_GPIO_u_reg_data_in_qs[30]),
-	.Y(n_2937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480693 (
-	.A1(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [31]),
-	.A2(n_1820),
-	.B1(n_1824),
-	.B2(soc_top_GPIO_cio_gpio_en_q[31]),
-	.C1(n_2133),
-	.C2(\soc_top_GPIO_reg2hw[intr_enable][q] [31]),
-	.Y(n_2936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g480694 (
-	.A(n_1347),
-	.B(n_2029),
-	.C(\soc_top_intr_controller_reg2hw[threshold0][q] [1]),
-	.Y(n_2935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480695 (
-	.A1(n_2019),
-	.A2(soc_top_u_pwm_pwm_core_period_2[11]),
-	.B1(n_2015),
-	.B2(soc_top_u_pwm_pwm_core_period[11]),
-	.Y(n_2934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g480696 (
-	.A1(n_1448),
-	.A2(n_708),
-	.B1(n_2211),
-	.Y(n_2933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g480697 (
-	.A1(n_436),
-	.A2(n_1216),
-	.A3(soc_top_u_pwm_pwm_core_period_counter1[5]),
-	.B1(n_2124),
-	.B2(soc_top_u_pwm_pwm_core_period_counter1[4]),
-	.Y(n_2932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g480698 (
-	.A1(n_540),
-	.A2(n_1207),
-	.A3(soc_top_u_pwm_pwm_core_period_counter2[5]),
-	.B1(n_2093),
-	.B2(soc_top_u_pwm_pwm_core_period_counter2[4]),
-	.Y(n_2931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g480699 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_18 ),
-	.A2(soc_top_u_top_u_core_lsu_wdata[23]),
-	.B1(n_1334),
-	.C1(n_1344),
-	.D1(n_1510),
-	.Y(n_2930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480700 (
-	.A1(soc_top_u_uart_u_uart_core_rx[2]),
-	.A2(n_1631),
-	.B1(n_1353),
-	.B2(\soc_top_uart_to_xbar[d_data] [2]),
-	.C1(n_1618),
-	.C2(soc_top_u_uart_u_uart_core_control[2]),
-	.Y(n_2929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480701 (
-	.A1(n_2019),
-	.A2(soc_top_u_pwm_pwm_core_period_2[9]),
-	.B1(n_2015),
-	.B2(soc_top_u_pwm_pwm_core_period[9]),
-	.Y(n_2928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480702 (
-	.A1(n_2017),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[8]),
-	.B1(n_2015),
-	.B2(soc_top_u_pwm_pwm_core_period[8]),
-	.Y(n_2927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480703 (
-	.A1(n_2019),
-	.A2(soc_top_u_pwm_pwm_core_period_2[7]),
-	.B1(n_1358),
-	.B2(\soc_top_pwm_to_xbar[d_data] [7]),
-	.Y(n_2926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480704 (
-	.A1(n_2145),
-	.A2(n_324),
-	.B1(n_1937),
-	.B2(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]),
-	.X(n_2925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g480705 (
-	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[0]),
-	.A2(n_2137),
-	.B1(n_647),
-	.Y(n_2924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g480706 (
-	.A1(n_1542),
-	.A2(n_15082),
-	.A3(soc_top_u_top_u_core_load_store_unit_i_handle_misaligned_q),
-	.B1(n_707),
-	.B2(soc_top_u_top_u_core_load_store_unit_i_split_misaligned_access),
-	.Y(n_2923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480707 (
-	.A1(n_2019),
-	.A2(soc_top_u_pwm_pwm_core_period_2[6]),
-	.B1(n_1358),
-	.B2(\soc_top_pwm_to_xbar[d_data] [6]),
-	.Y(n_2922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480708 (
-	.A1(n_2019),
-	.A2(soc_top_u_pwm_pwm_core_period_2[5]),
-	.B1(n_1358),
-	.B2(\soc_top_pwm_to_xbar[d_data] [5]),
-	.Y(n_2921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480709 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][28][q] ),
-	.B1(n_2013),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][28][q] ),
-	.Y(n_2920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g480710 (
-	.A1(n_721),
-	.A2(n_1210),
-	.B1(n_704),
-	.B2(n_1445),
-	.C1(n_1977),
-	.Y(n_2919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g480711 (
-	.A1(n_324),
-	.A2(n_256),
-	.B1(n_2489),
-	.Y(n_2918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480712 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_29_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [29]),
-	.Y(n_2917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480713 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_17_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [17]),
-	.Y(n_2916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480714 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][15][q] ),
-	.B1(n_2013),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][15][q] ),
-	.Y(n_2915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480715 (
-	.A1(n_2017),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[12]),
-	.B1(n_2015),
-	.B2(soc_top_u_pwm_pwm_core_period[12]),
-	.Y(n_2914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480716 (
-	.A1(n_2019),
-	.A2(soc_top_u_pwm_pwm_core_period_2[1]),
-	.B1(n_1358),
-	.B2(\soc_top_pwm_to_xbar[d_data] [1]),
-	.Y(n_2913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g480718 (
-	.A1(n_1413),
-	.A2(n_324),
-	.B1(n_2651),
-	.Y(n_2911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480719 (
-	.A1(n_1299),
-	.A2(soc_top_u_pwm_pwm_core_period[11]),
-	.B1(n_2191),
-	.Y(n_2910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g480720 (
-	.A1(n_1347),
-	.A2(n_1842),
-	.A3(\soc_top_intr_controller_reg2hw[prio2][q] [1]),
-	.B1(n_2146),
-	.B2(\soc_top_intr_controller_reg2hw[prio1][q] [1]),
-	.Y(n_2909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480722 (
-	.A1(n_2145),
-	.A2(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B1(n_2129),
-	.B2(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2] [11]),
-	.X(n_2907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g480723 (
-	.A1(n_628),
-	.A2(n_15426),
-	.B1(n_1365),
-	.B2(soc_top_main_swith_host_lsu_num_req_outstanding[1]),
-	.C1(n_2111),
-	.X(n_2906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480724 (
-	.A1(n_2025),
-	.A2(\soc_top_intr_controller_reg2hw[prio26][q] [0]),
-	.B1(n_2027),
-	.B2(\soc_top_intr_controller_reg2hw[prio25][q] [0]),
-	.X(n_2905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480725 (
-	.A1(n_2019),
-	.A2(soc_top_u_pwm_pwm_core_period_2[2]),
-	.B1(n_1358),
-	.B2(\soc_top_pwm_to_xbar[d_data] [2]),
-	.Y(n_2904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480726 (
-	.A1(n_2133),
-	.A2(\soc_top_GPIO_reg2hw[intr_enable][q] [0]),
-	.B1(n_2135),
-	.B2(soc_top_GPIO_u_reg_data_in_qs[0]),
-	.Y(n_2903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480727 (
-	.A1(n_2131),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [0]),
-	.B1(n_2134),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [0]),
-	.Y(n_2902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480728 (
-	.A1(n_257),
-	.A2(io_out[8]),
-	.B1(n_1825),
-	.B2(io_out[24]),
-	.X(n_2901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480729 (
-	.A1(n_2133),
-	.A2(\soc_top_GPIO_reg2hw[intr_enable][q] [1]),
-	.B1(n_2135),
-	.B2(soc_top_GPIO_u_reg_data_in_qs[1]),
-	.Y(n_2900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480730 (
-	.A1(n_2131),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [1]),
-	.B1(n_2134),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [1]),
-	.Y(n_2899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480731 (
-	.A1(n_257),
-	.A2(io_out[9]),
-	.B1(n_1825),
-	.B2(io_out[25]),
-	.X(n_2898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480732 (
-	.A1(n_2133),
-	.A2(\soc_top_GPIO_reg2hw[intr_enable][q] [2]),
-	.B1(n_2135),
-	.B2(soc_top_GPIO_u_reg_data_in_qs[2]),
-	.Y(n_2897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480733 (
-	.A1(n_2131),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [2]),
-	.B1(n_2134),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [2]),
-	.Y(n_2896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480734 (
-	.A1(n_257),
-	.A2(io_out[10]),
-	.B1(n_1825),
-	.B2(soc_top_GPIO_cio_gpio_q[18]),
-	.X(n_2895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480735 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_27_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [27]),
-	.Y(n_2894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480736 (
-	.A1(n_257),
-	.A2(io_out[11]),
-	.B1(n_1825),
-	.B2(gpio_o[19]),
-	.Y(n_2893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480737 (
-	.A1(n_2133),
-	.A2(\soc_top_GPIO_reg2hw[intr_enable][q] [4]),
-	.B1(n_2135),
-	.B2(soc_top_GPIO_u_reg_data_in_qs[4]),
-	.Y(n_2892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480738 (
-	.A1(n_257),
-	.A2(io_out[12]),
-	.B1(n_1825),
-	.B2(gpio_o[20]),
-	.Y(n_2891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480739 (
-	.A1(n_2133),
-	.A2(\soc_top_GPIO_reg2hw[intr_enable][q] [5]),
-	.B1(n_2135),
-	.B2(soc_top_GPIO_u_reg_data_in_qs[5]),
-	.Y(n_2890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480740 (
-	.A1(n_257),
-	.A2(io_out[13]),
-	.B1(n_1825),
-	.B2(gpio_o[21]),
-	.Y(n_2889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480741 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_26_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [26]),
-	.Y(n_2888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480742 (
-	.A1(n_2133),
-	.A2(\soc_top_GPIO_reg2hw[intr_enable][q] [6]),
-	.B1(n_2135),
-	.B2(soc_top_GPIO_u_reg_data_in_qs[6]),
-	.Y(n_2887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480743 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][25][q] ),
-	.B1(n_2013),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][25][q] ),
-	.Y(n_2886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g480744 (
-	.A1(n_1006),
-	.A2(n_1133),
-	.B1(n_2617),
-	.Y(n_2885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480745 (
-	.A1(n_257),
-	.A2(io_out[15]),
-	.B1(n_1825),
-	.B2(io_out[31]),
-	.Y(n_2884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480746 (
-	.A1(n_2133),
-	.A2(\soc_top_GPIO_reg2hw[intr_enable][q] [7]),
-	.B1(n_2135),
-	.B2(soc_top_GPIO_u_reg_data_in_qs[7]),
-	.Y(n_2883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480747 (
-	.A1(n_2133),
-	.A2(\soc_top_GPIO_reg2hw[intr_enable][q] [8]),
-	.B1(n_2135),
-	.B2(soc_top_GPIO_u_reg_data_in_qs[8]),
-	.Y(n_2882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480748 (
-	.A1(n_257),
-	.A2(io_out[16]),
-	.B1(n_1825),
-	.B2(io_out[32]),
-	.Y(n_2881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480749 (
-	.A1(n_2133),
-	.A2(\soc_top_GPIO_reg2hw[intr_enable][q] [9]),
-	.B1(n_2135),
-	.B2(soc_top_GPIO_u_reg_data_in_qs[9]),
-	.Y(n_2880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480750 (
-	.A1(n_257),
-	.A2(io_out[17]),
-	.B1(n_1825),
-	.B2(gpio_o[25]),
-	.Y(n_2879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480751 (
-	.A1(n_2133),
-	.A2(\soc_top_GPIO_reg2hw[intr_enable][q] [10]),
-	.B1(n_2135),
-	.B2(soc_top_GPIO_u_reg_data_in_qs[10]),
-	.Y(n_2878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480752 (
-	.A1(n_2131),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [10]),
-	.B1(n_2134),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [10]),
-	.Y(n_2877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480753 (
-	.A1(n_257),
-	.A2(io_out[18]),
-	.B1(n_1825),
-	.B2(gpio_o[26]),
-	.X(n_2876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480754 (
-	.A1(n_2133),
-	.A2(\soc_top_GPIO_reg2hw[intr_enable][q] [11]),
-	.B1(n_2135),
-	.B2(soc_top_GPIO_u_reg_data_in_qs[11]),
-	.Y(n_2875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480755 (
-	.A1(n_257),
-	.A2(io_out[19]),
-	.B1(n_1825),
-	.B2(io_out[35]),
-	.Y(n_2874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480756 (
-	.A1(n_2133),
-	.A2(\soc_top_GPIO_reg2hw[intr_enable][q] [12]),
-	.B1(n_2135),
-	.B2(soc_top_GPIO_u_reg_data_in_qs[12]),
-	.Y(n_2873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480757 (
-	.A1(n_257),
-	.A2(io_out[20]),
-	.B1(n_1825),
-	.B2(io_out[36]),
-	.Y(n_2872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480758 (
-	.A1(n_2133),
-	.A2(\soc_top_GPIO_reg2hw[intr_enable][q] [13]),
-	.B1(n_2135),
-	.B2(soc_top_GPIO_u_reg_data_in_qs[13]),
-	.Y(n_2871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480759 (
-	.A1(n_2131),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [13]),
-	.B1(n_2134),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [13]),
-	.Y(n_2870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480760 (
-	.A1(n_257),
-	.A2(io_out[21]),
-	.B1(n_1825),
-	.B2(io_out[37]),
-	.X(n_2869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480761 (
-	.A1(n_2133),
-	.A2(\soc_top_GPIO_reg2hw[intr_enable][q] [14]),
-	.B1(n_2135),
-	.B2(soc_top_GPIO_u_reg_data_in_qs[14]),
-	.Y(n_2868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480762 (
-	.A1(n_257),
-	.A2(io_out[22]),
-	.B1(n_1825),
-	.B2(io_out[0]),
-	.X(n_2867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480763 (
-	.A1(n_2131),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [14]),
-	.B1(n_2134),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [14]),
-	.Y(n_2866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480764 (
-	.A1(n_2133),
-	.A2(\soc_top_GPIO_reg2hw[intr_enable][q] [15]),
-	.B1(n_2135),
-	.B2(soc_top_GPIO_u_reg_data_in_qs[15]),
-	.Y(n_2865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480765 (
-	.A1(n_257),
-	.A2(io_out[23]),
-	.B1(n_1825),
-	.B2(gpio_o[31]),
-	.Y(n_2864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480766 (
-	.A1(n_1819),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [16]),
-	.B1(n_2131),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [16]),
-	.Y(n_2863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480767 (
-	.A1(n_2135),
-	.A2(soc_top_GPIO_u_reg_data_in_qs[16]),
-	.B1(n_1822),
-	.B2(io_out[24]),
-	.Y(n_2862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480768 (
-	.A1(n_1819),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [17]),
-	.B1(n_2131),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [17]),
-	.Y(n_2861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480769 (
-	.A1(n_2135),
-	.A2(soc_top_GPIO_u_reg_data_in_qs[17]),
-	.B1(n_1822),
-	.B2(io_out[25]),
-	.Y(n_2860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480770 (
-	.A1(n_2132),
-	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [18]),
-	.B1(n_1350),
-	.B2(\soc_top_gpio_to_xbarp[d_data] [18]),
-	.Y(n_2859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480771 (
-	.A1(n_1819),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [18]),
-	.B1(n_2134),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [18]),
-	.Y(n_2858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480772 (
-	.A1(n_2131),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [18]),
-	.B1(n_1824),
-	.B2(soc_top_GPIO_cio_gpio_en_q[18]),
-	.Y(n_2857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480773 (
-	.A1(n_1819),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [19]),
-	.B1(n_2131),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [19]),
-	.Y(n_2856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480774 (
-	.A1(n_2133),
-	.A2(\soc_top_GPIO_reg2hw[intr_enable][q] [19]),
-	.B1(n_1824),
-	.B2(soc_top_GPIO_cio_gpio_en_q[19]),
-	.Y(n_2855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480775 (
-	.A1(n_1819),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [20]),
-	.B1(n_2131),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [20]),
-	.Y(n_2854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480776 (
-	.A1(n_2133),
-	.A2(\soc_top_GPIO_reg2hw[intr_enable][q] [20]),
-	.B1(n_1822),
-	.B2(gpio_o[20]),
-	.Y(n_2853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480777 (
-	.A1(n_1819),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [21]),
-	.B1(n_2131),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [21]),
-	.Y(n_2852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480778 (
-	.A1(n_2135),
-	.A2(soc_top_GPIO_u_reg_data_in_qs[21]),
-	.B1(n_1822),
-	.B2(gpio_o[21]),
-	.Y(n_2851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480779 (
-	.A1(n_2133),
-	.A2(\soc_top_GPIO_reg2hw[intr_enable][q] [22]),
-	.B1(n_1822),
-	.B2(io_out[30]),
-	.Y(n_2850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480780 (
-	.A1(n_1821),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [23]),
-	.B1(n_2134),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [23]),
-	.Y(n_2849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480781 (
-	.A1(n_2135),
-	.A2(soc_top_GPIO_u_reg_data_in_qs[23]),
-	.B1(n_1822),
-	.B2(io_out[31]),
-	.Y(n_2848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480782 (
-	.A1(n_1821),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [24]),
-	.B1(n_2134),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [24]),
-	.Y(n_2847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480783 (
-	.A1(n_2133),
-	.A2(\soc_top_GPIO_reg2hw[intr_enable][q] [24]),
-	.B1(n_1822),
-	.B2(io_out[32]),
-	.Y(n_2846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480784 (
-	.A1(n_1821),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [25]),
-	.B1(n_2134),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [25]),
-	.Y(n_2845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480785 (
-	.A1(n_1819),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [26]),
-	.B1(n_2131),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [26]),
-	.Y(n_2844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480786 (
-	.A1(n_2133),
-	.A2(\soc_top_GPIO_reg2hw[intr_enable][q] [25]),
-	.B1(n_1824),
-	.B2(soc_top_GPIO_cio_gpio_en_q[25]),
-	.Y(n_2843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480787 (
-	.A1(n_2135),
-	.A2(soc_top_GPIO_u_reg_data_in_qs[26]),
-	.B1(n_1824),
-	.B2(soc_top_GPIO_cio_gpio_en_q[26]),
-	.Y(n_2842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480788 (
-	.A1(n_1819),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [27]),
-	.B1(n_2131),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [27]),
-	.Y(n_2841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480789 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][14][q] ),
-	.B1(n_2013),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][14][q] ),
-	.Y(n_2840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480790 (
-	.A1(n_2135),
-	.A2(soc_top_GPIO_u_reg_data_in_qs[27]),
-	.B1(n_1822),
-	.B2(io_out[35]),
-	.Y(n_2839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480791 (
-	.A1(n_1819),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [28]),
-	.B1(n_2131),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [28]),
-	.Y(n_2838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480792 (
-	.A1(n_2135),
-	.A2(soc_top_GPIO_u_reg_data_in_qs[28]),
-	.B1(n_1822),
-	.B2(io_out[36]),
-	.Y(n_2837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480793 (
-	.A1(n_1821),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [29]),
-	.B1(n_2134),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [29]),
-	.Y(n_2836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480794 (
-	.A1(n_2133),
-	.A2(\soc_top_GPIO_reg2hw[intr_enable][q] [29]),
-	.B1(n_1822),
-	.B2(io_out[37]),
-	.Y(n_2835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480795 (
-	.A1(n_1821),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [30]),
-	.B1(n_2134),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [30]),
-	.Y(n_2834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480796 (
-	.A1(n_2133),
-	.A2(\soc_top_GPIO_reg2hw[intr_enable][q] [30]),
-	.B1(n_1824),
-	.B2(soc_top_GPIO_cio_gpio_en_q[30]),
-	.Y(n_2833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480797 (
-	.A1(n_1819),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [31]),
-	.B1(n_2131),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [31]),
-	.Y(n_2832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480798 (
-	.A1(n_2135),
-	.A2(soc_top_GPIO_u_reg_data_in_qs[31]),
-	.B1(n_1822),
-	.B2(gpio_o[31]),
-	.Y(n_2831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g480799 (
-	.A1_N(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.A2_N(n_1420),
-	.B1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B2(n_1420),
-	.Y(n_2830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g480800 (
-	.A1(n_1347),
-	.A2(n_1840),
-	.A3(\soc_top_intr_controller_reg2hw[prio35][q] [1]),
-	.B1(n_2013),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][1][q] ),
-	.Y(n_2829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480801 (
-	.A1(n_2062),
-	.A2(\soc_top_intr_controller_reg2hw[prio22][q] [1]),
-	.B1(n_2066),
-	.B2(\soc_top_intr_controller_reg2hw[prio23][q] [1]),
-	.Y(n_2828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480802 (
-	.A1(n_2025),
-	.A2(\soc_top_intr_controller_reg2hw[prio26][q] [1]),
-	.B1(n_2027),
-	.B2(\soc_top_intr_controller_reg2hw[prio25][q] [1]),
-	.Y(n_2827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480803 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][29][q] ),
-	.B1(n_2013),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][29][q] ),
-	.Y(n_2826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480804 (
-	.A1(n_2015),
-	.A2(soc_top_u_pwm_pwm_core_period[1]),
-	.B1(n_2016),
-	.B2(soc_top_u_pwm_pwm_core_DC_1[1]),
-	.Y(n_2825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480805 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_13_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [13]),
-	.Y(n_2824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480806 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][12][q] ),
-	.B1(n_2013),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][12][q] ),
-	.Y(n_2823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480807 (
-	.A1(n_2029),
-	.A2(\soc_top_intr_controller_reg2hw[threshold0][q] [0]),
-	.B1(n_1840),
-	.B2(\soc_top_intr_controller_reg2hw[prio35][q] [0]),
-	.X(n_2822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480808 (
-	.A1(n_257),
-	.A2(io_out[14]),
-	.B1(n_1825),
-	.B2(io_out[30]),
-	.X(n_2821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g480809 (
-	.A1(n_1619),
-	.A2(n_1848),
-	.A3(\soc_top_intr_controller_reg2hw[prio32][q] [1]),
-	.B1(n_2059),
-	.B2(\soc_top_intr_controller_reg2hw[prio31][q] [1]),
-	.Y(n_2820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480810 (
-	.A1(n_2058),
-	.A2(\soc_top_intr_controller_reg2hw[prio30][q] [1]),
-	.B1(n_2057),
-	.B2(\soc_top_intr_controller_reg2hw[prio29][q] [1]),
-	.Y(n_2819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480811 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][1][q] ),
-	.B1(n_2044),
-	.B2(soc_top_intr_controller_u_reg_reg_rdata_next[1]),
-	.Y(n_2818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480812 (
-	.A1(n_2043),
-	.A2(\soc_top_intr_controller_reg2hw[le][33][q] ),
-	.B1(n_2148),
-	.B2(\soc_top_intr_controller_reg2hw[prio0][q] [1]),
-	.Y(n_2817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480813 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][2][q] ),
-	.B1(n_2044),
-	.B2(soc_top_intr_controller_u_reg_reg_rdata_next[2]),
-	.Y(n_2816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480814 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_3_qs),
-	.B1(n_2044),
-	.B2(soc_top_intr_controller_u_reg_reg_rdata_next[3]),
-	.Y(n_2815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480815 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_4_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [4]),
-	.Y(n_2814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480816 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_5_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [5]),
-	.Y(n_2813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480817 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][6][q] ),
-	.B1(n_2013),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][6][q] ),
-	.Y(n_2812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480818 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_8_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [8]),
-	.Y(n_2811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480819 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_9_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [9]),
-	.Y(n_2810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480820 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_10_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [10]),
-	.Y(n_2809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480821 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][10][q] ),
-	.B1(n_2013),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][10][q] ),
-	.Y(n_2808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480822 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][11][q] ),
-	.B1(n_2013),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][11][q] ),
-	.Y(n_2807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480823 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_12_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [12]),
-	.Y(n_2806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480824 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][13][q] ),
-	.B1(n_2013),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][13][q] ),
-	.Y(n_2805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480825 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_14_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [14]),
-	.Y(n_2804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480826 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][16][q] ),
-	.B1(n_2013),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][16][q] ),
-	.Y(n_2803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480827 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_16_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [16]),
-	.Y(n_2802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480828 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][17][q] ),
-	.B1(n_2013),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][17][q] ),
-	.Y(n_2801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480829 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_18_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [18]),
-	.Y(n_2800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480830 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][18][q] ),
-	.B1(n_2013),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][18][q] ),
-	.Y(n_2799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480831 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][19][q] ),
-	.B1(n_2013),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][19][q] ),
-	.Y(n_2798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480832 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_20_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [20]),
-	.Y(n_2797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480833 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][20][q] ),
-	.B1(n_2013),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][20][q] ),
-	.Y(n_2796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480834 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][21][q] ),
-	.B1(n_2013),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][21][q] ),
-	.Y(n_2795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480835 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_22_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [22]),
-	.Y(n_2794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480836 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][22][q] ),
-	.B1(n_2013),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][22][q] ),
-	.Y(n_2793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480837 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_24_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [24]),
-	.Y(n_2792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480838 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_25_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [25]),
-	.Y(n_2791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480839 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][26][q] ),
-	.B1(n_2013),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][26][q] ),
-	.Y(n_2790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480840 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][27][q] ),
-	.B1(n_2013),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][27][q] ),
-	.Y(n_2789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480841 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_28_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [28]),
-	.Y(n_2788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480842 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][31][q] ),
-	.B1(n_2013),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][31][q] ),
-	.Y(n_2787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480843 (
-	.A1(n_2017),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[10]),
-	.B1(n_2015),
-	.B2(soc_top_u_pwm_pwm_core_period[10]),
-	.Y(n_2786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480844 (
-	.A1(n_2133),
-	.A2(\soc_top_GPIO_reg2hw[intr_enable][q] [3]),
-	.B1(n_2135),
-	.B2(soc_top_GPIO_u_reg_data_in_qs[3]),
-	.Y(n_2785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480845 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_30_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [30]),
-	.Y(n_2784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480846 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_31_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [31]),
-	.Y(n_2783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480847 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][30][q] ),
-	.B1(n_2013),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][30][q] ),
-	.Y(n_2782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480848 (
-	.A1(n_460),
-	.A2(n_1988),
-	.B1(n_873),
-	.B2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [0]),
-	.X(n_2781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480849 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][9][q] ),
-	.B1(n_2013),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][9][q] ),
-	.Y(n_2780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480850 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_11_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [11]),
-	.Y(n_2779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480851 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][8][q] ),
-	.B1(n_2013),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][8][q] ),
-	.Y(n_2778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480852 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_1_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [1]),
-	.Y(n_2777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480853 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][7][q] ),
-	.B1(n_2013),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][7][q] ),
-	.Y(n_2776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480854 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_7_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [7]),
-	.Y(n_2775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480855 (
-	.A1(n_2043),
-	.A2(\soc_top_intr_controller_reg2hw[le][34][q] ),
-	.B1(n_2013),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][2][q] ),
-	.Y(n_2774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480856 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_15_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [15]),
-	.Y(n_2773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480857 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][24][q] ),
-	.B1(n_2013),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][24][q] ),
-	.Y(n_2772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480858 (
-	.A1(n_2012),
-	.A2(\soc_top_intr_controller_reg2hw[le][23][q] ),
-	.B1(n_2013),
-	.B2(\soc_top_intr_controller_reg2hw[ie0][23][q] ),
-	.Y(n_2771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480859 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_23_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [23]),
-	.Y(n_2770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480860 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_21_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [21]),
-	.Y(n_2769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480861 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_19_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [19]),
-	.Y(n_2768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480862 (
-	.A1(n_2059),
-	.A2(\soc_top_intr_controller_reg2hw[prio31][q] [0]),
-	.B1(n_259),
-	.B2(\soc_top_intr_controller_reg2hw[prio34][q] [0]),
-	.Y(n_2767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480863 (
-	.A1(n_2019),
-	.A2(soc_top_u_pwm_pwm_core_period_2[4]),
-	.B1(n_1358),
-	.B2(\soc_top_pwm_to_xbar[d_data] [4]),
-	.Y(n_2766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g480864 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_2_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [2]),
-	.X(n_2765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480865 (
-	.A1(n_2014),
-	.A2(soc_top_intr_controller_u_reg_ip_0_p_6_qs),
-	.B1(n_1348),
-	.B2(\soc_top_plic_resp[d_data] [6]),
-	.Y(n_2764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g480866 (
-	.A1(n_2019),
-	.A2(soc_top_u_pwm_pwm_core_period_2[3]),
-	.B1(n_1358),
-	.B2(\soc_top_pwm_to_xbar[d_data] [3]),
-	.Y(n_2763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g480867 (
-	.A(n_1979),
-	.B(soc_top_u_pwm_pwm_core_period[2]),
-	.C(n_500),
-	.X(n_2762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g480868 (
-	.A(n_15145),
-	.B(n_1846),
-	.C(n_14900),
-	.D(n_263),
-	.Y(n_3055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g480869 (
-	.A(n_1975),
-	.B(soc_top_u_pwm_pwm_core_DC_1[2]),
-	.C(n_500),
-	.X(n_2761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g480870 (
-	.A(n_1982),
-	.B(soc_top_u_pwm_pwm_core_DC_2[2]),
-	.C(n_499),
-	.X(n_2760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g480871 (
-	.A1(n_18214),
-	.A2(n_626),
-	.B1(n_1364),
-	.Y(n_3054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g480872 (
-	.A(n_14874),
-	.B(n_889),
-	.C(n_263),
-	.Y(n_2759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g480873 (
-	.A(n_2605),
-	.B(n_1846),
-	.C(n_15145),
-	.Y(n_3053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g480874 (
-	.A1(n_1637),
-	.A2(n_374),
-	.A3(\soc_top_xbar_to_timer[a_mask] [0]),
-	.B1(soc_top_reset_manager_n_13),
-	.Y(n_3052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g480875 (
-	.A1(n_893),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
-	.B1(n_2647),
-	.Y(n_3051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g480876 (
-	.A1(n_893),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [5]),
-	.B1(n_2647),
-	.Y(n_3050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g480877 (
-	.A1(n_893),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [1]),
-	.B1(n_2647),
-	.Y(n_2758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g480878 (
-	.A(n_14900),
-	.B(n_14898),
-	.C(n_2612),
-	.Y(n_3049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g480879 (
-	.A1(n_2138),
-	.A2(FE_DBTN6_soc_top_reset_manager_n_13),
-	.B1(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Y(n_3047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g480880 (
-	.A1(n_2042),
-	.A2(\soc_top_xbar_to_timer[a_mask] [0]),
-	.B1(soc_top_reset_manager_n_13),
-	.Y(n_3046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g480881 (
-	.A1(soc_top_u_pwm_pwm_core_n_1288),
-	.A2(n_2034),
-	.B1(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(n_3045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g480882 (
-	.A1(n_2035),
-	.A2(n_374),
-	.B1(soc_top_reset_manager_n_13),
-	.Y(n_3042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g480883 (
-	.A1(soc_top_reset_manager_n_13),
-	.A2(n_2035),
-	.B1(n_664),
-	.Y(n_3041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g480884 (
-	.A1(n_2035),
-	.A2(n_364),
-	.B1(soc_top_reset_manager_n_13),
-	.Y(n_3038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g480885 (
-	.A1(soc_top_u_pwm_pwm_core_n_1204),
-	.A2(n_2034),
-	.B1(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(n_3037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g480886 (
-	.A1(soc_top_reset_manager_n_13),
-	.A2(n_2033),
-	.B1(n_664),
-	.Y(n_3035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g480888 (
-	.A(n_2605),
-	.B(n_2036),
-	.C(n_926),
-	.X(n_3033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g480889 (
-	.A(n_2613),
-	.B(n_925),
-	.C(n_888),
-	.X(n_3032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480890 (
-	.A(n_2598),
-	.Y(n_2757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480891 (
-	.A(n_2597),
-	.Y(n_2756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480892 (
-	.A(n_2596),
-	.Y(n_2755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480893 (
-	.A(n_2594),
-	.Y(n_2754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480894 (
-	.A(n_2593),
-	.Y(n_2753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480895 (
-	.A(n_2592),
-	.Y(n_2752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480896 (
-	.A(n_2591),
-	.Y(n_2751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480897 (
-	.A(n_2590),
-	.Y(n_2750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480898 (
-	.A(n_2586),
-	.Y(n_2749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480899 (
-	.A(n_2585),
-	.Y(n_2748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480900 (
-	.A(n_2584),
-	.Y(n_2747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480901 (
-	.A(n_2583),
-	.Y(n_2746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480902 (
-	.A(n_2582),
-	.Y(n_2745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480903 (
-	.A(n_2581),
-	.Y(n_2744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480904 (
-	.A(n_2552),
-	.Y(n_2743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480905 (
-	.A(n_2551),
-	.Y(n_2742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480906 (
-	.A(n_2547),
-	.Y(n_2741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480907 (
-	.A(n_2527),
-	.Y(n_2740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480908 (
-	.A(n_2526),
-	.Y(n_2739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480909 (
-	.A(n_2525),
-	.Y(n_2738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480910 (
-	.A(n_2524),
-	.Y(n_2737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480911 (
-	.A(n_2523),
-	.Y(n_2736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480912 (
-	.A(n_2522),
-	.Y(n_2735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480913 (
-	.A(n_2521),
-	.Y(n_2734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480914 (
-	.A(n_2520),
-	.Y(n_2733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480915 (
-	.A(n_2519),
-	.Y(n_2732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480916 (
-	.A(n_2518),
-	.Y(n_2731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480917 (
-	.A(n_2517),
-	.Y(n_2730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480918 (
-	.A(n_2516),
-	.Y(n_2729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480919 (
-	.A(n_2515),
-	.Y(n_2728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480920 (
-	.A(n_2514),
-	.Y(n_2727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480921 (
-	.A(n_2513),
-	.Y(n_2726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480922 (
-	.A(n_2512),
-	.Y(n_2725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480923 (
-	.A(n_2511),
-	.Y(n_2724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480924 (
-	.A(n_2510),
-	.Y(n_2723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480925 (
-	.A(n_2508),
-	.Y(n_2722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480926 (
-	.A(n_2507),
-	.Y(n_2721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480927 (
-	.A(n_2506),
-	.Y(n_2720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480928 (
-	.A(n_2505),
-	.Y(n_2719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480929 (
-	.A(n_2504),
-	.Y(n_2718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480930 (
-	.A(n_2503),
-	.Y(n_2717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480931 (
-	.A(n_2502),
-	.Y(n_2716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480932 (
-	.A(n_2500),
-	.Y(n_2715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480933 (
-	.A(n_2499),
-	.Y(n_2714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480934 (
-	.A(n_2497),
-	.Y(n_2713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480935 (
-	.A(n_2496),
-	.Y(n_2712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480936 (
-	.A(n_2495),
-	.Y(n_2711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480937 (
-	.A(n_2494),
-	.Y(n_2710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480938 (
-	.A(n_2493),
-	.Y(n_2709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480939 (
-	.A(n_2491),
-	.Y(n_2708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480940 (
-	.A(n_2488),
-	.Y(n_2707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480941 (
-	.A(n_2486),
-	.Y(n_2706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480942 (
-	.A(n_2485),
-	.Y(n_2705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480943 (
-	.A(n_2484),
-	.Y(n_2704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480944 (
-	.A(n_2483),
-	.Y(n_2703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480945 (
-	.A(n_2481),
-	.Y(n_2702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480946 (
-	.A(n_2480),
-	.Y(n_2701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480947 (
-	.A(n_2479),
-	.Y(n_2700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480948 (
-	.A(n_2478),
-	.Y(n_2699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480949 (
-	.A(n_2477),
-	.Y(n_2698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480950 (
-	.A(n_2476),
-	.Y(n_2697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480951 (
-	.A(n_2475),
-	.Y(n_2696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480952 (
-	.A(n_2474),
-	.Y(n_2695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480953 (
-	.A(n_2473),
-	.Y(n_2694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480954 (
-	.A(n_2472),
-	.Y(n_2693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480955 (
-	.A(n_2471),
-	.Y(n_2692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480956 (
-	.A(n_2470),
-	.Y(n_2691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480957 (
-	.A(n_2469),
-	.Y(n_2690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480958 (
-	.A(n_2468),
-	.Y(n_2689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480959 (
-	.A(n_2467),
-	.Y(n_2688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480960 (
-	.A(n_2466),
-	.Y(n_2687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480961 (
-	.A(n_2465),
-	.Y(n_2686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480962 (
-	.A(n_2464),
-	.Y(n_2685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480963 (
-	.A(n_2463),
-	.Y(n_2684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480964 (
-	.A(n_2462),
-	.Y(n_2683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480965 (
-	.A(n_2461),
-	.Y(n_2682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480966 (
-	.A(n_2459),
-	.Y(n_2681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480967 (
-	.A(n_2458),
-	.Y(n_2680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480968 (
-	.A(n_2456),
-	.Y(n_2679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480969 (
-	.A(n_262),
-	.Y(n_2676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g480970 (
-	.A(n_2671),
-	.Y(n_2672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480971 (
-	.A(n_2668),
-	.Y(n_2669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480972 (
-	.A(n_2660),
-	.Y(n_2661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480973 (
-	.A(n_2655),
-	.Y(n_2656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480974 (
-	.A(n_2653),
-	.Y(n_2654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g480975 (
-	.A(n_2647),
-	.Y(n_2646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g480976 (
-	.A(n_2613),
-	.Y(n_2612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g480977 (
-	.A(n_2610),
-	.Y(n_2611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g480978 (
-	.A(n_240),
-	.Y(n_2607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g480979 (
-	.A(n_263),
-	.Y(n_2605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g480980 (
-	.A(n_2604),
-	.Y(n_2603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g480981 (
-	.A(n_2601),
-	.Y(n_2600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480982 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17076),
-	.C1(n_18226),
-	.C2(n_15338),
-	.Y(n_2598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480983 (
-	.A1(n_15359),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_16974),
-	.C1(n_18224),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [4]),
-	.Y(n_2597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480984 (
-	.A1(n_15348),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17118),
-	.C1(n_18226),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [56]),
-	.Y(n_2596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g480985 (
-	.A(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [2]),
-	.B(n_1143),
-	.C_N(n_710),
-	.X(n_2595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480986 (
-	.A1(n_15356),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_17014),
-	.C1(n_18225),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]),
-	.Y(n_2594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480987 (
-	.A1(n_15354),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_17008),
-	.C1(n_18225),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]),
-	.Y(n_2593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480988 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17080),
-	.C1(n_18226),
-	.C2(n_15342),
-	.Y(n_2592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480989 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [24]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17086),
-	.C1(n_18226),
-	.C2(n_15348),
-	.Y(n_2591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480990 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [4]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17066),
-	.C1(n_18226),
-	.C2(n_15359),
-	.Y(n_2590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g480991 (
-	.A(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [2]),
-	.B(n_1189),
-	.C_N(n_718),
-	.X(n_2589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g480992 (
-	.A(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [2]),
-	.B(n_1161),
-	.C_N(n_737),
-	.X(n_2588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g480993 (
-	.A(n_1629),
-	.B(n_1831),
-	.C(\soc_top_intr_controller_reg2hw[prio19][q] [1]),
-	.Y(n_2587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480994 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]),
-	.A2(n_18226),
-	.B1(n_244),
-	.B2(n_17105),
-	.C1(n_18223),
-	.C2(n_15355),
-	.Y(n_2586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480995 (
-	.A1(n_15347),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17117),
-	.C1(n_18226),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]),
-	.Y(n_2585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480996 (
-	.A1(n_15361),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_17033),
-	.C1(n_18225),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]),
-	.Y(n_2584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480997 (
-	.A1(n_15352),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_17032),
-	.C1(n_18225),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]),
-	.Y(n_2583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480998 (
-	.A1(n_15358),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_17031),
-	.C1(n_18225),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]),
-	.Y(n_2582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g480999 (
-	.A1(n_15351),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_17030),
-	.C1(n_18225),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [60]),
-	.Y(n_2581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g481001 (
-	.A1(n_1531),
-	.A2(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2884),
-	.B1(n_14888),
-	.X(n_2579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g481002 (
-	.A_N(soc_top_u_top_u_core_csr_save_cause),
-	.B(n_1986),
-	.Y(n_2578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g481003 (
-	.A_N(soc_top_u_top_u_core_csr_save_cause),
-	.B(n_1987),
-	.Y(n_2577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481004 (
-	.A(n_2132),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [4]),
-	.Y(n_2576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481005 (
-	.A(n_2132),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [5]),
-	.Y(n_2575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481006 (
-	.A(n_2132),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [7]),
-	.Y(n_2574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481007 (
-	.A(n_2132),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [10]),
-	.Y(n_2573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481008 (
-	.A(n_2132),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [11]),
-	.Y(n_2572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481009 (
-	.A(n_2132),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [12]),
-	.Y(n_2571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481010 (
-	.A(n_2132),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [15]),
-	.Y(n_2570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481011 (
-	.A(n_2134),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [16]),
-	.Y(n_2569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481012 (
-	.A(n_2134),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [17]),
-	.Y(n_2568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481013 (
-	.A(n_2134),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [19]),
-	.Y(n_2567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481014 (
-	.A(n_2134),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [20]),
-	.Y(n_2566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481015 (
-	.A(n_2134),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [21]),
-	.Y(n_2565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481016 (
-	.A(n_2135),
-	.B(soc_top_GPIO_u_reg_data_in_qs[22]),
-	.Y(n_2564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481017 (
-	.A(n_2131),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [23]),
-	.Y(n_2563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481018 (
-	.A(n_2131),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [24]),
-	.Y(n_2562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481019 (
-	.A(n_2131),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [25]),
-	.Y(n_2561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481020 (
-	.A(n_2134),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [26]),
-	.Y(n_2560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481021 (
-	.A(n_2134),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [27]),
-	.Y(n_2559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481022 (
-	.A(n_2131),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [29]),
-	.Y(n_2558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481023 (
-	.A(n_2131),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [30]),
-	.Y(n_2557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481024 (
-	.A(n_2134),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [31]),
-	.Y(n_2556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481025 (
-	.A(n_2134),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [28]),
-	.Y(n_2555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481026 (
-	.A(n_2016),
-	.B(soc_top_u_pwm_pwm_core_DC_1[10]),
-	.Y(n_2554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481027 (
-	.A(n_2019),
-	.B(soc_top_u_pwm_pwm_core_period_2[10]),
-	.Y(n_2553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481028 (
-	.A1(n_15357),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_17029),
-	.C1(n_18225),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]),
-	.Y(n_2552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481029 (
-	.A1(n_15350),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_17028),
-	.C1(n_18225),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]),
-	.Y(n_2551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481030 (
-	.A(n_2132),
-	.B(\soc_top_GPIO_reg2hw[intr_state][q] [1]),
-	.Y(n_2550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481031 (
-	.A(n_1981),
-	.B(n_1208),
-	.Y(n_2549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481032 (
-	.A(n_2040),
-	.B(\soc_top_intr_controller_reg2hw[ie0][33][q] ),
-	.Y(n_2548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481033 (
-	.A1(n_15349),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_17027),
-	.C1(n_18225),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [57]),
-	.Y(n_2547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481034 (
-	.A(n_2018),
-	.B(soc_top_u_pwm_pwm_core_divisor_2[5]),
-	.Y(n_2546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481035 (
-	.A(n_2022),
-	.B(soc_top_u_pwm_pwm_core_ctrl[4]),
-	.Y(n_2545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481036 (
-	.A(n_2018),
-	.B(soc_top_u_pwm_pwm_core_divisor_2[2]),
-	.Y(n_2544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481037 (
-	.A(n_2016),
-	.B(soc_top_u_pwm_pwm_core_DC_1[0]),
-	.Y(n_2543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481038 (
-	.A(n_2018),
-	.B(soc_top_u_pwm_pwm_core_divisor_2[3]),
-	.Y(n_2542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481039 (
-	.A(n_2020),
-	.B(soc_top_u_pwm_pwm_core_divisor[4]),
-	.Y(n_2541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g481040 (
-	.A1(n_1863),
-	.A2(n_568),
-	.B1(soc_top_u_pwm_pwm_core_period_2[15]),
-	.Y(n_2540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481041 (
-	.A(n_2018),
-	.B(soc_top_u_pwm_pwm_core_divisor_2[0]),
-	.Y(n_2539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481042 (
-	.A(n_2020),
-	.B(soc_top_u_pwm_pwm_core_divisor[1]),
-	.Y(n_2538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481043 (
-	.A(n_1422),
-	.B(n_2138),
-	.Y(n_2537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481044 (
-	.A(n_2017),
-	.B(soc_top_u_pwm_pwm_core_DC_2[2]),
-	.Y(n_2536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g481045 (
-	.A1(n_1866),
-	.A2(n_580),
-	.B1(soc_top_u_pwm_pwm_core_period[15]),
-	.Y(n_2535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481046 (
-	.A(n_2015),
-	.B(soc_top_u_pwm_pwm_core_period[3]),
-	.Y(n_2534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481047 (
-	.A(n_2016),
-	.B(soc_top_u_pwm_pwm_core_DC_1[6]),
-	.Y(n_2533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481048 (
-	.A(n_2018),
-	.B(soc_top_u_pwm_pwm_core_divisor_2[6]),
-	.Y(n_2532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481049 (
-	.A(n_2020),
-	.B(soc_top_u_pwm_pwm_core_divisor[7]),
-	.Y(n_2531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481050 (
-	.A(n_2022),
-	.B(soc_top_u_pwm_pwm_core_ctrl[7]),
-	.Y(n_2530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481051 (
-	.A(n_2016),
-	.B(soc_top_u_pwm_pwm_core_DC_1[5]),
-	.Y(n_2529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g481052 (
-	.A(n_2039),
-	.B_N(n_1418),
-	.Y(n_2528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481053 (
-	.A1(n_15348),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_17026),
-	.C1(n_18225),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [56]),
-	.Y(n_2527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481054 (
-	.A1(n_15347),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_17025),
-	.C1(n_18225),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]),
-	.Y(n_2526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481055 (
-	.A1(n_15346),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_17024),
-	.C1(n_18225),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]),
-	.Y(n_2525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481056 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_17023),
-	.C1(n_18224),
-	.C2(n_15345),
-	.Y(n_2524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481057 (
-	.A1(n_15344),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_17022),
-	.C1(n_18225),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]),
-	.Y(n_2523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481058 (
-	.A1(n_15343),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_17021),
-	.C1(n_18225),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]),
-	.Y(n_2522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481059 (
-	.A1(n_15342),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_17020),
-	.C1(n_18225),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]),
-	.Y(n_2521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481060 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_17019),
-	.C1(n_18224),
-	.C2(n_15341),
-	.Y(n_2520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481061 (
-	.A1(n_15340),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_17018),
-	.C1(n_18225),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]),
-	.Y(n_2519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481062 (
-	.A1(n_15339),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_17017),
-	.C1(n_18225),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]),
-	.Y(n_2518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481063 (
-	.A1(n_15338),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_17016),
-	.C1(n_18225),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]),
-	.Y(n_2517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481064 (
-	.A1(n_15337),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_17015),
-	.C1(n_18225),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]),
-	.Y(n_2516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481065 (
-	.A1(n_15365),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_17005),
-	.C1(n_18225),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]),
-	.Y(n_2515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481066 (
-	.A1(n_15363),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_17003),
-	.C1(n_18225),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]),
-	.Y(n_2514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481067 (
-	.A1(n_15361),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_17001),
-	.C1(n_18224),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]),
-	.Y(n_2513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481068 (
-	.A1(n_15352),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_17000),
-	.C1(n_18224),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]),
-	.Y(n_2512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481069 (
-	.A1(n_15358),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_16999),
-	.C1(n_18224),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [29]),
-	.Y(n_2511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481070 (
-	.A1(n_15357),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_16997),
-	.C1(n_18224),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]),
-	.Y(n_2510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g481071 (
-	.A1(soc_top_u_pwm_pwm_core_period_counter2[13]),
-	.A2(n_1292),
-	.B1(n_757),
-	.B2(n_415),
-	.C1(n_1195),
-	.Y(n_2509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481072 (
-	.A1(n_15349),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_16995),
-	.C1(n_18224),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]),
-	.Y(n_2508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481073 (
-	.A1(n_15348),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_16994),
-	.C1(n_18224),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [24]),
-	.Y(n_2507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481074 (
-	.A1(n_15347),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_16993),
-	.C1(n_18224),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]),
-	.Y(n_2506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481075 (
-	.A1(n_15346),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_16992),
-	.C1(n_18224),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]),
-	.Y(n_2505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481076 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_16991),
-	.C1(n_18225),
-	.C2(n_15345),
-	.Y(n_2504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481077 (
-	.A1(n_15344),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_16990),
-	.C1(n_18224),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]),
-	.Y(n_2503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481078 (
-	.A1(n_15343),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_16989),
-	.C1(n_18224),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]),
-	.Y(n_2502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g481079 (
-	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
-	.B(soc_top_reset_manager_n_13),
-	.C(n_250),
-	.Y(n_2501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481080 (
-	.A1(n_15342),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_16988),
-	.C1(n_18224),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]),
-	.Y(n_2500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481081 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_16987),
-	.C1(n_18225),
-	.C2(n_15341),
-	.Y(n_2499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481082 (
-	.A(n_1898),
-	.B(n_2003),
-	.Y(n_2498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481083 (
-	.A1(n_15340),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_16986),
-	.C1(n_18224),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]),
-	.Y(n_2497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481084 (
-	.A1(n_15339),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_16985),
-	.C1(n_18224),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]),
-	.Y(n_2496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481085 (
-	.A1(n_15338),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_16984),
-	.C1(n_18224),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]),
-	.Y(n_2495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481086 (
-	.A1(n_15337),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_16983),
-	.C1(n_18224),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [13]),
-	.Y(n_2494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481087 (
-	.A1(n_15356),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_16982),
-	.C1(n_18224),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [12]),
-	.Y(n_2493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g481088 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [1]),
-	.A2(n_15070),
-	.B1_N(n_2039),
-	.Y(n_2492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481089 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_16981),
-	.C1(n_18225),
-	.C2(n_15355),
-	.Y(n_2491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481090 (
-	.A1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.A2(n_254),
-	.B1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]),
-	.Y(n_2490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481091 (
-	.A1(n_324),
-	.A2(n_254),
-	.B1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3] [11]),
-	.Y(n_2489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481092 (
-	.A1(n_15336),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_16980),
-	.C1(n_18224),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]),
-	.Y(n_2488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g481093 (
-	.A(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2846),
-	.B(n_1342),
-	.C(n_14878),
-	.D(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec),
-	.Y(n_2487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481094 (
-	.A1(n_15335),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_16979),
-	.C1(n_18224),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]),
-	.Y(n_2486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481095 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_16977),
-	.C1(n_18225),
-	.C2(n_15360),
-	.Y(n_2485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481096 (
-	.A1(n_15353),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_16975),
-	.C1(n_18224),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [5]),
-	.Y(n_2484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481097 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_16973),
-	.C1(n_18225),
-	.C2(n_15365),
-	.Y(n_2483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g481098 (
-	.A1(soc_top_main_swith_host_lsu_num_req_outstanding[2]),
-	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[3]),
-	.B1(n_18214),
-	.Y(n_2482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481099 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_16972),
-	.C1(n_18225),
-	.C2(n_15364),
-	.Y(n_2481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481100 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [1]),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_16971),
-	.C1(n_18225),
-	.C2(n_15363),
-	.Y(n_2480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481101 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [0]),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_16970),
-	.C1(n_18225),
-	.C2(n_15362),
-	.Y(n_2479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481103 (
-	.A1(n_15361),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17125),
-	.C1(n_18226),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]),
-	.Y(n_2478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481104 (
-	.A1(n_15352),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17124),
-	.C1(n_18226),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]),
-	.Y(n_2477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481105 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]),
-	.A2(n_18226),
-	.B1(n_244),
-	.B2(n_17120),
-	.C1(n_18223),
-	.C2(n_15350),
-	.Y(n_2476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481106 (
-	.A1(n_15349),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17119),
-	.C1(n_18226),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [57]),
-	.Y(n_2475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481107 (
-	.A1(n_15345),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17115),
-	.C1(n_18226),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]),
-	.Y(n_2474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481108 (
-	.A1(n_15344),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17114),
-	.C1(n_18226),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]),
-	.Y(n_2473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481109 (
-	.A1(n_15343),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17113),
-	.C1(n_18226),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]),
-	.Y(n_2472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481110 (
-	.A1(n_15339),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17109),
-	.C1(n_18226),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]),
-	.Y(n_2471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481111 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_17009),
-	.C1(n_18224),
-	.C2(n_15360),
-	.Y(n_2470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481112 (
-	.A1(n_15356),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17106),
-	.C1(n_18226),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]),
-	.Y(n_2469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481113 (
-	.A1(n_15336),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17104),
-	.C1(n_18226),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]),
-	.Y(n_2468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481114 (
-	.A1(n_15335),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17103),
-	.C1(n_18226),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]),
-	.Y(n_2467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481115 (
-	.A1(n_15334),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17102),
-	.C1(n_18226),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]),
-	.Y(n_2466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481116 (
-	.A1(n_15354),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17100),
-	.C1(n_18226),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]),
-	.Y(n_2465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481117 (
-	.A1(n_15353),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17099),
-	.C1(n_18226),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]),
-	.Y(n_2464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481118 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]),
-	.A2(n_18226),
-	.B1(n_244),
-	.B2(n_17098),
-	.C1(n_18223),
-	.C2(n_15359),
-	.Y(n_2463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481119 (
-	.A1(n_15365),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17097),
-	.C1(n_18226),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]),
-	.Y(n_2462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481120 (
-	.A1(n_15364),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17096),
-	.C1(n_18226),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]),
-	.Y(n_2461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g481121 (
-	.A1(n_1320),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
-	.A3(soc_top_u_top_u_core_instr_rdata_alu_id[31]),
-	.B1(n_1321),
-	.Y(n_2460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481122 (
-	.A1(n_15363),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17095),
-	.C1(n_18226),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]),
-	.Y(n_2459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481123 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17092),
-	.C1(n_18226),
-	.C2(n_15352),
-	.Y(n_2458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g481124 (
-	.A1(n_1710),
-	.A2(n_17130),
-	.B1(n_15070),
-	.Y(n_2457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481125 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17093),
-	.C1(n_18226),
-	.C2(n_15361),
-	.Y(n_2456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 g481126 (
-	.A1(FE_DBTN12_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_mantissa_18),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [18]),
-	.B1(n_860),
-	.C1(n_1336),
-	.D1(n_1473),
-	.Y(n_2455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481127 (
-	.A1(n_1718),
-	.A2(n_1470),
-	.B1(n_738),
-	.B2(n_1213),
-	.Y(n_2454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 g481128 (
-	.A1(n_15125),
-	.A2(n_1417),
-	.A3(soc_top_u_top_u_core_load_store_unit_i_lsu_err_q),
-	.B1(n_1416),
-	.B2(\soc_top_xbar_to_lsu[d_error] ),
-	.X(n_2453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g481129 (
-	.A1(n_1393),
-	.A2(soc_top_u_pwm_pwm_core_period[14]),
-	.B1(n_2083),
-	.Y(n_2452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g481130 (
-	.A1(n_590),
-	.A2(n_16296),
-	.B1(n_1933),
-	.Y(n_2451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481131 (
-	.A1(n_1848),
-	.A2(n_1837),
-	.B1(n_369),
-	.Y(n_2450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g481132 (
-	.A(n_1314),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [26]),
-	.C(\soc_top_u_top_u_core_fp_operands[0] [30]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][0] ),
-	.Y(n_2449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g481133 (
-	.A1(n_1390),
-	.A2(soc_top_u_pwm_pwm_core_period_2[14]),
-	.B1(n_2080),
-	.Y(n_2448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481145 (
-	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B(n_2071),
-	.Y(n_2678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g481146 (
-	.A_N(soc_top_main_swith_host_lsu_num_req_outstanding[4]),
-	.B(n_18214),
-	.Y(n_2677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481148 (
-	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[1]),
-	.B(n_2051),
-	.Y(n_2675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g481149 (
-	.A(n_392),
-	.B(n_2144),
-	.X(n_2674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g481150 (
-	.A(n_1348),
-	.B_N(n_2041),
-	.Y(n_2673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481151 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_17459),
-	.Y(n_2671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g481152 (
-	.A1(n_897),
-	.A2(n_1491),
-	.B1(n_936),
-	.Y(n_2670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g481153 (
-	.A1(n_1839),
-	.A2(n_605),
-	.B1(n_1633),
-	.Y(n_2668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481154 (
-	.A1(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_load_q ),
-	.A2(n_1662),
-	.B1(n_12018),
-	.Y(n_2667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481155 (
-	.A(n_2031),
-	.B(n_1348),
-	.Y(n_2666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481156 (
-	.A(n_2038),
-	.B(n_1348),
-	.Y(n_2665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481157 (
-	.A(n_2141),
-	.B(soc_top_u_top_u_core_lsu_wdata[23]),
-	.Y(n_2664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481158 (
-	.A(n_2140),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][0] ),
-	.Y(n_2663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481159 (
-	.A1(n_658),
-	.A2(n_626),
-	.B1(n_1364),
-	.Y(n_2662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g481160 (
-	.A1(n_1661),
-	.A2(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_load_q ),
-	.B1_N(n_14948),
-	.Y(n_2660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481161 (
-	.A(n_2033),
-	.B(n_369),
-	.Y(n_2659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481162 (
-	.A(n_2137),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[0]),
-	.Y(n_2658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g481163 (
-	.A(n_15145),
-	.B(n_1847),
-	.C(n_491),
-	.Y(n_2657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481164 (
-	.A(n_2033),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(n_2655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481167 (
-	.A(n_2035),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(n_2653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g481168 (
-	.A(n_15246),
-	.B(n_1847),
-	.C(n_15145),
-	.Y(n_2652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481170 (
-	.A(n_324),
-	.B(n_1413),
-	.Y(n_2651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481171 (
-	.A(n_2035),
-	.B(n_369),
-	.Y(n_2650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481172 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_2060),
-	.Y(n_2649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481173 (
-	.A(n_2137),
-	.B(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Y(n_2648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481174 (
-	.A(n_2032),
-	.B(n_893),
-	.Y(n_2647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481175 (
-	.A(n_2137),
-	.B(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Y(n_2645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481177 (
-	.A_N(n_1889),
-	.B(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [3]),
-	.Y(n_2644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481178 (
-	.A_N(n_1870),
-	.B(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [3]),
-	.Y(n_2643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481179 (
-	.A_N(n_1874),
-	.B(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [3]),
-	.Y(n_2642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481180 (
-	.A_N(n_1858),
-	.B(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [3]),
-	.Y(n_2641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481181 (
-	.A_N(n_1868),
-	.B(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [3]),
-	.Y(n_2640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481182 (
-	.A_N(n_1871),
-	.B(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [3]),
-	.Y(n_2639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481183 (
-	.A_N(n_1881),
-	.B(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [3]),
-	.Y(n_2638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481184 (
-	.A_N(n_1865),
-	.B(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [3]),
-	.Y(n_2637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481185 (
-	.A_N(n_1872),
-	.B(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [3]),
-	.Y(n_2636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481186 (
-	.A_N(n_1860),
-	.B(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [3]),
-	.Y(n_2635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481187 (
-	.A_N(n_1875),
-	.B(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [3]),
-	.Y(n_2634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481188 (
-	.A_N(n_1882),
-	.B(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [3]),
-	.Y(n_2633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481189 (
-	.A_N(n_1884),
-	.B(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [3]),
-	.Y(n_2632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481191 (
-	.A_N(n_1867),
-	.B(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [3]),
-	.Y(n_2631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481193 (
-	.A_N(n_1887),
-	.B(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [3]),
-	.Y(n_2630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481194 (
-	.A_N(n_1890),
-	.B(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [3]),
-	.Y(n_2629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481195 (
-	.A_N(n_1883),
-	.B(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [3]),
-	.Y(n_2628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481196 (
-	.A_N(n_1869),
-	.B(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [3]),
-	.Y(n_2627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481197 (
-	.A_N(n_1879),
-	.B(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [3]),
-	.Y(n_2626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481198 (
-	.A_N(n_1864),
-	.B(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [3]),
-	.Y(n_2625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481199 (
-	.A_N(n_1878),
-	.B(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [3]),
-	.Y(n_2624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481200 (
-	.A_N(n_1859),
-	.B(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [3]),
-	.Y(n_2623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481201 (
-	.A_N(n_1877),
-	.B(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [3]),
-	.Y(n_2622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481202 (
-	.A_N(n_1861),
-	.B(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [3]),
-	.Y(n_2621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481203 (
-	.A_N(n_1862),
-	.B(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [3]),
-	.Y(n_2620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481204 (
-	.A_N(n_1886),
-	.B(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [3]),
-	.Y(n_2619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481205 (
-	.A_N(n_1892),
-	.B(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [3]),
-	.Y(n_2618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481206 (
-	.A_N(n_1888),
-	.B(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [3]),
-	.Y(n_2617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481207 (
-	.A_N(n_1885),
-	.B(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [3]),
-	.Y(n_2616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481208 (
-	.A_N(n_1880),
-	.B(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [3]),
-	.Y(n_2615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481209 (
-	.A_N(n_1857),
-	.B(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [1]),
-	.C(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [3]),
-	.Y(n_2614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481210 (
-	.A(n_491),
-	.B(n_2147),
-	.Y(n_2613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481212 (
-	.A(n_1347),
-	.B(n_2053),
-	.Y(n_2610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g481213 (
-	.A(n_2042),
-	.B(n_646),
-	.X(n_2609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g481214 (
-	.A(n_2042),
-	.B(n_884),
-	.X(n_2608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481216 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_2061),
-	.Y(n_2606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481218 (
-	.A(n_2051),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(n_2604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481219 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_2051),
-	.Y(n_2602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g481220 (
-	.A(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B(n_2067),
-	.Y(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481221 (
-	.A(n_2068),
-	.B(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Y(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481222 (
-	.A(n_2322),
-	.Y(n_2447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481223 (
-	.A(n_2320),
-	.Y(n_2446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481224 (
-	.A(n_2319),
-	.Y(n_2445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481225 (
-	.A(n_2318),
-	.Y(n_2444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481226 (
-	.A(n_2317),
-	.Y(n_2443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481227 (
-	.A(n_2315),
-	.Y(n_2442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481228 (
-	.A(n_2314),
-	.Y(n_2441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481229 (
-	.A(n_2313),
-	.Y(n_2440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481230 (
-	.A(n_2312),
-	.Y(n_2439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481231 (
-	.A(n_2309),
-	.Y(n_2438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481232 (
-	.A(n_2307),
-	.Y(n_2437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481233 (
-	.A(n_2306),
-	.Y(n_2436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481234 (
-	.A(n_2305),
-	.Y(n_2435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481235 (
-	.A(n_2304),
-	.Y(n_2434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481236 (
-	.A(n_2302),
-	.Y(n_2433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481237 (
-	.A(n_2300),
-	.Y(n_2432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481238 (
-	.A(n_2299),
-	.Y(n_2431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481239 (
-	.A(n_2287),
-	.Y(n_2430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481240 (
-	.A(n_2285),
-	.Y(n_2429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481241 (
-	.A(n_2283),
-	.Y(n_2428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481242 (
-	.A(n_2281),
-	.Y(n_2427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481243 (
-	.A(n_2280),
-	.Y(n_2426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481244 (
-	.A(n_2279),
-	.Y(n_2425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481245 (
-	.A(n_2274),
-	.Y(n_2424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481246 (
-	.A(n_2271),
-	.Y(n_2423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481247 (
-	.A(n_2264),
-	.Y(n_2422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481248 (
-	.A(n_2263),
-	.Y(n_2421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481249 (
-	.A(n_2262),
-	.Y(n_2420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481250 (
-	.A(n_2258),
-	.Y(n_2419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481251 (
-	.A(n_2253),
-	.Y(n_2418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481252 (
-	.A(n_2251),
-	.Y(n_2417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481253 (
-	.A(n_2250),
-	.Y(n_2416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481254 (
-	.A(n_2249),
-	.Y(n_2415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481255 (
-	.A(n_2248),
-	.Y(n_2414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481256 (
-	.A(n_2247),
-	.Y(n_2413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481257 (
-	.A(n_2246),
-	.Y(n_2412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481258 (
-	.A(n_2245),
-	.Y(n_2411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481259 (
-	.A(n_2244),
-	.Y(n_2410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481260 (
-	.A(n_2243),
-	.Y(n_2409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481261 (
-	.A(n_2242),
-	.Y(n_2408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481262 (
-	.A(n_2241),
-	.Y(n_2407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481263 (
-	.A(n_2240),
-	.Y(n_2406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481264 (
-	.A(n_2239),
-	.Y(n_2405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481265 (
-	.A(n_2238),
-	.Y(n_2404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481266 (
-	.A(n_2237),
-	.Y(n_2403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481267 (
-	.A(n_2236),
-	.Y(n_2402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481268 (
-	.A(n_2235),
-	.Y(n_2401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481269 (
-	.A(n_2234),
-	.Y(n_2400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481270 (
-	.A(n_2233),
-	.Y(n_2399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g481271 (
-	.A(n_2348),
-	.Y(n_2347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g481272 (
-	.A(n_2346),
-	.Y(n_2345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g481273 (
-	.A(n_2344),
-	.Y(n_2343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481274 (
-	.A(n_2338),
-	.Y(n_2337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481275 (
-	.A(n_2336),
-	.Y(n_2335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g481276 (
-	.A(n_2334),
-	.Y(n_2333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g481277 (
-	.A(n_2332),
-	.Y(n_2331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481278 (
-	.A(n_2329),
-	.Y(n_2328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481279 (
-	.A1(n_15337),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17107),
-	.C1(n_18226),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]),
-	.Y(n_2322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g481280 (
-	.A(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [2]),
-	.B(n_1149),
-	.C_N(n_692),
-	.X(n_2321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481281 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17079),
-	.C1(n_18226),
-	.C2(n_15341),
-	.Y(n_2320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481282 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]),
-	.A2(n_18226),
-	.B1(n_244),
-	.B2(n_17101),
-	.C1(n_18223),
-	.C2(n_15360),
-	.Y(n_2319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481283 (
-	.A1(n_15364),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_17004),
-	.C1(n_18225),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]),
-	.Y(n_2318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481284 (
-	.A1(n_15336),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_17012),
-	.C1(n_18225),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]),
-	.Y(n_2317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g481285 (
-	.A(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [2]),
-	.B(n_1131),
-	.C_N(n_689),
-	.X(n_2316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481286 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]),
-	.A2(n_18226),
-	.B1(n_244),
-	.B2(n_17111),
-	.C1(n_18223),
-	.C2(n_15341),
-	.Y(n_2315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481287 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17085),
-	.C1(n_18226),
-	.C2(n_15347),
-	.Y(n_2314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481288 (
-	.A1(n_15358),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17123),
-	.C1(n_18226),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]),
-	.Y(n_2313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481289 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_17013),
-	.C1(n_18224),
-	.C2(n_15355),
-	.Y(n_2312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g481290 (
-	.A(n_1619),
-	.B(n_1628),
-	.C(\soc_top_intr_controller_reg2hw[prio12][q] [0]),
-	.Y(n_2311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g481291 (
-	.A(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [2]),
-	.B(n_1151),
-	.C_N(n_678),
-	.X(n_2310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481292 (
-	.A1(n_15353),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_17007),
-	.C1(n_18225),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]),
-	.Y(n_2309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g481293 (
-	.A(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [2]),
-	.B(n_1191),
-	.C_N(n_687),
-	.X(n_2308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481294 (
-	.A1(n_15340),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17110),
-	.C1(n_18226),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]),
-	.Y(n_2307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481295 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_17002),
-	.C1(n_18224),
-	.C2(n_15362),
-	.Y(n_2306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481296 (
-	.A1(n_15338),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17108),
-	.C1(n_18226),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]),
-	.Y(n_2305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481297 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [29]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17091),
-	.C1(n_18226),
-	.C2(n_15358),
-	.Y(n_2304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g481298 (
-	.A(n_1619),
-	.B(n_1829),
-	.C(\soc_top_intr_controller_reg2hw[prio20][q] [0]),
-	.X(n_2303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481299 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17077),
-	.C1(n_18226),
-	.C2(n_15339),
-	.Y(n_2302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g481300 (
-	.A(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [2]),
-	.B(n_1157),
-	.C_N(n_724),
-	.X(n_2301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481301 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17069),
-	.C1(n_18226),
-	.C2(n_15360),
-	.Y(n_2300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481302 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [13]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17075),
-	.C1(n_18226),
-	.C2(n_15337),
-	.Y(n_2299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g481303 (
-	.A(n_1619),
-	.B(n_1837),
-	.C(\soc_top_intr_controller_reg2hw[prio24][q] [0]),
-	.Y(n_2298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g481304 (
-	.A(n_1619),
-	.B(n_1829),
-	.C(\soc_top_intr_controller_reg2hw[prio20][q] [1]),
-	.Y(n_2297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g481305 (
-	.A(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [2]),
-	.B(n_1175),
-	.C_N(n_700),
-	.X(n_2296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g481306 (
-	.A(n_1619),
-	.B(n_1628),
-	.C(\soc_top_intr_controller_reg2hw[prio12][q] [1]),
-	.Y(n_2295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g481307 (
-	.A(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [2]),
-	.B(n_1135),
-	.C_N(n_701),
-	.X(n_2294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g481308 (
-	.A(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [2]),
-	.B(n_1141),
-	.C_N(n_696),
-	.X(n_2293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g481309 (
-	.A(n_956),
-	.B(n_1217),
-	.C(n_937),
-	.D(n_2082),
-	.X(n_2292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g481310 (
-	.A(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [2]),
-	.B(n_1145),
-	.C_N(n_691),
-	.X(n_2291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g481311 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[2]),
-	.B(n_626),
-	.C_N(n_658),
-	.Y(n_2290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g481312 (
-	.A(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [2]),
-	.B(n_1183),
-	.C_N(n_734),
-	.X(n_2289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g481313 (
-	.A(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [2]),
-	.B(n_1179),
-	.C_N(n_729),
-	.X(n_2288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481314 (
-	.A1(n_15342),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17112),
-	.C1(n_18226),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]),
-	.Y(n_2287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g481315 (
-	.A(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [2]),
-	.B(n_1181),
-	.C_N(n_733),
-	.X(n_2286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481316 (
-	.A1(n_15354),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_16976),
-	.C1(n_18224),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]),
-	.Y(n_2285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g481317 (
-	.A(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [2]),
-	.B(n_1165),
-	.C_N(n_695),
-	.X(n_2284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481318 (
-	.A1(n_15350),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_16996),
-	.C1(n_18224),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]),
-	.Y(n_2283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g481319 (
-	.A(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [2]),
-	.B(n_1137),
-	.C_N(n_702),
-	.X(n_2282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481320 (
-	.A1(n_15359),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_17006),
-	.C1(n_18225),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]),
-	.Y(n_2281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481321 (
-	.A1(n_15334),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_17010),
-	.C1(n_18225),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]),
-	.Y(n_2280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481322 (
-	.A1(n_15335),
-	.A2(n_18224),
-	.B1(n_245),
-	.B2(n_17011),
-	.C1(n_18225),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]),
-	.Y(n_2279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g481323 (
-	.A(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [2]),
-	.B(n_1187),
-	.C_N(n_693),
-	.X(n_2278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g481324 (
-	.A(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [2]),
-	.B(n_1169),
-	.C_N(n_682),
-	.X(n_2277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g481325 (
-	.A(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [2]),
-	.B(n_1171),
-	.C_N(n_690),
-	.X(n_2276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g481326 (
-	.A(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [2]),
-	.B(n_1177),
-	.C_N(n_681),
-	.X(n_2275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481327 (
-	.A1(n_15351),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_16998),
-	.C1(n_18224),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [28]),
-	.Y(n_2274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g481328 (
-	.A(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [2]),
-	.B(n_1155),
-	.C_N(n_683),
-	.X(n_2273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g481329 (
-	.A(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [2]),
-	.B(n_1133),
-	.C_N(n_732),
-	.X(n_2272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481330 (
-	.A1(n_15351),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17122),
-	.C1(n_18226),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [60]),
-	.Y(n_2271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g481331 (
-	.A(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [2]),
-	.B(n_1159),
-	.C_N(n_730),
-	.X(n_2270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g481332 (
-	.A(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [2]),
-	.B(n_1139),
-	.C_N(n_728),
-	.X(n_2269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g481333 (
-	.A(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [2]),
-	.B(n_1147),
-	.C_N(n_684),
-	.X(n_2268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g481334 (
-	.A(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [2]),
-	.B(n_1167),
-	.C_N(n_731),
-	.X(n_2267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g481335 (
-	.A(n_1625),
-	.B(n_1827),
-	.C(\soc_top_intr_controller_reg2hw[prio6][q] [0]),
-	.X(n_2266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g481336 (
-	.A(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [2]),
-	.B(n_1193),
-	.C_N(n_694),
-	.X(n_2265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481337 (
-	.A1(n_15362),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17094),
-	.C1(n_18226),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]),
-	.Y(n_2264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481338 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17083),
-	.C1(n_18226),
-	.C2(n_15345),
-	.Y(n_2263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481339 (
-	.A1(n_15346),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17116),
-	.C1(n_18226),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]),
-	.Y(n_2262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g481340 (
-	.A(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [2]),
-	.B(n_1163),
-	.C_N(n_735),
-	.X(n_2261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3b_1 g481341 (
-	.A_N(n_15126),
-	.B(n_2039),
-	.C(n_1415),
-	.X(n_2260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g481342 (
-	.A(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [2]),
-	.B(n_1173),
-	.C_N(n_688),
-	.X(n_2259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481343 (
-	.A1(n_15334),
-	.A2(n_18225),
-	.B1(n_245),
-	.B2(n_16978),
-	.C1(n_18224),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]),
-	.Y(n_2258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g481344 (
-	.A(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [2]),
-	.B(n_1185),
-	.C_N(n_685),
-	.X(n_2257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g481345 (
-	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.B(n_1727),
-	.X(n_2256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g481346 (
-	.A(n_1619),
-	.B(n_1827),
-	.C(\soc_top_intr_controller_reg2hw[prio4][q] [1]),
-	.Y(n_2255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g481347 (
-	.A(n_1621),
-	.B(n_1829),
-	.C(\soc_top_intr_controller_reg2hw[prio21][q] [0]),
-	.Y(n_2254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481348 (
-	.A1(n_15357),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17121),
-	.C1(n_18226),
-	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]),
-	.Y(n_2253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g481349 (
-	.A(n_1625),
-	.B(n_1624),
-	.C(\soc_top_intr_controller_reg2hw[prio10][q] [0]),
-	.X(n_2252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481350 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [0]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17062),
-	.C1(n_18226),
-	.C2(n_15362),
-	.Y(n_2251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481351 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [1]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17063),
-	.C1(n_18226),
-	.C2(n_15363),
-	.Y(n_2250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481352 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17064),
-	.C1(n_18226),
-	.C2(n_15364),
-	.Y(n_2249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481353 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17065),
-	.C1(n_18226),
-	.C2(n_15365),
-	.Y(n_2248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481354 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [5]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17067),
-	.C1(n_18226),
-	.C2(n_15353),
-	.Y(n_2247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481355 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17068),
-	.C1(n_18226),
-	.C2(n_15354),
-	.Y(n_2246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481356 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17070),
-	.C1(n_18226),
-	.C2(n_15334),
-	.Y(n_2245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481357 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17071),
-	.C1(n_18226),
-	.C2(n_15335),
-	.Y(n_2244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481358 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17072),
-	.C1(n_18226),
-	.C2(n_15336),
-	.Y(n_2243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481359 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17073),
-	.C1(n_18226),
-	.C2(n_15355),
-	.Y(n_2242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481360 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [12]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17074),
-	.C1(n_18226),
-	.C2(n_15356),
-	.Y(n_2241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481361 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17078),
-	.C1(n_18226),
-	.C2(n_15340),
-	.Y(n_2240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481362 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17081),
-	.C1(n_18226),
-	.C2(n_15343),
-	.Y(n_2239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481363 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17082),
-	.C1(n_18226),
-	.C2(n_15344),
-	.Y(n_2238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481364 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17084),
-	.C1(n_18226),
-	.C2(n_15346),
-	.Y(n_2237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481365 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17087),
-	.C1(n_18226),
-	.C2(n_15349),
-	.Y(n_2236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481366 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17088),
-	.C1(n_18226),
-	.C2(n_15350),
-	.Y(n_2235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481367 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17089),
-	.C1(n_18226),
-	.C2(n_15357),
-	.Y(n_2234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481368 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [28]),
-	.A2(n_18223),
-	.B1(n_244),
-	.B2(n_17090),
-	.C1(n_18226),
-	.C2(n_15351),
-	.Y(n_2233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g481369 (
-	.A1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.A2(n_913),
-	.B1(n_2047),
-	.X(n_2232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g481370 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.A2(n_17460),
-	.B1(n_2046),
-	.X(n_2231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g481371 (
-	.A(soc_top_u_pwm_pwm_core_counter_p1[2]),
-	.B(n_686),
-	.Y(n_2230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g481372 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
-	.B(n_720),
-	.X(n_2229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g481373 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [3]),
-	.C(n_875),
-	.D(n_1285),
-	.Y(n_2228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g481374 (
-	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [2]),
-	.B(n_699),
-	.X(n_2227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g481375 (
-	.A(soc_top_u_pwm_pwm_core_period_counter2[2]),
-	.B(n_1898),
-	.X(n_2226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g481376 (
-	.A1(n_714),
-	.A2(n_1435),
-	.B1(soc_top_u_pwm_pwm_core_divisor[0]),
-	.B2(n_1923),
-	.Y(n_2225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481377 (
-	.A1(n_1625),
-	.A2(\soc_top_intr_controller_reg2hw[prio10][q] [1]),
-	.B1(n_1621),
-	.B2(\soc_top_intr_controller_reg2hw[prio9][q] [1]),
-	.Y(n_2224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481378 (
-	.A1(n_1908),
-	.A2(n_1857),
-	.B1(n_1731),
-	.Y(n_2223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g481379 (
-	.A1(n_906),
-	.A2(n_1427),
-	.B1(soc_top_u_pwm_pwm_core_divisor_2[15]),
-	.B2(n_318),
-	.Y(n_2222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481380 (
-	.A1(n_1895),
-	.A2(n_1874),
-	.B1(n_1775),
-	.Y(n_2221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481381 (
-	.A1(n_1912),
-	.A2(n_1859),
-	.B1(n_1786),
-	.Y(n_2220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g481382 (
-	.A1_N(n_680),
-	.A2_N(n_1444),
-	.B1(n_680),
-	.B2(n_1444),
-	.Y(n_2219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481383 (
-	.A1(n_1619),
-	.A2(\soc_top_intr_controller_reg2hw[prio4][q] [0]),
-	.B1(n_1621),
-	.B2(\soc_top_intr_controller_reg2hw[prio5][q] [0]),
-	.Y(n_2218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481384 (
-	.A1(n_1919),
-	.A2(n_1867),
-	.B1(n_1770),
-	.Y(n_2217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481385 (
-	.A1(n_246),
-	.A2(\soc_top_intr_controller_reg2hw[prio3][q] [0]),
-	.B1(n_1842),
-	.B2(\soc_top_intr_controller_reg2hw[prio2][q] [0]),
-	.Y(n_2216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g481386 (
-	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
-	.A2(n_1471),
-	.B1(n_637),
-	.B2(n_18155),
-	.Y(n_2215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g481387 (
-	.A(n_929),
-	.B(n_958),
-	.X(n_2214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g481388 (
-	.A(n_725),
-	.B(n_1225),
-	.X(n_2213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g481389 (
-	.A1(n_679),
-	.A2(n_1425),
-	.B1(n_917),
-	.B2(n_1426),
-	.Y(n_2212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g481390 (
-	.A1(n_703),
-	.A2(n_1219),
-	.B1(n_907),
-	.B2(n_1440),
-	.Y(n_2211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481391 (
-	.A1(n_1915),
-	.A2(n_1858),
-	.B1(n_1788),
-	.Y(n_2210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g481392 (
-	.A1(n_905),
-	.A2(n_1450),
-	.B1(soc_top_u_pwm_pwm_core_divisor[15]),
-	.B2(n_312),
-	.Y(n_2209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g481393 (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [1]),
-	.A2_N(n_1658),
-	.B1(n_15072),
-	.B2(n_897),
-	.Y(n_2208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g481394 (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [0]),
-	.A2_N(n_1658),
-	.B1(n_920),
-	.B2(n_1588),
-	.Y(n_2207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481395 (
-	.A1(n_1920),
-	.A2(n_1886),
-	.B1(n_1772),
-	.Y(n_2206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481396 (
-	.A1(n_1899),
-	.A2(n_1871),
-	.B1(n_1773),
-	.Y(n_2205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481397 (
-	.A1(n_1922),
-	.A2(n_1888),
-	.B1(n_1771),
-	.Y(n_2204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481398 (
-	.A1(n_1918),
-	.A2(n_1860),
-	.B1(n_1769),
-	.Y(n_2203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481399 (
-	.A1(n_1625),
-	.A2(\soc_top_intr_controller_reg2hw[prio14][q] [1]),
-	.B1(n_1621),
-	.B2(\soc_top_intr_controller_reg2hw[prio13][q] [1]),
-	.Y(n_2202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g481400 (
-	.A1_N(n_719),
-	.A2_N(n_1424),
-	.B1(n_679),
-	.B2(n_1425),
-	.Y(n_2201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481401 (
-	.A1(n_1905),
-	.A2(n_1862),
-	.B1(n_1733),
-	.Y(n_2200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481402 (
-	.A1(n_1907),
-	.A2(n_1861),
-	.B1(n_1759),
-	.Y(n_2199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g481403 (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[DZ] ),
-	.A2_N(n_1615),
-	.B1(n_15034),
-	.B2(n_1615),
-	.Y(n_2198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481404 (
-	.A1(n_1902),
-	.A2(n_1877),
-	.B1(n_1785),
-	.Y(n_2197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481405 (
-	.A1(n_1618),
-	.A2(soc_top_u_uart_u_uart_core_control[15]),
-	.B1(n_1353),
-	.B2(\soc_top_uart_to_xbar[d_data] [15]),
-	.X(n_2196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481406 (
-	.A1(n_1903),
-	.A2(n_1878),
-	.B1(n_1748),
-	.Y(n_2195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g481407 (
-	.A0(n_16688),
-	.A1(n_1533),
-	.S(n_16689),
-	.X(n_2194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g481408 (
-	.A1(soc_top_u_pwm_pwm_core_period_counter1[4]),
-	.A2(n_1894),
-	.B1(soc_top_u_pwm_pwm_core_period_counter1[3]),
-	.B2(n_397),
-	.Y(n_2193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481409 (
-	.A1(n_1896),
-	.A2(n_1879),
-	.B1(n_1762),
-	.Y(n_2192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481410 (
-	.A1(n_1699),
-	.A2(n_1004),
-	.B1(n_934),
-	.B2(soc_top_u_pwm_pwm_core_period_counter1[11]),
-	.Y(n_2191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481411 (
-	.A1(n_1468),
-	.A2(n_456),
-	.B1(n_15187),
-	.B2(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ),
-	.Y(n_2190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481412 (
-	.A1(n_1928),
-	.A2(n_1880),
-	.B1(n_1753),
-	.Y(n_2189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481413 (
-	.A1(n_1927),
-	.A2(n_1890),
-	.B1(n_1747),
-	.Y(n_2188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481414 (
-	.A1(n_1911),
-	.A2(n_1881),
-	.B1(n_1738),
-	.Y(n_2187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481415 (
-	.A1(n_1921),
-	.A2(n_1885),
-	.B1(n_1730),
-	.Y(n_2186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481416 (
-	.A1(n_1925),
-	.A2(n_1875),
-	.B1(n_1776),
-	.Y(n_2185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481417 (
-	.A1(n_1625),
-	.A2(\soc_top_intr_controller_reg2hw[prio18][q] [0]),
-	.B1(n_1621),
-	.B2(\soc_top_intr_controller_reg2hw[prio17][q] [0]),
-	.X(n_2184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481418 (
-	.A1(n_1924),
-	.A2(n_1872),
-	.B1(n_1732),
-	.Y(n_2183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481419 (
-	.A1(n_1910),
-	.A2(n_1882),
-	.B1(n_1736),
-	.Y(n_2182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481420 (
-	.A1(n_1914),
-	.A2(n_1884),
-	.B1(n_1739),
-	.Y(n_2181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481421 (
-	.A1(n_1625),
-	.A2(\soc_top_intr_controller_reg2hw[prio6][q] [1]),
-	.B1(n_1621),
-	.B2(\soc_top_intr_controller_reg2hw[prio5][q] [1]),
-	.Y(n_2180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481422 (
-	.A1(n_1625),
-	.A2(\soc_top_intr_controller_reg2hw[prio18][q] [1]),
-	.B1(n_1621),
-	.B2(\soc_top_intr_controller_reg2hw[prio17][q] [1]),
-	.Y(n_2179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481423 (
-	.A1(n_1913),
-	.A2(n_1883),
-	.B1(n_1735),
-	.Y(n_2178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481424 (
-	.A1(n_1909),
-	.A2(n_1870),
-	.B1(n_1760),
-	.Y(n_2177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481425 (
-	.A1(n_16965),
-	.A2(soc_top_u_top_u_core_lsu_wdata[31]),
-	.B1(n_2094),
-	.Y(n_2176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481426 (
-	.A1(n_1618),
-	.A2(soc_top_u_uart_u_uart_core_control[8]),
-	.B1(n_1353),
-	.B2(\soc_top_uart_to_xbar[d_data] [8]),
-	.X(n_2175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481427 (
-	.A1(n_1618),
-	.A2(soc_top_u_uart_u_uart_core_control[12]),
-	.B1(n_1353),
-	.B2(\soc_top_uart_to_xbar[d_data] [12]),
-	.X(n_2174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481428 (
-	.A1(n_1618),
-	.A2(soc_top_u_uart_u_uart_core_control[14]),
-	.B1(n_1353),
-	.B2(\soc_top_uart_to_xbar[d_data] [14]),
-	.X(n_2173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g481429 (
-	.A1_N(n_704),
-	.A2_N(n_1445),
-	.B1(n_711),
-	.B2(n_1436),
-	.Y(n_2172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481430 (
-	.A1(n_1897),
-	.A2(n_1869),
-	.B1(n_1795),
-	.Y(n_2171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481431 (
-	.A1(n_1901),
-	.A2(n_1864),
-	.B1(n_1740),
-	.Y(n_2170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481432 (
-	.A1(n_1904),
-	.A2(n_1865),
-	.B1(n_1749),
-	.Y(n_2169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g481433 (
-	.A1_N(n_928),
-	.A2_N(n_1431),
-	.B1(n_714),
-	.B2(n_1435),
-	.Y(n_2168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481434 (
-	.A1(n_1820),
-	.A2(soc_top_GPIO_u_reg_ctrl_en_input_filter_qs[18]),
-	.B1(n_1821),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [18]),
-	.Y(n_2167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481435 (
-	.A1(n_1819),
-	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [22]),
-	.B1(n_1821),
-	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [22]),
-	.Y(n_2166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g481436 (
-	.A1_N(n_917),
-	.A2_N(n_1426),
-	.B1(n_915),
-	.B2(n_1447),
-	.Y(n_2165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481437 (
-	.A1(n_1618),
-	.A2(soc_top_u_uart_u_uart_core_control[10]),
-	.B1(n_1353),
-	.B2(\soc_top_uart_to_xbar[d_data] [10]),
-	.X(n_2164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481438 (
-	.A1(n_1618),
-	.A2(soc_top_u_uart_u_uart_core_control[9]),
-	.B1(n_1353),
-	.B2(\soc_top_uart_to_xbar[d_data] [9]),
-	.X(n_2163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g481439 (
-	.A1_N(n_906),
-	.A2_N(n_1427),
-	.B1(soc_top_u_pwm_pwm_core_divisor_2[0]),
-	.B2(n_1926),
-	.Y(n_2162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481440 (
-	.A1(n_1618),
-	.A2(soc_top_u_uart_u_uart_core_control[11]),
-	.B1(n_1353),
-	.B2(\soc_top_uart_to_xbar[d_data] [11]),
-	.X(n_2161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481441 (
-	.A1(n_1618),
-	.A2(soc_top_u_uart_u_uart_core_control[13]),
-	.B1(n_1353),
-	.B2(\soc_top_uart_to_xbar[d_data] [13]),
-	.X(n_2160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481442 (
-	.A1(n_1618),
-	.A2(soc_top_u_uart_u_uart_core_control[17]),
-	.B1(n_1353),
-	.B2(\soc_top_uart_to_xbar[d_data] [17]),
-	.X(n_2159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g481443 (
-	.A1_N(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.A2_N(n_930),
-	.B1(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B2(n_930),
-	.Y(n_2158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g481444 (
-	.A1_N(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.A2_N(n_910),
-	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B2(n_910),
-	.Y(n_2157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481445 (
-	.A1(n_1618),
-	.A2(soc_top_u_uart_u_uart_core_control[18]),
-	.B1(n_1353),
-	.B2(\soc_top_uart_to_xbar[d_data] [18]),
-	.X(n_2156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481446 (
-	.A1(n_1618),
-	.A2(soc_top_u_uart_u_uart_core_control[16]),
-	.B1(n_1353),
-	.B2(\soc_top_uart_to_xbar[d_data] [16]),
-	.X(n_2155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481447 (
-	.A1(n_1916),
-	.A2(n_1887),
-	.B1(n_1741),
-	.Y(n_2154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481448 (
-	.A1(n_1900),
-	.A2(n_1892),
-	.B1(n_1790),
-	.Y(n_2153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481449 (
-	.A1(n_1917),
-	.A2(n_1889),
-	.B1(n_1742),
-	.Y(n_2152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481450 (
-	.A1(n_1625),
-	.A2(\soc_top_intr_controller_reg2hw[prio14][q] [0]),
-	.B1(n_1621),
-	.B2(\soc_top_intr_controller_reg2hw[prio13][q] [0]),
-	.Y(n_2151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481451 (
-	.A1(n_1906),
-	.A2(n_1868),
-	.B1(n_1751),
-	.Y(n_2150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481452 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [24]),
-	.B1(n_1617),
-	.B2(\soc_top_lsu_to_xbar[a_address] [24]),
-	.Y(n_2398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481453 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [25]),
-	.B1(n_1617),
-	.B2(\soc_top_lsu_to_xbar[a_address] [25]),
-	.Y(n_2397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481454 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [26]),
-	.B1(n_1617),
-	.B2(\soc_top_lsu_to_xbar[a_address] [26]),
-	.Y(n_2396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481455 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [28]),
-	.B1(n_1617),
-	.B2(n_17561),
-	.Y(n_2395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481456 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [2]),
-	.B1(n_1617),
-	.B2(\soc_top_xbar_to_timer[a_address] [2]),
-	.Y(n_2394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481457 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [0]),
-	.B1(n_1617),
-	.B2(soc_top_u_top_u_core_alu_adder_result_ex[0]),
-	.Y(n_2393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481458 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [1]),
-	.B1(n_1617),
-	.B2(soc_top_u_top_u_core_alu_adder_result_ex[1]),
-	.Y(n_2392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481459 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [3]),
-	.B1(n_1617),
-	.B2(\soc_top_xbar_to_timer[a_address] [3]),
-	.Y(n_2391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481460 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [4]),
-	.B1(n_1617),
-	.B2(\soc_top_xbar_to_timer[a_address] [4]),
-	.Y(n_2390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481461 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [5]),
-	.B1(n_1617),
-	.B2(\soc_top_xbar_to_timer[a_address] [5]),
-	.Y(n_2389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481462 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [6]),
-	.B1(n_1617),
-	.B2(\soc_top_xbar_to_timer[a_address] [6]),
-	.Y(n_2388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481463 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [7]),
-	.B1(n_1617),
-	.B2(\soc_top_xbar_to_timer[a_address] [7]),
-	.Y(n_2387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481464 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [8]),
-	.B1(n_1617),
-	.B2(\soc_top_xbar_to_timer[a_address] [8]),
-	.Y(n_2386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481465 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [9]),
-	.B1(n_1617),
-	.B2(\soc_top_lsu_to_xbar[a_address] [9]),
-	.Y(n_2385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481466 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [10]),
-	.B1(n_1617),
-	.B2(\soc_top_lsu_to_xbar[a_address] [10]),
-	.Y(n_2384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481467 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [31]),
-	.B1(n_1617),
-	.B2(\soc_top_lsu_to_xbar[a_address] [31]),
-	.Y(n_2383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481468 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [11]),
-	.B1(n_1617),
-	.B2(\soc_top_lsu_to_xbar[a_address] [11]),
-	.Y(n_2382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481469 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [12]),
-	.B1(n_1617),
-	.B2(\soc_top_lsu_to_xbar[a_address] [12]),
-	.Y(n_2381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481470 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [13]),
-	.B1(n_1617),
-	.B2(\soc_top_lsu_to_xbar[a_address] [13]),
-	.Y(n_2380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481471 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [14]),
-	.B1(n_1617),
-	.B2(soc_top_u_top_u_core_alu_adder_result_ex[14]),
-	.Y(n_2379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481472 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [15]),
-	.B1(n_1617),
-	.B2(soc_top_u_top_u_core_alu_adder_result_ex[15]),
-	.Y(n_2378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481473 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [16]),
-	.B1(n_1617),
-	.B2(\soc_top_lsu_to_xbar[a_address] [16]),
-	.Y(n_2377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481474 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [17]),
-	.B1(n_1617),
-	.B2(\soc_top_lsu_to_xbar[a_address] [17]),
-	.Y(n_2376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481475 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [18]),
-	.B1(n_1617),
-	.B2(\soc_top_lsu_to_xbar[a_address] [18]),
-	.Y(n_2375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481476 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [19]),
-	.B1(n_1617),
-	.B2(\soc_top_lsu_to_xbar[a_address] [19]),
-	.Y(n_2374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481477 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [20]),
-	.B1(n_1617),
-	.B2(\soc_top_lsu_to_xbar[a_address] [20]),
-	.Y(n_2373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481478 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [22]),
-	.B1(n_1617),
-	.B2(\soc_top_lsu_to_xbar[a_address] [22]),
-	.Y(n_2372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481479 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [23]),
-	.B1(n_1617),
-	.B2(\soc_top_lsu_to_xbar[a_address] [23]),
-	.Y(n_2371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481480 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [27]),
-	.B1(n_1617),
-	.B2(\soc_top_lsu_to_xbar[a_address] [27]),
-	.Y(n_2370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481481 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [29]),
-	.B1(n_1617),
-	.B2(\soc_top_lsu_to_xbar[a_address] [29]),
-	.Y(n_2369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481482 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [21]),
-	.B1(n_1617),
-	.B2(\soc_top_lsu_to_xbar[a_address] [21]),
-	.Y(n_2368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481483 (
-	.A1(n_1616),
-	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [30]),
-	.B1(n_1617),
-	.B2(\soc_top_lsu_to_xbar[a_address] [30]),
-	.Y(n_2367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481484 (
-	.A1(n_1835),
-	.A2(soc_top_u_iccm_dout_1[30]),
-	.B1(n_1832),
-	.B2(soc_top_u_iccm_dout_2[30]),
-	.X(n_2366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481485 (
-	.A1(n_1833),
-	.A2(soc_top_u_iccm_dout_1[14]),
-	.B1(n_1834),
-	.B2(soc_top_u_iccm_dout_2[14]),
-	.X(n_2365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481486 (
-	.A1(n_1833),
-	.A2(soc_top_u_iccm_dout_1[13]),
-	.B1(n_1834),
-	.B2(soc_top_u_iccm_dout_2[13]),
-	.X(n_2364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481487 (
-	.A1(n_1833),
-	.A2(soc_top_u_iccm_dout_1[12]),
-	.B1(n_1834),
-	.B2(soc_top_u_iccm_dout_2[12]),
-	.X(n_2363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481488 (
-	.A1(n_1833),
-	.A2(soc_top_u_iccm_dout_1[11]),
-	.B1(n_1834),
-	.B2(soc_top_u_iccm_dout_2[11]),
-	.X(n_2362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481489 (
-	.A1(n_1833),
-	.A2(soc_top_u_iccm_dout_1[10]),
-	.B1(n_1834),
-	.B2(soc_top_u_iccm_dout_2[10]),
-	.X(n_2361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481490 (
-	.A1(n_1833),
-	.A2(soc_top_u_iccm_dout_1[15]),
-	.B1(n_1834),
-	.B2(soc_top_u_iccm_dout_2[15]),
-	.X(n_2360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481491 (
-	.A1(n_1833),
-	.A2(soc_top_u_iccm_dout_1[9]),
-	.B1(n_1834),
-	.B2(soc_top_u_iccm_dout_2[9]),
-	.X(n_2359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481492 (
-	.A1(n_1833),
-	.A2(soc_top_u_iccm_dout_1[8]),
-	.B1(n_1834),
-	.B2(soc_top_u_iccm_dout_2[8]),
-	.X(n_2358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481493 (
-	.A1(n_1835),
-	.A2(soc_top_u_iccm_dout_1[25]),
-	.B1(n_1832),
-	.B2(soc_top_u_iccm_dout_2[25]),
-	.X(n_2357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481494 (
-	.A1(n_1835),
-	.A2(soc_top_u_iccm_dout_1[24]),
-	.B1(n_1832),
-	.B2(soc_top_u_iccm_dout_2[24]),
-	.X(n_2356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481495 (
-	.A1(n_1835),
-	.A2(soc_top_u_iccm_dout_1[27]),
-	.B1(n_1832),
-	.B2(soc_top_u_iccm_dout_2[27]),
-	.X(n_2355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481496 (
-	.A1(n_1835),
-	.A2(soc_top_u_iccm_dout_1[28]),
-	.B1(n_1832),
-	.B2(soc_top_u_iccm_dout_2[28]),
-	.X(n_2354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481497 (
-	.A1(n_1835),
-	.A2(soc_top_u_iccm_dout_1[29]),
-	.B1(n_1832),
-	.B2(soc_top_u_iccm_dout_2[29]),
-	.X(n_2353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481498 (
-	.A1(n_1835),
-	.A2(soc_top_u_iccm_dout_1[26]),
-	.B1(n_1832),
-	.B2(soc_top_u_iccm_dout_2[26]),
-	.X(n_2352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481499 (
-	.A1(n_1835),
-	.A2(soc_top_u_iccm_dout_1[31]),
-	.B1(n_1832),
-	.B2(soc_top_u_iccm_dout_2[31]),
-	.X(n_2351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g481500 (
-	.A(\soc_top_xbar_to_timer[a_address] [3]),
-	.B(n_14982),
-	.C_N(n_1637),
-	.Y(n_2350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g481501 (
-	.A(n_1637),
-	.B(n_646),
-	.C(n_374),
-	.X(n_2349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481502 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
-	.A2(n_7608),
-	.B1(n_7609),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
-	.C1(n_1649),
-	.C2(n_14844),
-	.Y(n_2348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481503 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
-	.A2(n_7608),
-	.B1(n_7609),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
-	.C1(n_1640),
-	.C2(n_14844),
-	.Y(n_2346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481504 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
-	.A2(n_7608),
-	.B1(n_7609),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
-	.C1(n_1645),
-	.C2(n_14844),
-	.Y(n_2344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481505 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
-	.A2(n_7608),
-	.B1(n_7609),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
-	.C1(n_1652),
-	.C2(n_14844),
-	.Y(n_2342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481506 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
-	.A2(n_7608),
-	.B1(n_7609),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
-	.C1(n_1646),
-	.C2(n_14844),
-	.Y(n_2341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481507 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
-	.A2(n_7608),
-	.B1(n_7609),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
-	.C1(n_1642),
-	.C2(n_14844),
-	.Y(n_2340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481508 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
-	.A2(n_7608),
-	.B1(n_7609),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
-	.C1(n_1653),
-	.C2(n_14844),
-	.Y(n_2339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481509 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
-	.A2(n_7608),
-	.B1(n_7609),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
-	.C1(n_1638),
-	.C2(n_14844),
-	.Y(n_2338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481510 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
-	.A2(n_7608),
-	.B1(n_7609),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
-	.C1(n_1655),
-	.C2(n_14844),
-	.Y(n_2336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481511 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
-	.A2(n_7608),
-	.B1(n_7609),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
-	.C1(n_1641),
-	.C2(n_14844),
-	.Y(n_2334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481512 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
-	.A2(n_7608),
-	.B1(n_7609),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
-	.C1(n_1639),
-	.C2(n_14844),
-	.Y(n_2332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481513 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
-	.A2(n_7608),
-	.B1(n_7609),
-	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
-	.C1(n_1647),
-	.C2(n_14844),
-	.Y(n_2330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g481514 (
-	.A(n_717),
-	.B(n_16963),
-	.X(n_2329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g481515 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_pwm_pwm_core_n_1204),
-	.C(n_1654),
-	.Y(n_2327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481516 (
-	.A1(n_364),
-	.A2(n_664),
-	.B1(n_2064),
-	.Y(n_2326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481517 (
-	.A1(n_15062),
-	.A2(n_1855),
-	.B1(n_1823),
-	.Y(n_2325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481518 (
-	.A1(n_369),
-	.A2(n_664),
-	.B1(n_2064),
-	.Y(n_2324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g481519 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_15062),
-	.C(n_1654),
-	.Y(n_2323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g481521 (
-	.A(n_2138),
-	.Y(n_2137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481522 (
-	.A(n_1819),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [2]),
-	.Y(n_2130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481523 (
-	.A(n_1893),
-	.B(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.Y(n_2129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481524 (
-	.A(n_1438),
-	.B(n_752),
-	.Y(n_2128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481525 (
-	.A(n_246),
-	.B(\soc_top_intr_controller_reg2hw[prio3][q] [1]),
-	.Y(n_2127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481526 (
-	.A(n_1712),
-	.B(soc_top_u_pwm_pwm_core_DC_2[8]),
-	.Y(n_2126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481527 (
-	.A(n_1716),
-	.B(soc_top_u_pwm_pwm_core_period[4]),
-	.Y(n_2125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g481528 (
-	.A(soc_top_u_pwm_pwm_core_DC_1[4]),
-	.B_N(n_1713),
-	.Y(n_2124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481529 (
-	.A(n_1711),
-	.B(soc_top_u_pwm_pwm_core_period_2[4]),
-	.Y(n_2123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g481530 (
-	.A(n_1651),
-	.B_N(\soc_top_ifu_to_xbar[a_valid] ),
-	.Y(n_2122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481531 (
-	.A(n_1446),
-	.B(n_912),
-	.Y(n_2121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481532 (
-	.A(n_908),
-	.B(n_1429),
-	.Y(n_2120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481533 (
-	.A(n_1659),
-	.B(soc_top_u_pwm_pwm_core_DC_1[8]),
-	.Y(n_2119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481534 (
-	.A(n_1428),
-	.B(n_712),
-	.Y(n_2118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481535 (
-	.A(n_1441),
-	.B(n_736),
-	.Y(n_2117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481536 (
-	.A(n_1465),
-	.B(n_927),
-	.Y(n_2116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481537 (
-	.A(soc_top_u_top_u_core_id_stage_i_jump_set),
-	.B(n_18222),
-	.Y(n_2115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481538 (
-	.A(n_1629),
-	.B(\soc_top_intr_controller_reg2hw[prio11][q] [1]),
-	.Y(n_2114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g481539 (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [16]),
-	.B(n_1492),
-	.Y(n_2113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g481540 (
-	.A_N(\soc_top_u_top_u_core_fp_operands[0] [16]),
-	.B(n_1469),
-	.Y(n_2112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481541 (
-	.A(n_1268),
-	.B(n_626),
-	.Y(n_2111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481542 (
-	.A(n_1821),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [9]),
-	.Y(n_2110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481543 (
-	.A(n_1821),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [6]),
-	.Y(n_2109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481544 (
-	.A(n_1629),
-	.B(\soc_top_intr_controller_reg2hw[prio11][q] [0]),
-	.Y(n_2108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481545 (
-	.A(n_1824),
-	.B(soc_top_GPIO_cio_gpio_en_q[22]),
-	.Y(n_2107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481546 (
-	.A(n_1821),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [31]),
-	.Y(n_2106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481547 (
-	.A(n_1819),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [30]),
-	.Y(n_2105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481548 (
-	.A(n_1819),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [29]),
-	.Y(n_2104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481550 (
-	.A(n_1821),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [28]),
-	.Y(n_2103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481551 (
-	.A(n_1821),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [27]),
-	.Y(n_2102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481552 (
-	.A(n_1821),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [26]),
-	.Y(n_2101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481553 (
-	.A(n_1819),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [25]),
-	.Y(n_2100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481554 (
-	.A(n_1819),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [24]),
-	.Y(n_2099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481555 (
-	.A(n_1819),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [23]),
-	.Y(n_2098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481556 (
-	.A(n_1821),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [21]),
-	.Y(n_2097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481557 (
-	.A(n_1821),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [20]),
-	.Y(n_2096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481558 (
-	.A(n_1432),
-	.B(n_716),
-	.Y(n_2095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481559 (
-	.A(n_1421),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_n_12 ),
-	.Y(n_2094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g481560 (
-	.A(soc_top_u_pwm_pwm_core_DC_2[4]),
-	.B_N(n_1717),
-	.Y(n_2093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481561 (
-	.A(n_1821),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [0]),
-	.Y(n_2092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481562 (
-	.A(n_1821),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [3]),
-	.Y(n_2091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481563 (
-	.A(n_1821),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [8]),
-	.Y(n_2090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481564 (
-	.A(n_1821),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [13]),
-	.Y(n_2089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481565 (
-	.A(n_1821),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [14]),
-	.Y(n_2088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481566 (
-	.A(n_1821),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [16]),
-	.Y(n_2087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481567 (
-	.A(n_1821),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [17]),
-	.Y(n_2086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481568 (
-	.A(n_1821),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [19]),
-	.Y(n_2085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481601 (
-	.A(n_307),
-	.B(n_1721),
-	.Y(n_2149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g481604 (
-	.A(n_1348),
-	.B_N(n_1876),
-	.Y(n_2148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g481606 (
-	.A1(n_1464),
-	.A2(n_863),
-	.B1(soc_top_u_top_u_core_ready_wb),
-	.Y(n_2147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g481607 (
-	.A(n_1348),
-	.B_N(n_1891),
-	.Y(n_2146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481610 (
-	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B(n_1720),
-	.Y(n_2145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g481622 (
-	.A(n_397),
-	.B(n_1894),
-	.X(n_2144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481628 (
-	.A(n_1489),
-	.B(n_1722),
-	.Y(n_2142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481636 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [0]),
-	.B(n_1480),
-	.Y(n_2141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481637 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [0]),
-	.B(n_1493),
-	.Y(n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g481638 (
-	.A1(n_1289),
-	.A2(n_14972),
-	.B1(n_14878),
-	.Y(n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481661 (
-	.A(\soc_top_ifu_to_xbar[a_valid] ),
-	.B(n_1651),
-	.Y(n_2138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481663 (
-	.A(soc_top_u_pwm_pwm_core_n_1204),
-	.B(n_1855),
-	.Y(n_2136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481664 (
-	.A(soc_top_u_pwm_pwm_core_n_49),
-	.B(n_1643),
-	.Y(n_2135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481665 (
-	.A(soc_top_u_pwm_pwm_core_n_49),
-	.B(n_1855),
-	.Y(n_2134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481666 (
-	.A(soc_top_u_pwm_pwm_core_n_1204),
-	.B(n_1643),
-	.Y(n_2133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481667 (
-	.A(n_15062),
-	.B(n_1643),
-	.Y(n_2132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481668 (
-	.A(soc_top_u_pwm_pwm_core_n_1288),
-	.B(n_1855),
-	.Y(n_2131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481670 (
-	.A(n_2067),
-	.Y(n_2068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481671 (
-	.A(n_2060),
-	.Y(n_2061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g481672 (
-	.A(n_2038),
-	.Y(n_2037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g481674 (
-	.A(n_2034),
-	.Y(n_2033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g481676 (
-	.A(n_2031),
-	.Y(n_2030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g481677 (
-	.A(n_2028),
-	.Y(n_2027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g481678 (
-	.A(n_2026),
-	.Y(n_2025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g481679 (
-	.A(n_2023),
-	.Y(n_2024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g481680 (
-	.A(n_2021),
-	.Y(n_2022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481681 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [17]),
-	.A2(n_619),
-	.B1(n_874),
-	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [17]),
-	.C1(n_620),
-	.C2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [17]),
-	.Y(n_2011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g481682 (
-	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
-	.B(n_1228),
-	.X(n_2010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481684 (
-	.A1(n_18228),
-	.A2(soc_top_u_top_u_core_alu_adder_result_ex[1]),
-	.B1(n_1361),
-	.B2(soc_top_u_top_u_core_csr_mepc[1]),
-	.Y(n_2008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g481685 (
-	.A1_N(soc_top_u_top_u_core_load_store_unit_i_data_type_q[0]),
-	.A2_N(n_1363),
-	.B1(n_15078),
-	.B2(n_1363),
-	.Y(n_2007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481686 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [25]),
-	.A2(n_18228),
-	.B1(n_1359),
-	.B2(soc_top_u_top_u_core_csr_depc[25]),
-	.Y(n_2006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481687 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [31]),
-	.A2(n_18228),
-	.B1(n_1359),
-	.B2(soc_top_u_top_u_core_csr_depc[31]),
-	.Y(n_2005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481688 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [20]),
-	.A2(n_18228),
-	.B1(n_1359),
-	.B2(soc_top_u_top_u_core_csr_depc[20]),
-	.Y(n_2004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g481689 (
-	.A1_N(soc_top_u_pwm_pwm_core_period_counter2[1]),
-	.A2_N(n_1261),
-	.B1(soc_top_u_pwm_pwm_core_period_2[0]),
-	.B2(soc_top_u_pwm_pwm_core_period_2[1]),
-	.Y(n_2003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g481690 (
-	.A1(soc_top_u_pwm_pwm_core_n_49),
-	.A2(n_17201),
-	.B1(n_1457),
-	.C1(soc_top_GPIO_u_reg_addr_hit[4]),
-	.Y(n_2002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481691 (
-	.A1(soc_top_u_top_u_core_alu_adder_result_ex[14]),
-	.A2(n_18228),
-	.B1(n_1359),
-	.B2(soc_top_u_top_u_core_csr_depc[14]),
-	.Y(n_2001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481692 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [13]),
-	.A2(n_18228),
-	.B1(n_1359),
-	.B2(soc_top_u_top_u_core_csr_depc[13]),
-	.Y(n_2000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481693 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [30]),
-	.A2(n_18228),
-	.B1(n_1359),
-	.B2(soc_top_u_top_u_core_csr_depc[30]),
-	.Y(n_1999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481694 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [26]),
-	.A2(n_18228),
-	.B1(n_1359),
-	.B2(soc_top_u_top_u_core_csr_depc[26]),
-	.Y(n_1998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481695 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [24]),
-	.A2(n_18228),
-	.B1(n_1359),
-	.B2(soc_top_u_top_u_core_csr_depc[24]),
-	.Y(n_1997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481696 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [22]),
-	.A2(n_18228),
-	.B1(n_1359),
-	.B2(soc_top_u_top_u_core_csr_depc[22]),
-	.Y(n_1996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481697 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [21]),
-	.A2(n_18228),
-	.B1(n_1359),
-	.B2(soc_top_u_top_u_core_csr_depc[21]),
-	.Y(n_1995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481698 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [17]),
-	.A2(n_18228),
-	.B1(n_1359),
-	.B2(soc_top_u_top_u_core_csr_depc[17]),
-	.Y(n_1994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481699 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [16]),
-	.A2(n_18228),
-	.B1(n_1359),
-	.B2(soc_top_u_top_u_core_csr_depc[16]),
-	.Y(n_1993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481700 (
-	.A1(soc_top_u_top_u_core_alu_adder_result_ex[15]),
-	.A2(n_18228),
-	.B1(n_1359),
-	.B2(soc_top_u_top_u_core_csr_depc[15]),
-	.Y(n_1992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481701 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [27]),
-	.A2(n_18228),
-	.B1(n_1359),
-	.B2(soc_top_u_top_u_core_csr_depc[27]),
-	.Y(n_1991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481702 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [23]),
-	.A2(n_18228),
-	.B1(n_1359),
-	.B2(soc_top_u_top_u_core_csr_depc[23]),
-	.Y(n_1990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481703 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [19]),
-	.A2(n_18228),
-	.B1(n_1359),
-	.B2(soc_top_u_top_u_core_csr_depc[19]),
-	.Y(n_1989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g481704 (
-	.A1(n_1009),
-	.A2(n_15031),
-	.B1(n_381),
-	.B2(soc_top_timer0_u_reg_n_778),
-	.Y(n_1988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g481705 (
-	.A1(soc_top_u_top_u_core_pc_mux_id[2]),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]),
-	.B1(soc_top_u_top_u_core_csr_restore_mret_id),
-	.B2(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[12]),
-	.C1(n_1283),
-	.Y(n_1987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g481706 (
-	.A1(soc_top_u_top_u_core_pc_mux_id[2]),
-	.A2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]),
-	.B1(soc_top_u_top_u_core_csr_restore_mret_id),
-	.B2(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[11]),
-	.C1(n_1312),
-	.Y(n_1986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g481707 (
-	.A1_N(soc_top_u_top_u_core_load_store_unit_i_data_type_q[1]),
-	.A2_N(n_1363),
-	.B1(n_15077),
-	.B2(n_1363),
-	.Y(n_1985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481708 (
-	.A1(\soc_top_lsu_to_xbar[a_address] [18]),
-	.A2(n_18228),
-	.B1(n_1359),
-	.B2(soc_top_u_top_u_core_csr_depc[18]),
-	.Y(n_1984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g481709 (
-	.A1(n_17561),
-	.A2(n_18228),
-	.B1(n_1359),
-	.B2(soc_top_u_top_u_core_csr_depc[28]),
-	.Y(n_1983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g481710 (
-	.A(n_763),
-	.B(soc_top_u_pwm_pwm_core_DC_2[1]),
-	.C(n_516),
-	.X(n_1982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 g481711 (
-	.A1(n_393),
-	.A2(n_698),
-	.A3(soc_top_u_pwm_pwm_core_period[12]),
-	.B1(n_389),
-	.B2(soc_top_u_pwm_pwm_core_period[13]),
-	.Y(n_1981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g481712 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_21 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][2] ),
-	.B1(n_977),
-	.C1(n_972),
-	.Y(n_1980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g481713 (
-	.A(n_552),
-	.B(soc_top_u_pwm_pwm_core_period[1]),
-	.C(n_508),
-	.X(n_1979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 g481714 (
-	.A1(n_616),
-	.A2(n_14944),
-	.A3(FE_DBTN6_soc_top_reset_manager_n_13),
-	.B1(n_617),
-	.B2(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [1]),
-	.X(n_1978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481715 (
-	.A(n_1210),
-	.B(n_721),
-	.Y(n_1977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g481716 (
-	.A1(n_1463),
-	.A2(n_742),
-	.B1(n_1461),
-	.X(n_1976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g481717 (
-	.A(n_765),
-	.B(soc_top_u_pwm_pwm_core_DC_1[1]),
-	.C(n_508),
-	.X(n_1975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g481718 (
-	.A1(n_1457),
-	.A2(soc_top_u_pwm_pwm_core_n_49),
-	.B1(n_1826),
-	.Y(n_1974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481719 (
-	.A1(n_14975),
-	.A2(n_17461),
-	.B1(soc_top_u_top_u_core_id_stage_i_id_fsm_q),
-	.Y(n_1973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g481720 (
-	.A1(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.A2(n_17462),
-	.B1(n_1644),
-	.X(n_1972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481722 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [5]),
-	.A2(n_619),
-	.B1(n_874),
-	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [5]),
-	.C1(n_620),
-	.C2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [5]),
-	.Y(n_1970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g481723 (
-	.A1(n_434),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [1]),
-	.B1(n_1331),
-	.C1(n_1068),
-	.Y(n_1969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481724 (
-	.A1(n_636),
-	.A2(\soc_top_iccm_to_xbar[d_data] [0]),
-	.B1(n_634),
-	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [0]),
-	.C1(n_15474),
-	.C2(n_287),
-	.Y(n_1968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481725 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [12]),
-	.A2(n_620),
-	.B1(n_17360),
-	.B2(\soc_top_timer_to_xbar[d_data] [12]),
-	.C1(n_874),
-	.C2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [12]),
-	.Y(n_1967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481726 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [24]),
-	.A2(n_620),
-	.B1(n_17360),
-	.B2(\soc_top_timer_to_xbar[d_data] [24]),
-	.C1(n_874),
-	.C2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [24]),
-	.Y(n_1966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481727 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [28]),
-	.A2(n_620),
-	.B1(n_17360),
-	.B2(\soc_top_timer_to_xbar[d_data] [28]),
-	.C1(n_874),
-	.C2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [28]),
-	.Y(n_1965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481728 (
-	.A1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [27]),
-	.A2(n_873),
-	.B1(n_619),
-	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [27]),
-	.C1(n_874),
-	.C2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [27]),
-	.Y(n_1964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481729 (
-	.A1(\soc_top_timer0_reg2hw[cfg0][step][q] [3]),
-	.A2(n_627),
-	.B1(n_874),
-	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [19]),
-	.C1(n_17360),
-	.C2(\soc_top_timer_to_xbar[d_data] [19]),
-	.Y(n_1963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481730 (
-	.A1(\soc_top_timer0_reg2hw[cfg0][step][q] [4]),
-	.A2(n_627),
-	.B1(n_874),
-	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [20]),
-	.C1(n_17360),
-	.C2(\soc_top_timer_to_xbar[d_data] [20]),
-	.Y(n_1962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481731 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [30]),
-	.A2(n_620),
-	.B1(n_17360),
-	.B2(\soc_top_timer_to_xbar[d_data] [30]),
-	.C1(n_874),
-	.C2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [30]),
-	.Y(n_1961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g481732 (
-	.A1(soc_top_u_top_u_core_lsu_wdata[30]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_27 ),
-	.B1(soc_top_u_top_u_core_lsu_wdata[29]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_6 ),
-	.C1(n_1496),
-	.Y(n_1960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481733 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [26]),
-	.A2(n_620),
-	.B1(n_17360),
-	.B2(\soc_top_timer_to_xbar[d_data] [26]),
-	.C1(n_874),
-	.C2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [26]),
-	.Y(n_1959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481734 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [25]),
-	.A2(n_620),
-	.B1(n_17360),
-	.B2(\soc_top_timer_to_xbar[d_data] [25]),
-	.C1(n_874),
-	.C2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [25]),
-	.Y(n_1958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481735 (
-	.A1(\soc_top_timer0_reg2hw[cfg0][step][q] [7]),
-	.A2(n_627),
-	.B1(n_874),
-	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [23]),
-	.C1(n_17360),
-	.C2(\soc_top_timer_to_xbar[d_data] [23]),
-	.Y(n_1957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481736 (
-	.A1(\soc_top_timer0_reg2hw[cfg0][step][q] [5]),
-	.A2(n_627),
-	.B1(n_874),
-	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [21]),
-	.C1(n_17360),
-	.C2(\soc_top_timer_to_xbar[d_data] [21]),
-	.Y(n_1956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481737 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [18]),
-	.A2(n_619),
-	.B1(n_874),
-	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [18]),
-	.C1(n_620),
-	.C2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [18]),
-	.Y(n_1955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481738 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [15]),
-	.A2(n_620),
-	.B1(n_17360),
-	.B2(\soc_top_timer_to_xbar[d_data] [15]),
-	.C1(n_874),
-	.C2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [15]),
-	.Y(n_1954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g481739 (
-	.A1(n_384),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[14]),
-	.B1(n_1863),
-	.Y(n_1953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481740 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [14]),
-	.A2(n_620),
-	.B1(n_17360),
-	.B2(\soc_top_timer_to_xbar[d_data] [14]),
-	.C1(n_874),
-	.C2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [14]),
-	.Y(n_1952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481741 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [13]),
-	.A2(n_620),
-	.B1(n_17360),
-	.B2(\soc_top_timer_to_xbar[d_data] [13]),
-	.C1(n_874),
-	.C2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [13]),
-	.Y(n_1951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481742 (
-	.A1(\soc_top_timer0_reg2hw[compare_lower0_0][q] [11]),
-	.A2(n_874),
-	.B1(n_619),
-	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [11]),
-	.C1(n_620),
-	.C2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [11]),
-	.Y(n_1950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481743 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [8]),
-	.A2(n_619),
-	.B1(n_874),
-	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [8]),
-	.C1(n_620),
-	.C2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [8]),
-	.Y(n_1949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481744 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [7]),
-	.A2(n_619),
-	.B1(n_874),
-	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [7]),
-	.C1(n_620),
-	.C2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [7]),
-	.Y(n_1948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g481745 (
-	.A1(n_497),
-	.A2(soc_top_u_pwm_pwm_core_DC_1[14]),
-	.B1(n_1866),
-	.Y(n_1947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g481746 (
-	.A1(n_1397),
-	.A2(n_14906),
-	.B1(n_1464),
-	.Y(n_1946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481747 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [6]),
-	.A2(n_619),
-	.B1(n_874),
-	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [6]),
-	.C1(n_620),
-	.C2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [6]),
-	.Y(n_1945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481748 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [4]),
-	.A2(n_620),
-	.B1(n_17360),
-	.B2(\soc_top_timer_to_xbar[d_data] [4]),
-	.C1(n_627),
-	.C2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [4]),
-	.Y(n_1944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481749 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [3]),
-	.A2(n_620),
-	.B1(n_17360),
-	.B2(\soc_top_timer_to_xbar[d_data] [3]),
-	.C1(n_627),
-	.C2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [3]),
-	.Y(n_1943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481750 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [2]),
-	.A2(n_620),
-	.B1(n_17360),
-	.B2(\soc_top_timer_to_xbar[d_data] [2]),
-	.C1(n_627),
-	.C2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [2]),
-	.Y(n_1942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481751 (
-	.A1(\soc_top_timer0_reg2hw[cfg0][step][q] [6]),
-	.A2(n_627),
-	.B1(n_874),
-	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [22]),
-	.C1(n_17360),
-	.C2(\soc_top_timer_to_xbar[d_data] [22]),
-	.Y(n_1941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g481752 (
-	.A1(n_1345),
-	.A2(n_484),
-	.B1(n_617),
-	.B2(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [0]),
-	.X(n_1940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481753 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [1]),
-	.A2(n_620),
-	.B1(n_17360),
-	.B2(\soc_top_timer_to_xbar[d_data] [1]),
-	.C1(n_627),
-	.C2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [1]),
-	.Y(n_1939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g481754 (
-	.A(n_15247),
-	.B(n_1847),
-	.C_N(n_14897),
-	.Y(n_1938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481755 (
-	.A(n_1893),
-	.B(n_324),
-	.Y(n_1937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481756 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [16]),
-	.A2(n_620),
-	.B1(n_17360),
-	.B2(\soc_top_timer_to_xbar[d_data] [16]),
-	.C1(n_627),
-	.C2(\soc_top_timer0_reg2hw[cfg0][step][q] [0]),
-	.Y(n_1936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481757 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [29]),
-	.A2(n_620),
-	.B1(n_17360),
-	.B2(\soc_top_timer_to_xbar[d_data] [29]),
-	.C1(n_874),
-	.C2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [29]),
-	.Y(n_1935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481758 (
-	.A1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [31]),
-	.A2(n_873),
-	.B1(n_619),
-	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [31]),
-	.C1(n_17360),
-	.C2(\soc_top_timer_to_xbar[d_data] [31]),
-	.Y(n_1934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g481759 (
-	.A(n_16271),
-	.B(n_16296),
-	.C(n_16273),
-	.Y(n_1933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481761 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [10]),
-	.A2(n_619),
-	.B1(n_874),
-	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [10]),
-	.C1(n_620),
-	.C2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [10]),
-	.Y(n_1931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g481762 (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [9]),
-	.A2(n_619),
-	.B1(n_874),
-	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [9]),
-	.C1(n_620),
-	.C2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [9]),
-	.Y(n_1930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g481763 (
-	.A1(n_365),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
-	.B1(n_16648),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
-	.C1(n_1509),
-	.Y(n_2084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g481764 (
-	.A1(n_1393),
-	.A2(n_497),
-	.B1(n_1866),
-	.X(n_2083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g481765 (
-	.A(n_1452),
-	.B(n_742),
-	.C(n_563),
-	.Y(n_2082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211o_1 g481766 (
-	.A1(n_354),
-	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [4]),
-	.B1(n_944),
-	.C1(n_1451),
-	.X(n_2081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g481767 (
-	.A1(n_1390),
-	.A2(n_384),
-	.B1(n_1863),
-	.X(n_2080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g481768 (
-	.A1(n_461),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
-	.B1(n_16648),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
-	.C1(n_1521),
-	.X(n_2079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g481769 (
-	.A1(n_461),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
-	.B1(n_16648),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
-	.C1(n_1527),
-	.Y(n_2078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g481770 (
-	.A1(n_461),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
-	.B1(n_16648),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
-	.C1(n_1508),
-	.X(n_2077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g481771 (
-	.A1(n_461),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
-	.B1(n_16648),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
-	.C1(n_1614),
-	.Y(n_2076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g481772 (
-	.A1(n_16646),
-	.A2(n_15053),
-	.B1(n_337),
-	.B2(n_16649),
-	.C1(n_1504),
-	.Y(n_2075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g481773 (
-	.A1(n_365),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
-	.B1(n_16648),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
-	.C1(n_1724),
-	.Y(n_2074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481774 (
-	.A(n_990),
-	.B(n_1478),
-	.Y(n_2073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g481775 (
-	.A1(n_365),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
-	.B1(n_16648),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
-	.C1(n_1723),
-	.Y(n_2072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481777 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1856),
-	.Y(n_2071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481778 (
-	.A(n_1627),
-	.B(n_1630),
-	.Y(n_2070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481779 (
-	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[0]),
-	.B(n_1854),
-	.Y(n_2069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481780 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_17460),
-	.Y(n_2067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481781 (
-	.A(n_1828),
-	.B(n_1630),
-	.Y(n_2066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481782 (
-	.A(n_1623),
-	.B(n_1620),
-	.Y(n_2065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481783 (
-	.A(n_1654),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(n_2064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481784 (
-	.A(n_1830),
-	.B(n_1620),
-	.Y(n_2063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481785 (
-	.A(n_1828),
-	.B(n_1626),
-	.Y(n_2062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g481786 (
-	.A_N(n_1651),
-	.B(n_657),
-	.Y(n_2060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481787 (
-	.A(n_1836),
-	.B(n_1630),
-	.Y(n_2059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481788 (
-	.A(n_1836),
-	.B(n_1626),
-	.Y(n_2058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481789 (
-	.A(n_1836),
-	.B(n_1622),
-	.Y(n_2057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481790 (
-	.A(n_15062),
-	.B(n_1623),
-	.Y(n_2056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481791 (
-	.A(n_1826),
-	.B(n_1630),
-	.Y(n_2055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481793 (
-	.A(soc_top_u_pwm_pwm_core_n_49),
-	.B(n_1838),
-	.Y(n_2053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481794 (
-	.A(n_315),
-	.B(n_699),
-	.Y(n_2052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g481795 (
-	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[1]),
-	.B(n_289),
-	.C(n_1387),
-	.Y(n_2051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481796 (
-	.A(n_1898),
-	.B(soc_top_u_pwm_pwm_core_period_counter2[2]),
-	.Y(n_2050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g481797 (
-	.A_N(n_686),
-	.B(soc_top_u_pwm_pwm_core_counter_p1[2]),
-	.Y(n_2049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481798 (
-	.A(n_347),
-	.B(n_720),
-	.Y(n_2048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481799 (
-	.A(n_913),
-	.B(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.Y(n_2047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481800 (
-	.A(n_17460),
-	.B(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Y(n_2046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481801 (
-	.A(n_987),
-	.B(n_1487),
-	.Y(n_2045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481802 (
-	.A(n_1632),
-	.B(n_1622),
-	.Y(n_2044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481803 (
-	.A(n_1632),
-	.B(n_1630),
-	.Y(n_2043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g481804 (
-	.A(n_1637),
-	.B(n_364),
-	.X(n_2042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481805 (
-	.A(n_15062),
-	.B(n_1836),
-	.Y(n_2041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481806 (
-	.A(n_1838),
-	.B(n_1622),
-	.Y(n_2040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g481807 (
-	.A_N(n_1710),
-	.B(n_1415),
-	.Y(n_2039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481808 (
-	.A(n_1837),
-	.B(n_486),
-	.Y(n_2038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g481809 (
-	.A_N(n_14885),
-	.B(n_17293),
-	.C(n_1209),
-	.Y(n_2036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481810 (
-	.A(n_14994),
-	.B(n_1850),
-	.Y(n_2035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481811 (
-	.A(n_1844),
-	.B(n_484),
-	.Y(n_2034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g481812 (
-	.A_N(n_15029),
-	.B(n_16650),
-	.C(n_1287),
-	.D(n_16653),
-	.Y(n_2032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481813 (
-	.A(n_1848),
-	.B(n_364),
-	.Y(n_2031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481814 (
-	.A(soc_top_u_pwm_pwm_core_n_1288),
-	.B(n_1838),
-	.Y(n_2029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481815 (
-	.A(n_1837),
-	.B(n_364),
-	.Y(n_2028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481816 (
-	.A(n_1837),
-	.B(n_374),
-	.Y(n_2026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481817 (
-	.A(n_1844),
-	.B(n_369),
-	.Y(n_2023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481818 (
-	.A(n_1849),
-	.B(n_369),
-	.Y(n_2021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481819 (
-	.A(soc_top_u_pwm_pwm_core_n_1204),
-	.B(n_1850),
-	.Y(n_2020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481820 (
-	.A(soc_top_u_pwm_pwm_core_n_1288),
-	.B(n_1843),
-	.Y(n_2019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481821 (
-	.A(soc_top_u_pwm_pwm_core_n_1204),
-	.B(n_1843),
-	.Y(n_2018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481822 (
-	.A(soc_top_u_pwm_pwm_core_n_49),
-	.B(n_1843),
-	.Y(n_2017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481823 (
-	.A(soc_top_u_pwm_pwm_core_n_49),
-	.B(n_1850),
-	.Y(n_2016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481824 (
-	.A(soc_top_u_pwm_pwm_core_n_1288),
-	.B(n_1850),
-	.Y(n_2015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481826 (
-	.A(n_1632),
-	.B(n_1620),
-	.Y(n_2014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481827 (
-	.A(n_1838),
-	.B(n_1620),
-	.Y(n_2013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481828 (
-	.A(n_1632),
-	.B(n_1626),
-	.Y(n_2012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g481829 (
-	.A(n_1421),
-	.Y(n_1929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481830 (
-	.A(n_729),
-	.Y(n_1928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481831 (
-	.A(n_692),
-	.Y(n_1927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481832 (
-	.A(n_1434),
-	.Y(n_1926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481833 (
-	.A(n_710),
-	.Y(n_1925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481834 (
-	.A(n_728),
-	.Y(n_1924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481835 (
-	.A(n_1442),
-	.Y(n_1923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481836 (
-	.A(n_732),
-	.Y(n_1922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481837 (
-	.A(n_682),
-	.Y(n_1921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481838 (
-	.A(n_730),
-	.Y(n_1920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481839 (
-	.A(n_683),
-	.Y(n_1919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481840 (
-	.A(n_700),
-	.Y(n_1918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481841 (
-	.A(n_734),
-	.Y(n_1917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481842 (
-	.A(n_687),
-	.Y(n_1916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481843 (
-	.A(n_685),
-	.Y(n_1915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481844 (
-	.A(n_691),
-	.Y(n_1914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481845 (
-	.A(n_724),
-	.Y(n_1913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481846 (
-	.A(n_688),
-	.Y(n_1912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481847 (
-	.A(n_701),
-	.Y(n_1911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481848 (
-	.A(n_696),
-	.Y(n_1910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481849 (
-	.A(n_731),
-	.Y(n_1909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481850 (
-	.A(n_689),
-	.Y(n_1908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481851 (
-	.A(n_693),
-	.Y(n_1907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481852 (
-	.A(n_681),
-	.Y(n_1906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481853 (
-	.A(n_690),
-	.Y(n_1905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481854 (
-	.A(n_718),
-	.Y(n_1904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481855 (
-	.A(n_695),
-	.Y(n_1903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481856 (
-	.A(n_702),
-	.Y(n_1902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481857 (
-	.A(n_694),
-	.Y(n_1901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481858 (
-	.A(n_678),
-	.Y(n_1900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481859 (
-	.A(n_684),
-	.Y(n_1899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481860 (
-	.A(n_735),
-	.Y(n_1897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481861 (
-	.A(n_733),
-	.Y(n_1896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481862 (
-	.A(n_737),
-	.Y(n_1895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g481863 (
-	.A(n_1853),
-	.Y(n_1854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481864 (
-	.A(n_1852),
-	.Y(n_1851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g481865 (
-	.A(n_1849),
-	.Y(n_1850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481866 (
-	.A(n_1847),
-	.Y(n_1846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g481868 (
-	.A(n_1844),
-	.Y(n_1843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g481869 (
-	.A(n_1841),
-	.Y(n_1840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g481870 (
-	.A(n_1839),
-	.Y(n_1838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g481872 (
-	.A(n_1831),
-	.Y(n_1830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g481873 (
-	.A(n_1829),
-	.Y(n_1828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g481874 (
-	.A(n_1827),
-	.Y(n_1826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g481875 (
-	.A(n_1823),
-	.Y(n_1824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g481877 (
-	.A(soc_top_u_pwm_pwm_core_counter_p2[0]),
-	.B(soc_top_u_pwm_pwm_core_counter_p2[1]),
-	.COUT(n_1816),
-	.SUM(n_1817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g481878 (
-	.A(n_15380),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[14]),
-	.COUT(n_1814),
-	.SUM(n_1815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g481879 (
-	.A(n_15376),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[10]),
-	.COUT(n_1812),
-	.SUM(n_1813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g481880 (
-	.A(n_15396),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[30]),
-	.COUT(n_1810),
-	.SUM(n_1811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g481881 (
-	.A(n_15386),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[20]),
-	.COUT(n_1808),
-	.SUM(n_1809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g481882 (
-	.A(soc_top_u_pwm_pwm_core_period_counter2[1]),
-	.B(soc_top_u_pwm_pwm_core_period_counter2[0]),
-	.COUT(n_1898),
-	.SUM(n_1807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g481883 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[12]),
-	.B(n_15378),
-	.COUT(n_1805),
-	.SUM(n_1806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481884 (
-	.A(n_1362),
-	.B(soc_top_u_top_u_core_csr_mtvec[14]),
-	.Y(n_1804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481885 (
-	.A(n_1352),
-	.B(n_17042),
-	.Y(n_1803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481886 (
-	.A(n_1352),
-	.B(n_17041),
-	.Y(n_1802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481887 (
-	.A(n_1352),
-	.B(n_17039),
-	.Y(n_1801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481888 (
-	.A(n_1352),
-	.B(n_17038),
-	.Y(n_1800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481889 (
-	.A(n_1352),
-	.B(n_15038),
-	.Y(n_1799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481890 (
-	.A(n_1352),
-	.B(n_17037),
-	.Y(n_1798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481891 (
-	.A(n_1362),
-	.B(soc_top_u_top_u_core_csr_mtvec[20]),
-	.Y(n_1797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481892 (
-	.A(n_1352),
-	.B(n_17049),
-	.Y(n_1796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481893 (
-	.A(n_1164),
-	.B(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [3]),
-	.Y(n_1795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481894 (
-	.A(n_1362),
-	.B(soc_top_u_top_u_core_csr_mtvec[21]),
-	.Y(n_1794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481895 (
-	.A(n_1362),
-	.B(soc_top_u_top_u_core_csr_mtvec[19]),
-	.Y(n_1793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481896 (
-	.A(n_1362),
-	.B(soc_top_u_top_u_core_csr_mtvec[24]),
-	.Y(n_1792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481897 (
-	.A(n_1362),
-	.B(soc_top_u_top_u_core_csr_mtvec[25]),
-	.Y(n_1791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481898 (
-	.A(n_1152),
-	.B(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [3]),
-	.Y(n_1790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481899 (
-	.A(n_1352),
-	.B(n_17040),
-	.Y(n_1789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481900 (
-	.A(n_1186),
-	.B(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [3]),
-	.Y(n_1788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481901 (
-	.A(n_1394),
-	.B(n_1273),
-	.Y(n_1787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481902 (
-	.A(n_1174),
-	.B(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [3]),
-	.Y(n_1786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481903 (
-	.A(n_1138),
-	.B(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [3]),
-	.Y(n_1785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481904 (
-	.A(n_1362),
-	.B(soc_top_u_top_u_core_csr_mtvec[13]),
-	.Y(n_1784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481905 (
-	.A(n_1391),
-	.B(n_1269),
-	.Y(n_1783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481906 (
-	.A(n_1362),
-	.B(soc_top_u_top_u_core_csr_mtvec[15]),
-	.Y(n_1782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481907 (
-	.A(n_1362),
-	.B(soc_top_u_top_u_core_csr_mtvec[26]),
-	.Y(n_1781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481908 (
-	.A(n_1362),
-	.B(soc_top_u_top_u_core_csr_mtvec[27]),
-	.Y(n_1780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481909 (
-	.A(n_1352),
-	.B(n_17035),
-	.Y(n_1779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g481910 (
-	.A_N(n_657),
-	.B(n_1201),
-	.Y(n_1778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481911 (
-	.A(n_1359),
-	.B(soc_top_u_top_u_core_csr_depc[29]),
-	.Y(n_1777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481912 (
-	.A(n_1144),
-	.B(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [3]),
-	.Y(n_1776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481913 (
-	.A(n_1162),
-	.B(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [3]),
-	.Y(n_1775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481914 (
-	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
-	.B(n_1388),
-	.Y(n_1774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481915 (
-	.A(n_1148),
-	.B(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [3]),
-	.Y(n_1773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481916 (
-	.A(n_1160),
-	.B(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [3]),
-	.Y(n_1772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481917 (
-	.A(n_1134),
-	.B(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [3]),
-	.Y(n_1771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481918 (
-	.A(n_1156),
-	.B(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [3]),
-	.Y(n_1770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481919 (
-	.A(n_1176),
-	.B(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [3]),
-	.Y(n_1769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481920 (
-	.A(n_1362),
-	.B(soc_top_u_top_u_core_csr_mtvec[23]),
-	.Y(n_1768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481921 (
-	.A(n_1362),
-	.B(soc_top_u_top_u_core_csr_mtvec[31]),
-	.Y(n_1767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481922 (
-	.A(n_1362),
-	.B(soc_top_u_top_u_core_csr_mtvec[16]),
-	.Y(n_1766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481923 (
-	.A(n_1362),
-	.B(soc_top_u_top_u_core_csr_mtvec[18]),
-	.Y(n_1765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481924 (
-	.A(n_1362),
-	.B(soc_top_u_top_u_core_csr_mtvec[17]),
-	.Y(n_1764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481925 (
-	.A(n_420),
-	.B(n_1394),
-	.Y(n_1763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481926 (
-	.A(n_1182),
-	.B(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [3]),
-	.Y(n_1762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481927 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1454),
-	.Y(n_1761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481928 (
-	.A(n_1168),
-	.B(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [3]),
-	.Y(n_1760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481929 (
-	.A(n_1188),
-	.B(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [3]),
-	.Y(n_1759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481930 (
-	.A(n_1352),
-	.B(n_17047),
-	.Y(n_1758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481931 (
-	.A(n_1362),
-	.B(soc_top_u_top_u_core_csr_mtvec[30]),
-	.Y(n_1757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481932 (
-	.A(n_1352),
-	.B(n_17052),
-	.Y(n_1756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481933 (
-	.A(n_1362),
-	.B(soc_top_u_top_u_core_csr_mtvec[28]),
-	.Y(n_1755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481935 (
-	.A(n_1180),
-	.B(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [3]),
-	.Y(n_1753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481936 (
-	.A(n_1362),
-	.B(soc_top_u_top_u_core_csr_mtvec[22]),
-	.Y(n_1752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481937 (
-	.A(n_1178),
-	.B(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [3]),
-	.Y(n_1751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481939 (
-	.A(n_1352),
-	.B(n_17048),
-	.Y(n_1750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481940 (
-	.A(n_1190),
-	.B(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [3]),
-	.Y(n_1749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481941 (
-	.A(n_1166),
-	.B(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [3]),
-	.Y(n_1748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481942 (
-	.A(n_1150),
-	.B(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [3]),
-	.Y(n_1747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481943 (
-	.A(n_1352),
-	.B(n_17056),
-	.Y(n_1746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481944 (
-	.A(n_1352),
-	.B(n_17055),
-	.Y(n_1745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481945 (
-	.A(n_1352),
-	.B(n_17058),
-	.Y(n_1744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481946 (
-	.A(n_1352),
-	.B(n_17045),
-	.Y(n_1743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481947 (
-	.A(n_1184),
-	.B(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [3]),
-	.Y(n_1742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481948 (
-	.A(n_1192),
-	.B(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [3]),
-	.Y(n_1741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481949 (
-	.A(n_1194),
-	.B(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [3]),
-	.Y(n_1740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481950 (
-	.A(n_1146),
-	.B(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [3]),
-	.Y(n_1739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481951 (
-	.A(n_1136),
-	.B(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [3]),
-	.Y(n_1738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481952 (
-	.A(n_1352),
-	.B(n_15039),
-	.Y(n_1737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481953 (
-	.A(n_1142),
-	.B(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [3]),
-	.Y(n_1736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481954 (
-	.A(n_1158),
-	.B(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [3]),
-	.Y(n_1735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481955 (
-	.A(n_527),
-	.B(n_1391),
-	.Y(n_1734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481956 (
-	.A(n_1172),
-	.B(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [3]),
-	.Y(n_1733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481957 (
-	.A(n_1140),
-	.B(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [3]),
-	.Y(n_1732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481958 (
-	.A(n_1132),
-	.B(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [3]),
-	.Y(n_1731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g481959 (
-	.A(n_1170),
-	.B(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [3]),
-	.Y(n_1730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481961 (
-	.A(\soc_top_uart_to_xbar[d_valid] ),
-	.B(n_1354),
-	.Y(n_1729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481962 (
-	.A(\soc_top_plic_resp[d_valid] ),
-	.B(n_1347),
-	.Y(n_1728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g481963 (
-	.A(n_509),
-	.B(n_1419),
-	.X(n_1894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481964 (
-	.A(soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_15),
-	.B(n_17463),
-	.Y(n_1727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481965 (
-	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B(n_1453),
-	.Y(n_1893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481966 (
-	.A(\soc_top_gpio_to_xbarp[d_valid] ),
-	.B(n_1349),
-	.Y(n_1726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g481994 (
-	.A(\soc_top_pwm_to_xbar[d_valid] ),
-	.B(n_1357),
-	.Y(n_1725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482008 (
-	.A(n_1152),
-	.B(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [2]),
-	.Y(n_1892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482015 (
-	.A(soc_top_GPIO_u_reg_addr_hit[5]),
-	.B(n_241),
-	.Y(n_1891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482018 (
-	.A(n_1150),
-	.B(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [2]),
-	.Y(n_1890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482020 (
-	.A(n_1184),
-	.B(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [2]),
-	.Y(n_1889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482021 (
-	.A(n_1134),
-	.B(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [2]),
-	.Y(n_1888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482024 (
-	.A(n_1192),
-	.B(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [2]),
-	.Y(n_1887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482028 (
-	.A(n_1160),
-	.B(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [2]),
-	.Y(n_1886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482029 (
-	.A(n_1170),
-	.B(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [2]),
-	.Y(n_1885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482030 (
-	.A(n_1146),
-	.B(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [2]),
-	.Y(n_1884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482033 (
-	.A(n_1158),
-	.B(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [2]),
-	.Y(n_1883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482040 (
-	.A(n_1142),
-	.B(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [2]),
-	.Y(n_1882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482044 (
-	.A(n_1136),
-	.B(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [2]),
-	.Y(n_1881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482045 (
-	.A(n_1180),
-	.B(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [2]),
-	.Y(n_1880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482047 (
-	.A(n_1182),
-	.B(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [2]),
-	.Y(n_1879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482050 (
-	.A(n_1166),
-	.B(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [2]),
-	.Y(n_1878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482051 (
-	.A(n_1138),
-	.B(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [2]),
-	.Y(n_1877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482052 (
-	.A(soc_top_GPIO_u_reg_addr_hit[4]),
-	.B(n_241),
-	.Y(n_1876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482053 (
-	.A(n_1144),
-	.B(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [2]),
-	.Y(n_1875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482055 (
-	.A(n_1162),
-	.B(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [2]),
-	.Y(n_1874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g482058 (
-	.A_N(n_1201),
-	.B(n_657),
-	.Y(n_1873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482060 (
-	.A(n_1140),
-	.B(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [2]),
-	.Y(n_1872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482063 (
-	.A(n_1148),
-	.B(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [2]),
-	.Y(n_1871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482065 (
-	.A(n_1168),
-	.B(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [2]),
-	.Y(n_1870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482070 (
-	.A(n_1164),
-	.B(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [2]),
-	.Y(n_1869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482074 (
-	.A(n_1178),
-	.B(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [2]),
-	.Y(n_1868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482075 (
-	.A(n_1156),
-	.B(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [2]),
-	.Y(n_1867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482079 (
-	.A(soc_top_u_pwm_pwm_core_period_counter1[15]),
-	.B(n_1394),
-	.Y(n_1866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482085 (
-	.A(n_1190),
-	.B(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [2]),
-	.Y(n_1865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482087 (
-	.A(n_1194),
-	.B(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [2]),
-	.Y(n_1864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482092 (
-	.A(soc_top_u_pwm_pwm_core_period_counter2[15]),
-	.B(n_1391),
-	.Y(n_1863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482094 (
-	.A(n_1172),
-	.B(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [2]),
-	.Y(n_1862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482096 (
-	.A(n_1188),
-	.B(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [2]),
-	.Y(n_1861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482098 (
-	.A(n_1176),
-	.B(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [2]),
-	.Y(n_1860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482100 (
-	.A(n_1174),
-	.B(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [2]),
-	.Y(n_1859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482102 (
-	.A(n_1186),
-	.B(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [2]),
-	.Y(n_1858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482103 (
-	.A(n_1132),
-	.B(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [2]),
-	.Y(n_1857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482105 (
-	.A(n_15086),
-	.B(n_1454),
-	.Y(n_1856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482106 (
-	.A(n_1349),
-	.B(n_410),
-	.Y(n_1855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482107 (
-	.A(n_1388),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
-	.Y(n_1853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482108 (
-	.A(n_1386),
-	.B(n_1412),
-	.Y(n_1852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482109 (
-	.A(n_15060),
-	.B(n_1358),
-	.Y(n_1849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482110 (
-	.A(n_15052),
-	.B(n_1385),
-	.Y(n_1848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482111 (
-	.A(n_17294),
-	.B(n_1397),
-	.Y(n_1847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482112 (
-	.A(n_15032),
-	.B(n_1385),
-	.Y(n_1845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482113 (
-	.A(soc_top_u_pwm_pwm_core_n_149),
-	.B(n_1358),
-	.Y(n_1844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482114 (
-	.A(n_15032),
-	.B(n_241),
-	.Y(n_1842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482115 (
-	.A(n_1386),
-	.B(n_891),
-	.Y(n_1841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482116 (
-	.A(n_17201),
-	.B(n_1385),
-	.Y(n_1839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482117 (
-	.A(n_653),
-	.B(n_1399),
-	.Y(n_1837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482118 (
-	.A(n_1386),
-	.B(n_650),
-	.Y(n_1836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482119 (
-	.A(n_1459),
-	.B(n_14996),
-	.Y(n_1835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482120 (
-	.A(n_1460),
-	.B(n_14995),
-	.Y(n_1834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482121 (
-	.A(n_1460),
-	.B(n_14996),
-	.Y(n_1833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482122 (
-	.A(n_1459),
-	.B(n_14995),
-	.Y(n_1832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482123 (
-	.A(n_15052),
-	.B(n_1399),
-	.Y(n_1831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482124 (
-	.A(n_17201),
-	.B(n_1399),
-	.Y(n_1829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482125 (
-	.A(n_17201),
-	.B(n_241),
-	.Y(n_1827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482126 (
-	.A(n_15032),
-	.B(n_1350),
-	.Y(n_1825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482127 (
-	.A(n_1349),
-	.B(n_891),
-	.Y(n_1823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482128 (
-	.A(soc_top_GPIO_u_reg_addr_hit[4]),
-	.B(n_1350),
-	.Y(n_1822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g482129 (
-	.A(n_1349),
-	.B(n_1455),
-	.X(n_1821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g482130 (
-	.A(n_1349),
-	.B(n_1458),
-	.X(n_1820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g482131 (
-	.A(n_1349),
-	.B(n_1412),
-	.X(n_1819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g482132 (
-	.A(soc_top_u_top_u_core_load_store_unit_i_data_we_q),
-	.B(n_1417),
-	.Y(n_1818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g482133 (
-	.A(n_1488),
-	.Y(n_1724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g482134 (
-	.A(n_1479),
-	.Y(n_1723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g482135 (
-	.A(n_1721),
-	.Y(n_1722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482138 (
-	.A(n_1661),
-	.Y(n_1662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g482139 (
-	.A(n_1636),
-	.Y(n_1635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482140 (
-	.A(n_246),
-	.Y(n_1634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g482141 (
-	.A(n_1633),
-	.Y(n_1632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g482142 (
-	.A(n_1630),
-	.Y(n_1629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g482143 (
-	.A(n_1628),
-	.Y(n_1627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g482144 (
-	.A(n_1626),
-	.Y(n_1625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g482145 (
-	.A(n_1624),
-	.Y(n_1623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g482146 (
-	.A(n_1622),
-	.Y(n_1621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g482147 (
-	.A(n_1620),
-	.Y(n_1619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g482148 (
-	.A(n_1617),
-	.Y(n_1616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482149 (
-	.A1(n_902),
-	.A2(n_16641),
-	.B1(n_365),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
-	.X(n_1614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482150 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1023),
-	.Y(n_1613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482151 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1030),
-	.Y(n_1612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482152 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1015),
-	.Y(n_1611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482153 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1040),
-	.Y(n_1610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482154 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1026),
-	.Y(n_1609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482155 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [14]),
-	.A2(n_816),
-	.B1(soc_top_GPIO_data_in_d[14]),
-	.Y(n_1608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482156 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [31]),
-	.A2(n_560),
-	.B1(soc_top_GPIO_data_in_d[31]),
-	.Y(n_1607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482157 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1043),
-	.Y(n_1606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482158 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [15]),
-	.A2(n_844),
-	.B1(soc_top_GPIO_data_in_d[15]),
-	.Y(n_1605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482159 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1047),
-	.Y(n_1604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482160 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [29]),
-	.A2(n_853),
-	.B1(soc_top_GPIO_data_in_d[29]),
-	.Y(n_1603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482161 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1036),
-	.Y(n_1602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482162 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1048),
-	.Y(n_1601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482163 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1039),
-	.Y(n_1600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482164 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1025),
-	.Y(n_1599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482165 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [11]),
-	.A2(n_774),
-	.B1(soc_top_GPIO_data_in_d[11]),
-	.Y(n_1598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482166 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1034),
-	.Y(n_1597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482167 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1013),
-	.Y(n_1596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482168 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1022),
-	.Y(n_1595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482169 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1020),
-	.Y(n_1594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482170 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1033),
-	.Y(n_1593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482171 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1014),
-	.Y(n_1592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482172 (
-	.A(n_996),
-	.B(n_1449),
-	.Y(n_1591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482173 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1041),
-	.Y(n_1590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482174 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [9]),
-	.A2(n_831),
-	.B1(soc_top_GPIO_data_in_d[9]),
-	.Y(n_1589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482175 (
-	.A1(n_490),
-	.A2(n_936),
-	.B1(n_897),
-	.B2(n_17130),
-	.Y(n_1588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482176 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1029),
-	.Y(n_1587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482177 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [12]),
-	.A2(n_588),
-	.B1(soc_top_GPIO_data_in_d[12]),
-	.Y(n_1586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482178 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [20]),
-	.A2(n_781),
-	.B1(soc_top_GPIO_data_in_d[20]),
-	.Y(n_1585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482179 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [24]),
-	.A2(n_830),
-	.B1(soc_top_GPIO_data_in_d[24]),
-	.Y(n_1584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482180 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [6]),
-	.A2(n_596),
-	.B1(soc_top_GPIO_data_in_d[6]),
-	.Y(n_1583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482181 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1028),
-	.Y(n_1582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482182 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1045),
-	.Y(n_1581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482183 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [1]),
-	.A2(n_591),
-	.B1(soc_top_GPIO_data_in_d[1]),
-	.Y(n_1580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482184 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [3]),
-	.A2(n_843),
-	.B1(soc_top_GPIO_data_in_d[3]),
-	.Y(n_1579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482185 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [16]),
-	.A2(n_836),
-	.B1(soc_top_GPIO_data_in_d[16]),
-	.Y(n_1578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482186 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [26]),
-	.A2(n_561),
-	.B1(soc_top_GPIO_data_in_d[26]),
-	.Y(n_1577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482187 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [8]),
-	.A2(n_785),
-	.B1(soc_top_GPIO_data_in_d[8]),
-	.Y(n_1576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482188 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1042),
-	.Y(n_1575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482189 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [2]),
-	.A2(n_817),
-	.B1(soc_top_GPIO_data_in_d[2]),
-	.Y(n_1574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482190 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1032),
-	.Y(n_1573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482191 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1017),
-	.Y(n_1572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482192 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1027),
-	.Y(n_1571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482193 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [21]),
-	.A2(n_824),
-	.B1(soc_top_GPIO_data_in_d[21]),
-	.Y(n_1570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482194 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1038),
-	.Y(n_1569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482195 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1031),
-	.Y(n_1568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482196 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1046),
-	.Y(n_1567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482197 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1018),
-	.Y(n_1566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482198 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1024),
-	.Y(n_1565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482199 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [22]),
-	.A2(n_770),
-	.B1(soc_top_GPIO_data_in_d[22]),
-	.Y(n_1564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482200 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [4]),
-	.A2(n_607),
-	.B1(soc_top_GPIO_data_in_d[4]),
-	.Y(n_1563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482201 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [17]),
-	.A2(n_556),
-	.B1(soc_top_GPIO_data_in_d[17]),
-	.Y(n_1562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482202 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1037),
-	.Y(n_1561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482203 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [5]),
-	.A2(n_559),
-	.B1(soc_top_GPIO_data_in_d[5]),
-	.Y(n_1560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482204 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [23]),
-	.A2(n_792),
-	.B1(soc_top_GPIO_data_in_d[23]),
-	.Y(n_1559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482205 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1035),
-	.Y(n_1558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482206 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [25]),
-	.A2(n_855),
-	.B1(soc_top_GPIO_data_in_d[25]),
-	.Y(n_1557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482207 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1016),
-	.Y(n_1556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482208 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [30]),
-	.A2(n_592),
-	.B1(soc_top_GPIO_data_in_d[30]),
-	.Y(n_1555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482209 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [7]),
-	.A2(n_867),
-	.B1(soc_top_GPIO_data_in_d[7]),
-	.Y(n_1554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482210 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [28]),
-	.A2(n_565),
-	.B1(soc_top_GPIO_data_in_d[28]),
-	.Y(n_1553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482211 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [27]),
-	.A2(n_848),
-	.B1(soc_top_GPIO_data_in_d[27]),
-	.Y(n_1552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482212 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [13]),
-	.A2(n_820),
-	.B1(soc_top_GPIO_data_in_d[13]),
-	.Y(n_1551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482213 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1044),
-	.Y(n_1550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482214 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1021),
-	.Y(n_1549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482215 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [19]),
-	.A2(n_825),
-	.B1(soc_top_GPIO_data_in_d[19]),
-	.Y(n_1548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482216 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_1019),
-	.Y(n_1547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482217 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [0]),
-	.A2(n_773),
-	.B1(soc_top_GPIO_data_in_d[0]),
-	.Y(n_1546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482218 (
-	.A1(n_620),
-	.A2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [0]),
-	.B1(n_619),
-	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [0]),
-	.Y(n_1545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482219 (
-	.A1(n_873),
-	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [5]),
-	.B1(n_627),
-	.B2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [5]),
-	.Y(n_1544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482220 (
-	.A1(n_873),
-	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [24]),
-	.B1(n_619),
-	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [24]),
-	.Y(n_1543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482221 (
-	.A(n_377),
-	.B(n_1325),
-	.Y(n_1542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482222 (
-	.A1(n_873),
-	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [17]),
-	.B1(n_627),
-	.B2(\soc_top_timer0_reg2hw[cfg0][step][q] [1]),
-	.Y(n_1541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482223 (
-	.A1(n_873),
-	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [25]),
-	.B1(n_619),
-	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [25]),
-	.Y(n_1540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482224 (
-	.A1(n_873),
-	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [22]),
-	.B1(n_620),
-	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [22]),
-	.Y(n_1539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482225 (
-	.A1(n_874),
-	.A2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [4]),
-	.B1(n_619),
-	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [4]),
-	.Y(n_1538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482226 (
-	.A1(n_873),
-	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [28]),
-	.B1(n_619),
-	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [28]),
-	.Y(n_1537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482227 (
-	.A1(n_873),
-	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [21]),
-	.B1(n_620),
-	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [21]),
-	.Y(n_1536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482228 (
-	.A1(n_620),
-	.A2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [27]),
-	.B1(n_17360),
-	.B2(\soc_top_timer_to_xbar[d_data] [27]),
-	.Y(n_1535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482229 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_994),
-	.Y(n_1534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482230 (
-	.A1_N(soc_top_u_top_u_core_fp_alu_op_mod),
-	.A2_N(n_726),
-	.B1(soc_top_u_top_u_core_fp_alu_op_mod),
-	.B2(n_726),
-	.Y(n_1533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482231 (
-	.A1(n_873),
-	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [30]),
-	.B1(n_619),
-	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [30]),
-	.Y(n_1532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g482232 (
-	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[5]),
-	.A2(n_18153),
-	.B1(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2860),
-	.X(n_1531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482233 (
-	.A1(n_874),
-	.A2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [1]),
-	.B1(n_619),
-	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [1]),
-	.Y(n_1530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482234 (
-	.A1(n_874),
-	.A2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [31]),
-	.B1(n_620),
-	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [31]),
-	.Y(n_1529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482235 (
-	.A1(n_873),
-	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [10]),
-	.B1(n_627),
-	.B2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [10]),
-	.Y(n_1528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482236 (
-	.A1(n_902),
-	.A2(n_16643),
-	.B1(n_365),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
-	.X(n_1527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482237 (
-	.A1(n_873),
-	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [8]),
-	.B1(n_627),
-	.B2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [8]),
-	.Y(n_1526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482238 (
-	.A1(n_874),
-	.A2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [2]),
-	.B1(n_619),
-	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [2]),
-	.Y(n_1525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482239 (
-	.A1(n_874),
-	.A2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [3]),
-	.B1(n_619),
-	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [3]),
-	.Y(n_1524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482240 (
-	.A1(n_873),
-	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [18]),
-	.B1(n_627),
-	.B2(\soc_top_timer0_reg2hw[cfg0][step][q] [2]),
-	.Y(n_1523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482241 (
-	.A1(n_873),
-	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [7]),
-	.B1(n_627),
-	.B2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [7]),
-	.Y(n_1522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482242 (
-	.A1(n_902),
-	.A2(n_16644),
-	.B1(n_365),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
-	.X(n_1521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482243 (
-	.A1(n_873),
-	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [9]),
-	.B1(n_627),
-	.B2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [9]),
-	.Y(n_1520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482244 (
-	.A1(n_873),
-	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [11]),
-	.B1(n_627),
-	.B2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [11]),
-	.Y(n_1519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482245 (
-	.A1(n_873),
-	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [12]),
-	.B1(n_619),
-	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [12]),
-	.Y(n_1518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482246 (
-	.A1(n_873),
-	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [15]),
-	.B1(n_619),
-	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [15]),
-	.Y(n_1517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482247 (
-	.A1(n_873),
-	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [26]),
-	.B1(n_619),
-	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [26]),
-	.Y(n_1516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482248 (
-	.A1(n_873),
-	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [19]),
-	.B1(n_620),
-	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [19]),
-	.Y(n_1515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482249 (
-	.A1(n_873),
-	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [23]),
-	.B1(n_620),
-	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [23]),
-	.Y(n_1514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482250 (
-	.A1(n_873),
-	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [29]),
-	.B1(n_619),
-	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [29]),
-	.Y(n_1513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482251 (
-	.A1(n_874),
-	.A2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [16]),
-	.B1(n_619),
-	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [16]),
-	.Y(n_1512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482252 (
-	.A1(n_873),
-	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [6]),
-	.B1(n_627),
-	.B2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [6]),
-	.Y(n_1511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482253 (
-	.A1(soc_top_u_top_u_core_lsu_wdata[23]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_18 ),
-	.B1(n_979),
-	.Y(n_1510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482254 (
-	.A1(n_16645),
-	.A2(n_894),
-	.B1(n_1001),
-	.Y(n_1509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482255 (
-	.A1(n_902),
-	.A2(n_16642),
-	.B1(n_365),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
-	.X(n_1508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482256 (
-	.A1(soc_top_u_pwm_pwm_core_period_counter1[2]),
-	.A2(n_762),
-	.B1(soc_top_u_pwm_pwm_core_period_counter1[1]),
-	.B2(n_500),
-	.Y(n_1507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482257 (
-	.A1(n_873),
-	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [13]),
-	.B1(n_619),
-	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [13]),
-	.Y(n_1506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g482258 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][2] ),
-	.A2(FE_DBTN4_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_exponent_2),
-	.B1(FE_DBTN15_soc_top_u_top_u_core_lsu_wdata_24),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][1] ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_22 ),
-	.C2(soc_top_u_top_u_core_lsu_wdata[26]),
-	.Y(n_1505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g482259 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
-	.A2(n_365),
-	.B1(n_375),
-	.B2(n_16632),
-	.C1(n_16648),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
-	.Y(n_1504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221a_1 g482260 (
-	.A1(soc_top_u_top_u_core_load_store_unit_i_lsu_err_q),
-	.A2(n_445),
-	.B1(\soc_top_xbar_to_lsu[d_error] ),
-	.B2(n_15080),
-	.C1(n_15081),
-	.X(n_1503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482261 (
-	.A(n_856),
-	.B(n_1209),
-	.Y(n_1502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g482262 (
-	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.A2(n_921),
-	.B1(n_1420),
-	.X(n_1501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g482263 (
-	.A1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.A2(n_903),
-	.B1(n_1413),
-	.X(n_1500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g482264 (
-	.A1(\soc_top_u_top_u_core_fp_operands[0] [4]),
-	.A2(n_18281),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [6]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_0 ),
-	.C1(n_1052),
-	.Y(n_1499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g482265 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [2]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_23 ),
-	.B1(n_440),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [0]),
-	.C1(n_532),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [1]),
-	.Y(n_1498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g482267 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_27 ),
-	.A2(soc_top_u_top_u_core_lsu_wdata[30]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_6 ),
-	.B2(soc_top_u_top_u_core_lsu_wdata[29]),
-	.C1(n_975),
-	.Y(n_1496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482268 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [10]),
-	.A2(n_786),
-	.B1(soc_top_GPIO_data_in_d[10]),
-	.Y(n_1495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g482270 (
-	.A1(\soc_top_u_top_u_core_fp_operands[0] [9]),
-	.A2(n_18263),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_24 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [10]),
-	.C1(n_535),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [8]),
-	.Y(n_1494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g482271 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [4]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [1]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]),
-	.Y(n_1493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g482272 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [4]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [5]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [6]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [8]),
-	.Y(n_1492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482273 (
-	.A1(n_949),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [0]),
-	.B1(n_920),
-	.Y(n_1491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482274 (
-	.A1(n_873),
-	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [14]),
-	.B1(n_619),
-	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [14]),
-	.Y(n_1490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g482275 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
-	.A2(n_461),
-	.B1(n_16648),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
-	.C1(n_365),
-	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
-	.Y(n_1489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g482276 (
-	.A1(n_16628),
-	.A2(n_375),
-	.B1(n_461),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
-	.C1(n_895),
-	.C2(n_16644),
-	.Y(n_1488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g482277 (
-	.A1(n_16625),
-	.A2(n_375),
-	.B1(n_461),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
-	.C1(n_895),
-	.C2(n_16641),
-	.Y(n_1487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482278 (
-	.A(n_1298),
-	.B(soc_top_u_pwm_pwm_core_period_2[5]),
-	.Y(n_1486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482279 (
-	.A(n_1303),
-	.B(soc_top_u_pwm_pwm_core_DC_1[9]),
-	.Y(n_1485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482280 (
-	.A(n_1297),
-	.B(soc_top_u_pwm_pwm_core_DC_2[9]),
-	.Y(n_1484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482282 (
-	.A(n_1296),
-	.B(soc_top_u_pwm_pwm_core_period[5]),
-	.Y(n_1483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2i_1 g482283 (
-	.A0(n_18408),
-	.A1(soc_top_u_top_u_core_valid_id_fpu),
-	.S(n_764),
-	.Y(n_1482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g482284 (
-	.A(n_705),
-	.B(n_490),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [0]),
-	.Y(n_1481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g482285 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [4]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
-	.Y(n_1480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g482286 (
-	.A1(n_16626),
-	.A2(n_375),
-	.B1(n_461),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
-	.C1(n_895),
-	.C2(n_16642),
-	.Y(n_1479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g482287 (
-	.A1(n_16627),
-	.A2(n_375),
-	.B1(n_461),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
-	.C1(n_895),
-	.C2(n_16643),
-	.Y(n_1478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g482288 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_13 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [8]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_15 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [9]),
-	.C1(n_986),
-	.Y(n_1477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g482289 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [12]),
-	.A2(n_533),
-	.B1(FE_DBTN22_soc_top_u_top_u_core_fp_operands_0_14),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [14]),
-	.C1(n_441),
-	.C2(\soc_top_u_top_u_core_fp_operands[0] [13]),
-	.Y(n_1476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g482290 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_4 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [12]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_7 ),
-	.B2(soc_top_u_top_u_core_lsu_wdata[13]),
-	.C1(n_1051),
-	.Y(n_1475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482291 (
-	.A1(n_873),
-	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [20]),
-	.B1(n_620),
-	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [20]),
-	.Y(n_1474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g482293 (
-	.A1(\soc_top_u_top_u_core_fp_operands[0] [16]),
-	.A2(n_18258),
-	.B1(\soc_top_u_top_u_core_fp_operands[0] [17]),
-	.B2(n_433),
-	.C1(n_1088),
-	.Y(n_1473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482294 (
-	.A1(n_937),
-	.A2(n_943),
-	.B1(n_956),
-	.Y(n_1472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482295 (
-	.A1(n_635),
-	.A2(n_18155),
-	.B1(n_1387),
-	.Y(n_1471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g482296 (
-	.A(n_525),
-	.B(\soc_top_timer0_gen_harts[0].u_core_tick_count [0]),
-	.C(n_751),
-	.X(n_1470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g482297 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [4]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [5]),
-	.C(\soc_top_u_top_u_core_fp_operands[0] [6]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [8]),
-	.Y(n_1469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g482298 (
-	.A(soc_top_u_top_u_core_id_stage_i_ecall_insn_dec),
-	.B(soc_top_u_top_u_core_id_stage_i_ebrk_insn),
-	.C(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec),
-	.D(soc_top_u_top_u_core_illegal_csr_insn_id),
-	.Y(n_1468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g482299 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [1]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
-	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
-	.D(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
-	.X(n_1467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g482300 (
-	.A(n_17264),
-	.B(n_17266),
-	.C(n_17267),
-	.D(n_17265),
-	.X(n_1466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g482301 (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_fpu_norm_U0_n_44 ),
-	.B(n_15048),
-	.C(n_16652),
-	.D(n_604),
-	.Y(n_1721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g482302 (
-	.A(n_903),
-	.B(n_14994),
-	.C(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(n_1720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g482303 (
-	.A1(n_529),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[15]),
-	.B1(soc_top_u_pwm_pwm_core_DC_2[14]),
-	.B2(n_384),
-	.C1(soc_top_u_pwm_pwm_core_DC_2[13]),
-	.C2(n_496),
-	.Y(n_1719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g482304 (
-	.A1(n_315),
-	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [2]),
-	.B1(n_310),
-	.B2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [1]),
-	.C1(n_739),
-	.Y(n_1718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g482305 (
-	.A1(soc_top_u_pwm_pwm_core_period_counter2[5]),
-	.A2(n_540),
-	.B1(n_1207),
-	.X(n_1717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g482306 (
-	.A(n_918),
-	.B(n_933),
-	.C(n_554),
-	.Y(n_1716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g482307 (
-	.A1(n_461),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
-	.B1(n_16648),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
-	.C1(n_997),
-	.Y(n_1715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_0 g482308 (
-	.A1(soc_top_u_pwm_pwm_core_period[12]),
-	.A2(n_393),
-	.B1_N(n_1208),
-	.Y(n_1714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_0 g482309 (
-	.A1(soc_top_u_pwm_pwm_core_DC_1[5]),
-	.A2(n_392),
-	.B1_N(n_1216),
-	.Y(n_1713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g482310 (
-	.A(n_922),
-	.B(n_939),
-	.C(n_589),
-	.Y(n_1712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g482311 (
-	.A(n_727),
-	.B(n_758),
-	.C(n_242),
-	.Y(n_1711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221a_2 g482312 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [0]),
-	.A2(n_15069),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [1]),
-	.B2(n_548),
-	.C1(n_1418),
-	.X(n_1710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g482313 (
-	.A1(n_375),
-	.A2(n_16640),
-	.B1(n_365),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
-	.C1(n_962),
-	.X(n_1709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g482314 (
-	.A1(n_461),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
-	.B1(n_16648),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
-	.C1(n_965),
-	.Y(n_1708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g482315 (
-	.A1(n_375),
-	.A2(n_16639),
-	.B1(n_365),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
-	.C1(n_964),
-	.Y(n_1707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g482316 (
-	.A1(n_375),
-	.A2(n_16638),
-	.B1(n_365),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
-	.C1(n_995),
-	.X(n_1706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g482317 (
-	.A1(n_375),
-	.A2(n_16637),
-	.B1(n_365),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
-	.C1(n_993),
-	.Y(n_1705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g482318 (
-	.A1(n_375),
-	.A2(n_16636),
-	.B1(n_365),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
-	.C1(n_992),
-	.Y(n_1704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g482319 (
-	.A1(n_375),
-	.A2(n_16635),
-	.B1(n_365),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
-	.C1(n_960),
-	.Y(n_1703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g482320 (
-	.A1(n_375),
-	.A2(n_16634),
-	.B1(n_365),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
-	.C1(n_983),
-	.X(n_1702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g482321 (
-	.A1(n_375),
-	.A2(n_16633),
-	.B1(n_365),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
-	.C1(n_991),
-	.Y(n_1701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482322 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[11]),
-	.B(n_771),
-	.C(soc_top_intr_gpio[10]),
-	.Y(n_1700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g482323 (
-	.A1(n_489),
-	.A2(soc_top_u_pwm_pwm_core_period[11]),
-	.B1(soc_top_u_pwm_pwm_core_period[10]),
-	.B2(n_400),
-	.C1(soc_top_u_pwm_pwm_core_period[9]),
-	.C2(n_395),
-	.Y(n_1699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g482324 (
-	.A1(n_501),
-	.A2(soc_top_u_pwm_pwm_core_period_2[11]),
-	.B1(soc_top_u_pwm_pwm_core_period_2[10]),
-	.B2(n_402),
-	.C1(soc_top_u_pwm_pwm_core_period_2[9]),
-	.C2(n_502),
-	.Y(n_1698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g482325 (
-	.A1(n_461),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
-	.B1(n_16648),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
-	.C1(n_971),
-	.Y(n_1697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482326 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[16]),
-	.B(n_789),
-	.C(soc_top_intr_gpio[15]),
-	.Y(n_1696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482327 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[6]),
-	.B(n_851),
-	.C(soc_top_intr_gpio[5]),
-	.Y(n_1695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g482328 (
-	.A1(n_419),
-	.A2(soc_top_u_pwm_pwm_core_DC_1[15]),
-	.B1(soc_top_u_pwm_pwm_core_DC_1[14]),
-	.B2(n_497),
-	.C1(soc_top_u_pwm_pwm_core_DC_1[13]),
-	.C2(n_389),
-	.Y(n_1694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482329 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[19]),
-	.B(n_606),
-	.C(soc_top_intr_gpio[18]),
-	.Y(n_1693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482330 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[29]),
-	.B(n_862),
-	.C(soc_top_intr_gpio[28]),
-	.Y(n_1692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482331 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[30]),
-	.B(n_812),
-	.C(soc_top_intr_gpio[29]),
-	.Y(n_1691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482332 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[35]),
-	.B(n_857),
-	.C(soc_top_intr_srx),
-	.Y(n_1690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482333 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[34]),
-	.B(n_858),
-	.C(soc_top_intr_stx),
-	.Y(n_1689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482334 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[33]),
-	.B(n_577),
-	.C(soc_top_intr_u_tx),
-	.Y(n_1688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482335 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[32]),
-	.B(n_813),
-	.C(soc_top_intr_gpio[31]),
-	.Y(n_1687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482336 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[31]),
-	.B(n_861),
-	.C(soc_top_intr_gpio[30]),
-	.Y(n_1686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482337 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[28]),
-	.B(n_811),
-	.C(soc_top_intr_gpio[27]),
-	.Y(n_1685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482338 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[27]),
-	.B(n_865),
-	.C(soc_top_intr_gpio[26]),
-	.Y(n_1684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482339 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[26]),
-	.B(n_868),
-	.C(soc_top_intr_gpio[25]),
-	.Y(n_1683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482340 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[25]),
-	.B(n_809),
-	.C(soc_top_intr_gpio[24]),
-	.Y(n_1682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482341 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[24]),
-	.B(n_806),
-	.C(soc_top_intr_gpio[23]),
-	.Y(n_1681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482342 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[23]),
-	.B(n_612),
-	.C(soc_top_intr_gpio[22]),
-	.Y(n_1680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482343 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[22]),
-	.B(n_609),
-	.C(soc_top_intr_gpio[21]),
-	.Y(n_1679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482344 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[21]),
-	.B(n_796),
-	.C(soc_top_intr_gpio[20]),
-	.Y(n_1678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482345 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[20]),
-	.B(n_793),
-	.C(soc_top_intr_gpio[19]),
-	.Y(n_1677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482346 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[18]),
-	.B(n_791),
-	.C(soc_top_intr_gpio[17]),
-	.Y(n_1676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482347 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[17]),
-	.B(n_603),
-	.C(soc_top_intr_gpio[16]),
-	.Y(n_1675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482348 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[15]),
-	.B(n_788),
-	.C(soc_top_intr_gpio[14]),
-	.Y(n_1674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482349 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[14]),
-	.B(n_787),
-	.C(soc_top_intr_gpio[13]),
-	.Y(n_1673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482350 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[13]),
-	.B(n_784),
-	.C(soc_top_intr_gpio[12]),
-	.Y(n_1672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482351 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[12]),
-	.B(n_783),
-	.C(soc_top_intr_gpio[11]),
-	.Y(n_1671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482352 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[10]),
-	.B(n_595),
-	.C(soc_top_intr_gpio[9]),
-	.Y(n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482353 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[9]),
-	.B(n_562),
-	.C(soc_top_intr_gpio[8]),
-	.Y(n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482354 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[8]),
-	.B(n_780),
-	.C(soc_top_intr_gpio[7]),
-	.Y(n_1668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482355 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[7]),
-	.B(n_601),
-	.C(soc_top_intr_gpio[6]),
-	.Y(n_1667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482356 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[5]),
-	.B(n_819),
-	.C(soc_top_intr_gpio[4]),
-	.Y(n_1666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482357 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[4]),
-	.B(n_566),
-	.C(soc_top_intr_gpio[3]),
-	.Y(n_1665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482358 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[2]),
-	.B(n_777),
-	.C(soc_top_intr_gpio[1]),
-	.Y(n_1664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482359 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[1]),
-	.B(n_841),
-	.C(soc_top_intr_gpio[0]),
-	.Y(n_1663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4b_1 g482360 (
-	.A(soc_top_u_top_u_core_load_store_unit_i_data_we_q),
-	.B(n_18236),
-	.C(soc_top_u_top_u_core_load_store_unit_i_data_or_pmp_err),
-	.D_N(\soc_top_xbar_to_lsu[d_valid] ),
-	.Y(n_1661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482361 (
-	.A_N(soc_top_intr_controller_u_gateway_ia[3]),
-	.B(n_779),
-	.C(soc_top_intr_gpio[2]),
-	.Y(n_1660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g482362 (
-	.A(n_904),
-	.B(n_951),
-	.C(n_553),
-	.Y(n_1659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482363 (
-	.A1(n_15066),
-	.A2(n_897),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_done ),
-	.B2(n_15073),
-	.Y(n_1658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482364 (
-	.A(n_1154),
-	.B(n_1130),
-	.Y(n_1657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g482365 (
-	.A1(n_461),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
-	.B1(n_16648),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
-	.C1(n_988),
-	.Y(n_1656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482366 (
-	.A1(n_887),
-	.A2(soc_top_u_iccm_dout_1[7]),
-	.B1(n_886),
-	.B2(soc_top_u_iccm_dout_2[7]),
-	.X(n_1655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482367 (
-	.A(n_1354),
-	.B(n_484),
-	.Y(n_1654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482368 (
-	.A1(n_885),
-	.A2(soc_top_u_iccm_dout_1[18]),
-	.B1(n_883),
-	.B2(soc_top_u_iccm_dout_2[18]),
-	.X(n_1653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482369 (
-	.A1(n_885),
-	.A2(soc_top_u_iccm_dout_1[22]),
-	.B1(n_883),
-	.B2(soc_top_u_iccm_dout_2[22]),
-	.X(n_1652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g482370 (
-	.A1(n_549),
-	.A2(soc_top_iccm_adapter_inst_mem_u_sramreqfifo_n_136),
-	.B1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
-	.C1(n_948),
-	.Y(n_1651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482371 (
-	.A(n_1153),
-	.B(n_1130),
-	.Y(n_1650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482372 (
-	.A1(n_887),
-	.A2(soc_top_u_iccm_dout_1[4]),
-	.B1(n_886),
-	.B2(soc_top_u_iccm_dout_2[4]),
-	.X(n_1649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482374 (
-	.A1(n_885),
-	.A2(soc_top_u_iccm_dout_1[20]),
-	.B1(n_883),
-	.B2(soc_top_u_iccm_dout_2[20]),
-	.X(n_1647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482375 (
-	.A1(n_885),
-	.A2(soc_top_u_iccm_dout_1[21]),
-	.B1(n_883),
-	.B2(soc_top_u_iccm_dout_2[21]),
-	.X(n_1646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482376 (
-	.A1(n_885),
-	.A2(soc_top_u_iccm_dout_1[23]),
-	.B1(n_883),
-	.B2(soc_top_u_iccm_dout_2[23]),
-	.X(n_1645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482377 (
-	.A(n_17462),
-	.B(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(n_1644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482378 (
-	.A(n_1349),
-	.B(n_650),
-	.Y(n_1643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482379 (
-	.A1(n_885),
-	.A2(soc_top_u_iccm_dout_1[19]),
-	.B1(n_883),
-	.B2(soc_top_u_iccm_dout_2[19]),
-	.X(n_1642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482380 (
-	.A1(n_887),
-	.A2(soc_top_u_iccm_dout_1[2]),
-	.B1(n_886),
-	.B2(soc_top_u_iccm_dout_2[2]),
-	.X(n_1641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482381 (
-	.A1(n_887),
-	.A2(soc_top_u_iccm_dout_1[3]),
-	.B1(n_886),
-	.B2(soc_top_u_iccm_dout_2[3]),
-	.X(n_1640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482382 (
-	.A1(n_887),
-	.A2(soc_top_u_iccm_dout_1[5]),
-	.B1(n_886),
-	.B2(soc_top_u_iccm_dout_2[5]),
-	.X(n_1639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482383 (
-	.A1(n_887),
-	.A2(soc_top_u_iccm_dout_1[6]),
-	.B1(n_886),
-	.B2(soc_top_u_iccm_dout_2[6]),
-	.X(n_1638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g482384 (
-	.A(soc_top_u_spi_host_spi_host_tip),
-	.B(n_379),
-	.C(n_15190),
-	.D(n_14992),
-	.Y(n_1637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482385 (
-	.A(n_671),
-	.B(n_1377),
-	.Y(n_1636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482387 (
-	.A(n_649),
-	.B(n_241),
-	.Y(n_1633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g482388 (
-	.A(soc_top_u_pwm_pwm_core_n_1288),
-	.B(n_369),
-	.C(n_1353),
-	.Y(n_1631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482389 (
-	.A(n_1347),
-	.B(n_486),
-	.Y(n_1630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482390 (
-	.A(n_649),
-	.B(n_1399),
-	.Y(n_1628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482391 (
-	.A(n_1347),
-	.B(n_374),
-	.Y(n_1626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482392 (
-	.A(n_653),
-	.B(n_241),
-	.Y(n_1624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482393 (
-	.A(n_1347),
-	.B(n_364),
-	.Y(n_1622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482394 (
-	.A(n_1347),
-	.B(n_369),
-	.Y(n_1620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482395 (
-	.A(n_15062),
-	.B(n_1353),
-	.Y(n_1618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g482396 (
-	.A(n_329),
-	.B(FE_DBTN26_soc_top_lsu_to_xbar_a_address_31),
-	.C(\soc_top_u_top_u_core_imd_val_q_ex[0] [31]),
-	.X(n_1617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482397 (
-	.A(n_1265),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [0]),
-	.Y(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482399 (
-	.A(n_1422),
-	.Y(n_1423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g482400 (
-	.A(n_1416),
-	.Y(n_1417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g482402 (
-	.A(n_1395),
-	.Y(n_1396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482403 (
-	.A(n_1394),
-	.Y(n_1393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482404 (
-	.A(n_1391),
-	.Y(n_1390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g482405 (
-	.A(n_1388),
-	.Y(n_1387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g482406 (
-	.A(n_1386),
-	.Y(n_1385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g482407 (
-	.A(n_1383),
-	.Y(n_1382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g482408 (
-	.A(n_1381),
-	.Y(n_1380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g482409 (
-	.A(n_1379),
-	.Y(n_1378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482410 (
-	.A(n_1377),
-	.Y(n_1376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g482411 (
-	.A(n_1375),
-	.Y(n_1374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g482412 (
-	.A(n_1373),
-	.Y(n_1372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g482413 (
-	.A(n_1371),
-	.Y(n_1370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g482414 (
-	.A(n_1368),
-	.Y(n_1369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g482415 (
-	.A(n_1367),
-	.Y(n_1366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g482416 (
-	.A(n_1365),
-	.Y(n_1364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482417 (
-	.A(n_1358),
-	.Y(n_1357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g482418 (
-	.A(n_1356),
-	.Y(n_1355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g482419 (
-	.A(n_1354),
-	.Y(n_1353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g482421 (
-	.A(n_1350),
-	.Y(n_1349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g482422 (
-	.A(n_1348),
-	.Y(n_1347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482423 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [19]),
-	.A2(soc_top_GPIO_data_in_q[19]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [19]),
-	.Y(n_1346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482424 (
-	.A(n_14944),
-	.B(n_651),
-	.Y(n_1345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482425 (
-	.A1(\soc_top_u_top_u_core_fp_operands[0] [20]),
-	.A2(n_435),
-	.B1(\soc_top_u_top_u_core_fp_operands[0] [21]),
-	.B2(n_531),
-	.Y(n_1344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482426 (
-	.A(n_633),
-	.B(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [28]),
-	.Y(n_1343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482427 (
-	.A1(n_450),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
-	.B1(n_14864),
-	.B2(n_321),
-	.Y(n_1342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482428 (
-	.A(n_634),
-	.B(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [10]),
-	.Y(n_1341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482429 (
-	.A(n_619),
-	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [22]),
-	.Y(n_1340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482430 (
-	.A(n_619),
-	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [21]),
-	.Y(n_1339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482431 (
-	.A(n_619),
-	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [19]),
-	.Y(n_1338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482432 (
-	.A(n_873),
-	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [16]),
-	.Y(n_1337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482433 (
-	.A1_N(\soc_top_u_top_u_core_fp_operands[0] [16]),
-	.A2_N(n_18258),
-	.B1(FE_DBTN12_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_mantissa_18),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [18]),
-	.Y(n_1336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482434 (
-	.A(n_619),
-	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [20]),
-	.Y(n_1335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482435 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [20]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_3 ),
-	.B1(soc_top_u_top_u_core_lsu_wdata[21]),
-	.B2(FE_DBTN24_soc_top_u_top_u_core_fp_operands_0_21),
-	.Y(n_1334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482436 (
-	.A(n_619),
-	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [23]),
-	.Y(n_1333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482437 (
-	.A(n_873),
-	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [1]),
-	.Y(n_1332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482438 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [0]),
-	.A2(n_440),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [2]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_23 ),
-	.Y(n_1331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482439 (
-	.A(n_633),
-	.B(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [29]),
-	.Y(n_1330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482440 (
-	.A(n_634),
-	.B(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [9]),
-	.Y(n_1329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482441 (
-	.A(n_617),
-	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[1]),
-	.Y(n_1328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482442 (
-	.A(n_873),
-	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [2]),
-	.Y(n_1327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482443 (
-	.A(n_617),
-	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[24]),
-	.Y(n_1326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g482444 (
-	.A(n_15125),
-	.B(n_15080),
-	.C(n_445),
-	.Y(n_1325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482445 (
-	.A1(n_319),
-	.A2(\soc_top_xbar_to_timer[a_data] [26]),
-	.B1(n_676),
-	.Y(n_1324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482446 (
-	.A(n_633),
-	.B(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [18]),
-	.Y(n_1323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482447 (
-	.A(n_873),
-	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [3]),
-	.Y(n_1322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g482448 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[31]),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_3081),
-	.C_N(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
-	.Y(n_1321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g482449 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
-	.C_N(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
-	.Y(n_1320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482450 (
-	.A1(n_349),
-	.A2(\soc_top_xbar_to_timer[a_data] [27]),
-	.B1(n_663),
-	.Y(n_1319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482452 (
-	.A1(n_356),
-	.A2(\soc_top_xbar_to_timer[a_data] [28]),
-	.B1(n_659),
-	.Y(n_1317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482453 (
-	.A(n_633),
-	.B(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [27]),
-	.Y(n_1316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482454 (
-	.A(n_633),
-	.B(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [31]),
-	.Y(n_1315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g482455 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][1] ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][2] ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][4] ),
-	.X(n_1314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482456 (
-	.A(n_633),
-	.B(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [30]),
-	.Y(n_1313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g482457 (
-	.A(soc_top_u_top_u_core_csr_restore_mret_id),
-	.B(soc_top_u_top_u_core_pc_mux_id[2]),
-	.C_N(soc_top_u_top_u_core_priv_mode_id[0]),
-	.Y(n_1312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482458 (
-	.A(n_873),
-	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [4]),
-	.Y(n_1311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482459 (
-	.A(n_634),
-	.B(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [11]),
-	.Y(n_1310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482460 (
-	.A(n_633),
-	.B(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [26]),
-	.Y(n_1309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482461 (
-	.A(n_617),
-	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[2]),
-	.Y(n_1308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482462 (
-	.A(n_648),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[1]),
-	.Y(n_1307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482463 (
-	.A(n_624),
-	.B(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [6]),
-	.Y(n_1306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482464 (
-	.A(n_617),
-	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[8]),
-	.Y(n_1305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482466 (
-	.A(n_904),
-	.B(n_951),
-	.Y(n_1303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482467 (
-	.A1(n_345),
-	.A2(\soc_top_xbar_to_timer[a_data] [30]),
-	.B1(n_662),
-	.Y(n_1302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482468 (
-	.A1(n_309),
-	.A2(\soc_top_xbar_to_timer[a_data] [29]),
-	.B1(n_660),
-	.Y(n_1301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482469 (
-	.A(n_627),
-	.B(\soc_top_timer0_reg2hw[cfg0][prescale][q] [0]),
-	.Y(n_1300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482470 (
-	.A(soc_top_u_pwm_pwm_core_period_counter1[11]),
-	.B(n_934),
-	.Y(n_1299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482471 (
-	.A(n_727),
-	.B(n_758),
-	.Y(n_1298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482472 (
-	.A(n_922),
-	.B(n_939),
-	.Y(n_1297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482473 (
-	.A(n_918),
-	.B(n_933),
-	.Y(n_1296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g482474 (
-	.A(n_741),
-	.B(n_418),
-	.X(n_1295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g482475 (
-	.A(n_942),
-	.B(n_523),
-	.X(n_1294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g482476 (
-	.A(n_955),
-	.B(n_414),
-	.X(n_1293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g482477 (
-	.A(n_415),
-	.B(n_757),
-	.X(n_1292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g482478 (
-	.A1(n_15258),
-	.A2(n_357),
-	.B1_N(n_910),
-	.Y(n_1291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g482479 (
-	.A1(n_15424),
-	.A2(n_358),
-	.B1_N(n_930),
-	.Y(n_1290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g482480 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[12]),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2812),
-	.C(n_14969),
-	.X(n_1289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482481 (
-	.A1(n_346),
-	.A2(\soc_top_xbar_to_timer[a_data] [31]),
-	.B1(n_661),
-	.Y(n_1288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482482 (
-	.A_N(n_16654),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_fpu_norm_U0_n_22 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_a_S ),
-	.Y(n_1287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482483 (
-	.A1(n_15425),
-	.A2(n_322),
-	.B1(n_913),
-	.Y(n_1286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g482484 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
-	.C(n_15648),
-	.X(n_1285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g482485 (
-	.A(n_14886),
-	.B(n_15068),
-	.C(n_14975),
-	.Y(n_1284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g482486 (
-	.A(soc_top_u_top_u_core_csr_restore_mret_id),
-	.B(soc_top_u_top_u_core_pc_mux_id[2]),
-	.C_N(soc_top_u_top_u_core_priv_mode_id[1]),
-	.Y(n_1283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482487 (
-	.A1(n_348),
-	.A2(\soc_top_xbar_to_timer[a_data] [22]),
-	.B1(n_668),
-	.Y(n_1282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482488 (
-	.A1(n_355),
-	.A2(\soc_top_xbar_to_timer[a_data] [23]),
-	.B1(n_667),
-	.Y(n_1281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482489 (
-	.A1(n_313),
-	.A2(\soc_top_xbar_to_timer[a_data] [21]),
-	.B1(n_669),
-	.Y(n_1280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482490 (
-	.A(n_947),
-	.B(n_18154),
-	.Y(n_1279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482491 (
-	.A1(n_317),
-	.A2(\soc_top_xbar_to_timer[a_data] [20]),
-	.B1(n_670),
-	.Y(n_1278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482492 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [22]),
-	.A2(soc_top_GPIO_data_in_q[22]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [22]),
-	.Y(n_1277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482493 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [13]),
-	.A2(soc_top_GPIO_data_in_q[13]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [13]),
-	.Y(n_1276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482494 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [14]),
-	.A2(soc_top_GPIO_data_in_q[14]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [14]),
-	.Y(n_1275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482495 (
-	.A1(soc_top_u_top_u_core_pc_set),
-	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[1]),
-	.B1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_branch_discard_q[1]),
-	.Y(n_1274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g482496 (
-	.A1(soc_top_u_pwm_pwm_core_ctrl[2]),
-	.A2(soc_top_reset_manager_n_13),
-	.B1(pwm1_oe),
-	.Y(n_1273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482497 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [31]),
-	.A2(soc_top_GPIO_data_in_q[31]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [31]),
-	.Y(n_1272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482498 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [29]),
-	.A2(soc_top_GPIO_data_in_q[29]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [29]),
-	.Y(n_1271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482499 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [1]),
-	.A2(soc_top_GPIO_data_in_q[1]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [1]),
-	.Y(n_1270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g482500 (
-	.A1(soc_top_u_pwm_pwm_core_ctrl_2[2]),
-	.A2(soc_top_reset_manager_n_13),
-	.B1(pwm2_oe),
-	.Y(n_1269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482501 (
-	.A1(soc_top_main_swith_host_lsu_num_req_outstanding[1]),
-	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[0]),
-	.B1(n_658),
-	.Y(n_1268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482502 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [25]),
-	.A2(soc_top_GPIO_data_in_q[25]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [25]),
-	.Y(n_1267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482503 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [24]),
-	.A2(soc_top_GPIO_data_in_q[24]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [24]),
-	.Y(n_1266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482504 (
-	.A(n_949),
-	.B(n_897),
-	.Y(n_1265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482505 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [12]),
-	.A2(soc_top_GPIO_data_in_q[12]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [12]),
-	.Y(n_1264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482506 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [21]),
-	.A2(soc_top_GPIO_data_in_q[21]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [21]),
-	.Y(n_1263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482507 (
-	.A1(soc_top_u_top_u_core_fp_frm_fpnew[0]),
-	.A2(soc_top_u_top_u_core_fp_frm_fpnew[1]),
-	.B1(soc_top_u_top_u_core_fp_frm_fpnew[2]),
-	.Y(n_1262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482508 (
-	.A1(soc_top_u_pwm_pwm_core_period_2[0]),
-	.A2(n_506),
-	.B1(soc_top_u_pwm_pwm_core_period_2[1]),
-	.Y(n_1261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482510 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [3]),
-	.A2(soc_top_GPIO_data_in_q[3]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [3]),
-	.Y(n_1259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482511 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [6]),
-	.A2(soc_top_GPIO_data_in_q[6]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [6]),
-	.Y(n_1258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g482512 (
-	.A1(n_14999),
-	.A2(soc_top_u_top_u_core_pc_mux_id[2]),
-	.B1_N(n_14983),
-	.Y(n_1257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g482513 (
-	.A1(n_475),
-	.A2(io_out[10]),
-	.B1_N(n_673),
-	.Y(n_1256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g482514 (
-	.A1(n_474),
-	.A2(io_out[9]),
-	.B1_N(n_674),
-	.Y(n_1255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g482515 (
-	.A1(n_473),
-	.A2(io_out[8]),
-	.B1_N(n_675),
-	.Y(n_1254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g482517 (
-	.A1(n_475),
-	.A2(soc_top_GPIO_cio_gpio_en_q[2]),
-	.B1_N(n_673),
-	.Y(n_1252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g482518 (
-	.A1(n_366),
-	.A2(soc_top_GPIO_cio_gpio_en_q[3]),
-	.B1_N(n_672),
-	.Y(n_1251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g482519 (
-	.A1(n_367),
-	.A2(soc_top_GPIO_cio_gpio_en_q[5]),
-	.B1_N(n_669),
-	.Y(n_1250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g482520 (
-	.A1(n_474),
-	.A2(soc_top_GPIO_cio_gpio_en_q[1]),
-	.B1_N(n_674),
-	.Y(n_1249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482521 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [0]),
-	.A2(soc_top_GPIO_data_in_q[0]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [0]),
-	.Y(n_1248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g482522 (
-	.A1(n_473),
-	.A2(soc_top_GPIO_cio_gpio_en_q[0]),
-	.B1_N(n_675),
-	.Y(n_1247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482523 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [8]),
-	.A2(soc_top_GPIO_data_in_q[8]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [8]),
-	.Y(n_1246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482524 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [4]),
-	.A2(soc_top_GPIO_data_in_q[4]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [4]),
-	.Y(n_1245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482525 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [28]),
-	.A2(soc_top_GPIO_data_in_q[28]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [28]),
-	.Y(n_1244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482526 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [30]),
-	.A2(soc_top_GPIO_data_in_q[30]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [30]),
-	.Y(n_1243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482527 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [9]),
-	.A2(soc_top_GPIO_data_in_q[9]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [9]),
-	.Y(n_1242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482528 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [27]),
-	.A2(soc_top_GPIO_data_in_q[27]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [27]),
-	.Y(n_1241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482529 (
-	.A1(n_339),
-	.A2(n_16649),
-	.B1(n_15013),
-	.Y(n_1240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482530 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [5]),
-	.A2(soc_top_GPIO_data_in_q[5]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [5]),
-	.Y(n_1239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482531 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [11]),
-	.A2(soc_top_GPIO_data_in_q[11]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [11]),
-	.Y(n_1238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482532 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [10]),
-	.A2(soc_top_GPIO_data_in_q[10]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [10]),
-	.Y(n_1237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482533 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [16]),
-	.A2(soc_top_GPIO_data_in_q[16]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [16]),
-	.Y(n_1236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482534 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [7]),
-	.A2(soc_top_GPIO_data_in_q[7]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [7]),
-	.Y(n_1235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482535 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [15]),
-	.A2(soc_top_GPIO_data_in_q[15]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [15]),
-	.Y(n_1234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482536 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [17]),
-	.A2(soc_top_GPIO_data_in_q[17]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [17]),
-	.Y(n_1233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482538 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [23]),
-	.A2(soc_top_GPIO_data_in_q[23]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [23]),
-	.Y(n_1232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482539 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [20]),
-	.A2(soc_top_GPIO_data_in_q[20]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [20]),
-	.Y(n_1231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482540 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [2]),
-	.A2(soc_top_GPIO_data_in_q[2]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [2]),
-	.Y(n_1230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482541 (
-	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [26]),
-	.A2(soc_top_GPIO_data_in_q[26]),
-	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [26]),
-	.Y(n_1229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482542 (
-	.A1(n_336),
-	.A2(soc_top_u_pwm_pwm_core_divisor[9]),
-	.B1(n_912),
-	.Y(n_1465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482543 (
-	.A(n_925),
-	.B(n_14900),
-	.Y(n_1464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g482544 (
-	.A(\soc_top_timer0_reg2hw[cfg0][prescale][q] [8]),
-	.B(n_381),
-	.C(n_350),
-	.Y(n_1463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482545 (
-	.A_N(\soc_top_timer0_reg2hw[cfg0][prescale][q] [11]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_tick_count [11]),
-	.C(\soc_top_timer0_reg2hw[ctrl][0][q] ),
-	.Y(n_1462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g482546 (
-	.A(\soc_top_timer0_reg2hw[cfg0][prescale][q] [9]),
-	.B(n_381),
-	.C(n_306),
-	.Y(n_1461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g482547 (
-	.A(soc_top_dccm_adapter_data_mem_u_rspfifo_n_15),
-	.B_N(n_921),
-	.Y(n_1228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g482548 (
-	.A1(n_449),
-	.A2(n_15006),
-	.B1(n_546),
-	.B2(n_14991),
-	.X(n_1460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g482549 (
-	.A1(n_543),
-	.A2(n_15006),
-	.B1(n_447),
-	.B2(n_14991),
-	.X(n_1459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482550 (
-	.A(n_15124),
-	.B(n_938),
-	.Y(n_1227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482551 (
-	.A(soc_top_u_pwm_pwm_core_n_1288),
-	.B(n_653),
-	.Y(n_1458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g482552 (
-	.A(n_374),
-	.B(n_369),
-	.C(n_364),
-	.Y(n_1457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482554 (
-	.A(soc_top_u_pwm_pwm_core_n_1204),
-	.B(n_653),
-	.Y(n_1455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g482555 (
-	.A(n_15087),
-	.B(n_11356),
-	.C_N(soc_top_data_we),
-	.X(n_1454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482556 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_903),
-	.Y(n_1453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482557 (
-	.A1(n_353),
-	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [10]),
-	.B1(n_761),
-	.Y(n_1452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482558 (
-	.A1(n_442),
-	.A2(\soc_top_timer0_gen_harts[0].u_core_tick_count [6]),
-	.B1(n_932),
-	.Y(n_1451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482559 (
-	.A1(n_341),
-	.A2(soc_top_u_pwm_pwm_core_divisor[3]),
-	.B1(n_908),
-	.Y(n_1450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482560 (
-	.A(n_564),
-	.B(n_16211),
-	.Y(n_1449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482561 (
-	.A1(n_301),
-	.A2(soc_top_u_pwm_pwm_core_divisor[14]),
-	.B1(n_703),
-	.Y(n_1448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482562 (
-	.A1(n_327),
-	.A2(soc_top_u_pwm_pwm_core_divisor_2[8]),
-	.B1(n_725),
-	.Y(n_1447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482563 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]),
-	.A2(FE_DBTN4_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_exponent_2),
-	.B1(n_656),
-	.Y(n_1226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482564 (
-	.A1(n_298),
-	.A2(soc_top_u_pwm_pwm_core_divisor[10]),
-	.B1(n_677),
-	.Y(n_1446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482565 (
-	.A1(n_403),
-	.A2(soc_top_u_pwm_pwm_core_divisor_2[13]),
-	.B1(n_711),
-	.Y(n_1445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482566 (
-	.A1(n_505),
-	.A2(soc_top_u_pwm_pwm_core_divisor[13]),
-	.B1(n_708),
-	.Y(n_1444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482567 (
-	.A1(n_344),
-	.A2(soc_top_u_pwm_pwm_core_divisor[5]),
-	.B1(n_712),
-	.Y(n_1443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482568 (
-	.A1(n_296),
-	.A2(soc_top_u_pwm_pwm_core_divisor_2[9]),
-	.B1(n_929),
-	.Y(n_1225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482569 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_21 ),
-	.B1(n_655),
-	.Y(n_1224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482570 (
-	.A1(n_331),
-	.A2(soc_top_u_pwm_pwm_core_divisor[1]),
-	.B1(n_714),
-	.Y(n_1442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482571 (
-	.A1(n_303),
-	.A2(soc_top_u_pwm_pwm_core_divisor[7]),
-	.B1(n_928),
-	.Y(n_1441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482572 (
-	.A1(n_291),
-	.A2(soc_top_u_pwm_pwm_core_divisor[12]),
-	.B1(n_680),
-	.Y(n_1440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482573 (
-	.A1(n_330),
-	.A2(soc_top_u_pwm_pwm_core_divisor_2[5]),
-	.B1(n_709),
-	.Y(n_1439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482574 (
-	.A1(n_297),
-	.A2(soc_top_u_pwm_pwm_core_divisor_2[11]),
-	.B1(n_679),
-	.Y(n_1438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482575 (
-	.A1(n_338),
-	.A2(soc_top_u_pwm_pwm_core_divisor_2[6]),
-	.B1(n_716),
-	.Y(n_1437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482576 (
-	.A1(n_343),
-	.A2(soc_top_u_pwm_pwm_core_divisor_2[14]),
-	.B1(n_721),
-	.Y(n_1436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482577 (
-	.A1(n_305),
-	.A2(soc_top_u_pwm_pwm_core_divisor[2]),
-	.B1(n_905),
-	.Y(n_1435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482578 (
-	.A1(n_294),
-	.A2(soc_top_u_pwm_pwm_core_divisor_2[1]),
-	.B1(n_906),
-	.Y(n_1434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g482579 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [1]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_29 ),
-	.B1(n_914),
-	.X(n_1433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482580 (
-	.A1(n_342),
-	.A2(soc_top_u_pwm_pwm_core_divisor_2[7]),
-	.B1(n_915),
-	.Y(n_1432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482581 (
-	.A1(n_304),
-	.A2(soc_top_u_pwm_pwm_core_divisor[8]),
-	.B1(n_927),
-	.Y(n_1431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482582 (
-	.A1(n_302),
-	.A2(soc_top_u_pwm_pwm_core_divisor[11]),
-	.B1(n_907),
-	.Y(n_1430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482583 (
-	.A1(n_333),
-	.A2(soc_top_u_pwm_pwm_core_divisor[4]),
-	.B1(n_715),
-	.Y(n_1429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482584 (
-	.A1(n_300),
-	.A2(soc_top_u_pwm_pwm_core_divisor[6]),
-	.B1(n_736),
-	.Y(n_1428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482585 (
-	.A1(n_340),
-	.A2(soc_top_u_pwm_pwm_core_divisor_2[2]),
-	.B1(n_917),
-	.Y(n_1427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482586 (
-	.A1(n_293),
-	.A2(soc_top_u_pwm_pwm_core_divisor_2[3]),
-	.B1(n_719),
-	.Y(n_1426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482587 (
-	.A1(n_292),
-	.A2(soc_top_u_pwm_pwm_core_divisor_2[12]),
-	.B1(n_704),
-	.Y(n_1425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482588 (
-	.A1(n_295),
-	.A2(soc_top_u_pwm_pwm_core_divisor_2[4]),
-	.B1(n_722),
-	.Y(n_1424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g482589 (
-	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_discard_req_q),
-	.A2(soc_top_u_top_u_core_pc_set),
-	.B1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_valid_req_q),
-	.Y(n_1422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482590 (
-	.A1(soc_top_u_top_u_core_lsu_wdata[31]),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [31]),
-	.B1(n_717),
-	.Y(n_1421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482591 (
-	.A(n_921),
-	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(n_1420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g482592 (
-	.A(n_500),
-	.B(n_762),
-	.X(n_1419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g482593 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [1]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [0]),
-	.C_N(n_17130),
-	.X(n_1418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482594 (
-	.A(n_15080),
-	.B(n_740),
-	.Y(n_1416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482595 (
-	.A(soc_top_u_top_u_core_fp_flush),
-	.B(n_705),
-	.Y(n_1415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g482596 (
-	.A(n_14892),
-	.B(n_15068),
-	.C(n_14975),
-	.Y(n_1414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482597 (
-	.A(n_903),
-	.B(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Y(n_1413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482598 (
-	.A(n_15062),
-	.B(n_653),
-	.Y(n_1412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482599 (
-	.A(n_756),
-	.B(n_458),
-	.Y(n_1411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482600 (
-	.A(n_759),
-	.B(\soc_top_xbar_to_timer[a_data] [0]),
-	.Y(n_1410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g482601 (
-	.A_N(n_945),
-	.B(\soc_top_intr_controller_irq_id_o[0] [4]),
-	.Y(n_1409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482602 (
-	.A(n_946),
-	.B(n_382),
-	.Y(n_1408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482603 (
-	.A(n_759),
-	.B(n_458),
-	.Y(n_1407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482604 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_22 ),
-	.B1(n_896),
-	.Y(n_1223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482605 (
-	.A(n_756),
-	.B(\soc_top_xbar_to_timer[a_data] [0]),
-	.Y(n_1406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482606 (
-	.A(n_946),
-	.B(\soc_top_intr_controller_irq_id_o[0] [4]),
-	.Y(n_1405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g482607 (
-	.A(\soc_top_intr_controller_irq_id_o[0] [4]),
-	.B(n_945),
-	.X(n_1404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482608 (
-	.A(n_746),
-	.B(\soc_top_intr_controller_irq_id_o[0] [4]),
-	.Y(n_1403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482609 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
-	.A2(FE_DBTN16_soc_top_u_top_u_core_lsu_wdata_26),
-	.B1(n_892),
-	.Y(n_1222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482610 (
-	.A(n_755),
-	.B(\soc_top_xbar_to_timer[a_data] [0]),
-	.Y(n_1402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482611 (
-	.A(n_744),
-	.B(\soc_top_intr_controller_irq_id_o[0] [4]),
-	.Y(n_1401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482612 (
-	.A(n_746),
-	.B(n_382),
-	.Y(n_1400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482613 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [4]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_2 ),
-	.B1(n_654),
-	.Y(n_1221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482614 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [4]),
-	.A2(FE_DBTN17_soc_top_u_top_u_core_lsu_wdata_27),
-	.B1(n_890),
-	.Y(n_1220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482615 (
-	.A(n_748),
-	.B(\soc_top_xbar_to_timer[a_address] [6]),
-	.Y(n_1399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482616 (
-	.A(n_755),
-	.B(n_458),
-	.Y(n_1398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g482617 (
-	.A_N(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
-	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
-	.Y(n_1397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482618 (
-	.A(\soc_top_intr_controller_irq_id_o[0] [4]),
-	.B(n_743),
-	.Y(n_1395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g482619 (
-	.A(FE_DBTN6_soc_top_reset_manager_n_13),
-	.B(soc_top_u_pwm_pwm_core_ctrl[1]),
-	.C(soc_top_u_pwm_pwm_core_ctrl[2]),
-	.Y(n_1394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g482621 (
-	.A(FE_DBTN6_soc_top_reset_manager_n_13),
-	.B(soc_top_u_pwm_pwm_core_ctrl_2[1]),
-	.C(soc_top_u_pwm_pwm_core_ctrl_2[2]),
-	.Y(n_1391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482622 (
-	.A(n_754),
-	.B(n_458),
-	.Y(n_1389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482623 (
-	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_branch_discard_q[0]),
-	.B(n_647),
-	.Y(n_1388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g482624 (
-	.A(\soc_top_xbar_to_timer[a_address] [6]),
-	.B(n_492),
-	.C(n_15061),
-	.Y(n_1386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482625 (
-	.A(n_754),
-	.B(\soc_top_xbar_to_timer[a_data] [0]),
-	.Y(n_1384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482627 (
-	.A(n_288),
-	.B(n_753),
-	.Y(n_1383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482628 (
-	.A(n_288),
-	.B(n_923),
-	.Y(n_1381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482629 (
-	.A(n_745),
-	.B(n_288),
-	.Y(n_1379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482630 (
-	.A(n_919),
-	.B(n_288),
-	.Y(n_1377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482631 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
-	.B(n_923),
-	.Y(n_1375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482632 (
-	.A(n_919),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
-	.Y(n_1373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482633 (
-	.A(n_745),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
-	.Y(n_1371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g482634 (
-	.A(FE_DBTN6_soc_top_reset_manager_n_13),
-	.B(n_485),
-	.C(soc_top_u_pwm_pwm_core_ctrl_2[2]),
-	.Y(n_1368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482635 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
-	.B(n_753),
-	.Y(n_1367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482636 (
-	.A(n_625),
-	.B(n_628),
-	.Y(n_1365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g482637 (
-	.A1(n_377),
-	.A2(n_17128),
-	.B1(n_707),
-	.Y(n_1363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g482638 (
-	.A(soc_top_u_top_u_core_exc_pc_mux_id[1]),
-	.B(n_15000),
-	.C(n_630),
-	.Y(n_1362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482639 (
-	.A(n_15003),
-	.B(n_630),
-	.Y(n_1361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482641 (
-	.A(n_14998),
-	.B(n_630),
-	.Y(n_1359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g482642 (
-	.A(\soc_top_pwm_to_xbar[d_valid] ),
-	.B(n_9961),
-	.C(n_15033),
-	.X(n_1358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482643 (
-	.A(soc_top_u_top_u_core_pc_set),
-	.B(n_657),
-	.Y(n_1356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g482644 (
-	.A(\soc_top_uart_to_xbar[d_valid] ),
-	.B(n_14977),
-	.C(n_15033),
-	.Y(n_1354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482645 (
-	.A(n_14909),
-	.B(n_651),
-	.Y(n_1352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g482646 (
-	.A(n_15027),
-	.B(n_15026),
-	.C(n_17360),
-	.X(n_1351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g482647 (
-	.A(\soc_top_gpio_to_xbarp[d_valid] ),
-	.B(n_10199),
-	.C(n_15033),
-	.X(n_1350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g482648 (
-	.A(\soc_top_plic_resp[d_valid] ),
-	.B(n_950),
-	.X(n_1348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g482651 (
-	.A(n_1211),
-	.Y(n_1212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g482652 (
-	.A(n_1204),
-	.Y(n_1205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482654 (
-	.A(n_1195),
-	.Y(n_1196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482655 (
-	.A(n_1194),
-	.Y(n_1193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482656 (
-	.A(n_1192),
-	.Y(n_1191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482657 (
-	.A(n_1190),
-	.Y(n_1189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482658 (
-	.A(n_1188),
-	.Y(n_1187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482659 (
-	.A(n_1186),
-	.Y(n_1185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482660 (
-	.A(n_1184),
-	.Y(n_1183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482661 (
-	.A(n_1182),
-	.Y(n_1181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482662 (
-	.A(n_1180),
-	.Y(n_1179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482663 (
-	.A(n_1178),
-	.Y(n_1177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482664 (
-	.A(n_1176),
-	.Y(n_1175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482665 (
-	.A(n_1174),
-	.Y(n_1173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482666 (
-	.A(n_1172),
-	.Y(n_1171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482667 (
-	.A(n_1170),
-	.Y(n_1169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482668 (
-	.A(n_1168),
-	.Y(n_1167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482669 (
-	.A(n_1166),
-	.Y(n_1165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482670 (
-	.A(n_1164),
-	.Y(n_1163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482671 (
-	.A(n_1162),
-	.Y(n_1161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482672 (
-	.A(n_1160),
-	.Y(n_1159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482673 (
-	.A(n_1158),
-	.Y(n_1157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482674 (
-	.A(n_1156),
-	.Y(n_1155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482675 (
-	.A(n_1154),
-	.Y(n_1153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482676 (
-	.A(n_1152),
-	.Y(n_1151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482677 (
-	.A(n_1150),
-	.Y(n_1149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482678 (
-	.A(n_1148),
-	.Y(n_1147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482679 (
-	.A(n_1146),
-	.Y(n_1145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482680 (
-	.A(n_1144),
-	.Y(n_1143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482681 (
-	.A(n_1142),
-	.Y(n_1141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482682 (
-	.A(n_1140),
-	.Y(n_1139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482683 (
-	.A(n_1138),
-	.Y(n_1137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482684 (
-	.A(n_1136),
-	.Y(n_1135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482685 (
-	.A(n_1134),
-	.Y(n_1133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482686 (
-	.A(n_1132),
-	.Y(n_1131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g482687 (
-	.A(n_1129),
-	.Y(n_1128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482689 (
-	.A(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [1]),
-	.Y(n_1094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482690 (
-	.A(n_15377),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[11]),
-	.X(n_1093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482691 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[9]),
-	.B(n_15375),
-	.X(n_1092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482692 (
-	.A(soc_top_u_top_u_core_csr_addr[7]),
-	.B(n_15373),
-	.X(n_1091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482693 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[4]),
-	.B(n_15370),
-	.X(n_1090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482694 (
-	.A(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [1]),
-	.Y(n_1089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482695 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [19]),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [19]),
-	.Y(n_1088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482696 (
-	.A(n_15366),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.X(n_1087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482697 (
-	.A(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [1]),
-	.Y(n_1086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482698 (
-	.A(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [1]),
-	.Y(n_1085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482699 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[1]),
-	.B(n_15367),
-	.X(n_1084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482700 (
-	.A(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [1]),
-	.Y(n_1083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482701 (
-	.A(n_15389),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[23]),
-	.X(n_1082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482702 (
-	.A(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [1]),
-	.Y(n_1081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482703 (
-	.A(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [1]),
-	.Y(n_1080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482704 (
-	.A(n_15395),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[29]),
-	.X(n_1079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482705 (
-	.A(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [1]),
-	.Y(n_1078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482706 (
-	.A(n_15391),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[25]),
-	.X(n_1077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482707 (
-	.A(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [1]),
-	.Y(n_1076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482708 (
-	.A(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [1]),
-	.Y(n_1075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482709 (
-	.A(n_15394),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[28]),
-	.X(n_1074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482710 (
-	.A(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [1]),
-	.Y(n_1073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482711 (
-	.A(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [1]),
-	.Y(n_1072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482712 (
-	.A(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [1]),
-	.Y(n_1071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482713 (
-	.A(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [1]),
-	.Y(n_1070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482714 (
-	.A(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [1]),
-	.Y(n_1069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482715 (
-	.A(soc_top_u_top_u_core_lsu_wdata[3]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [3]),
-	.X(n_1068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482716 (
-	.A(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [1]),
-	.Y(n_1067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482717 (
-	.A(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [1]),
-	.Y(n_1066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482718 (
-	.A(n_15382),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[16]),
-	.X(n_1065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482719 (
-	.A(n_15383),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[17]),
-	.X(n_1064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482720 (
-	.A(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [1]),
-	.Y(n_1063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482721 (
-	.A(n_15384),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[18]),
-	.X(n_1062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482722 (
-	.A(n_15393),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[27]),
-	.X(n_1061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482723 (
-	.A(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [1]),
-	.Y(n_1060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482724 (
-	.A(n_15387),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[21]),
-	.X(n_1059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482725 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[6]),
-	.B(n_15372),
-	.X(n_1058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482726 (
-	.A(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [1]),
-	.Y(n_1057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482727 (
-	.A(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [1]),
-	.Y(n_1056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482728 (
-	.A(n_15390),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[24]),
-	.X(n_1055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482729 (
-	.A(n_15388),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[22]),
-	.X(n_1054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482730 (
-	.A(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [1]),
-	.Y(n_1053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482731 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [7]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [7]),
-	.Y(n_1052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482732 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [15]),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [15]),
-	.X(n_1051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482733 (
-	.A(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [1]),
-	.Y(n_1050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482734 (
-	.A(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [1]),
-	.Y(n_1049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482735 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[7]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[7]),
-	.Y(n_1048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482736 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[14]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[14]),
-	.Y(n_1047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482737 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[28]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[28]),
-	.Y(n_1046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482738 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[26]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[26]),
-	.Y(n_1045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482739 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[12]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[12]),
-	.Y(n_1044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482740 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[6]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[6]),
-	.Y(n_1043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482741 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[2]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[2]),
-	.Y(n_1042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482742 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[10]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_rf_waddr_wb[3]),
-	.Y(n_1041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482743 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[22]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[22]),
-	.Y(n_1040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482744 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[25]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[25]),
-	.Y(n_1039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482745 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[20]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[20]),
-	.Y(n_1038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482746 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[9]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_rf_waddr_wb[2]),
-	.Y(n_1037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482747 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[18]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[18]),
-	.Y(n_1036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482748 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[5]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[5]),
-	.Y(n_1035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482749 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[13]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[13]),
-	.Y(n_1034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482750 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[1]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[1]),
-	.Y(n_1033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482751 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[16]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[16]),
-	.Y(n_1032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482752 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[9]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[9]),
-	.Y(n_1031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482753 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[17]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[17]),
-	.Y(n_1030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482754 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[24]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[24]),
-	.Y(n_1029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482755 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[8]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[8]),
-	.Y(n_1028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482756 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[29]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[29]),
-	.Y(n_1027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482757 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[31]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[31]),
-	.Y(n_1026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482758 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[23]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[23]),
-	.Y(n_1025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482759 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[27]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[27]),
-	.Y(n_1024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482760 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[15]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[15]),
-	.Y(n_1023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482761 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[3]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[3]),
-	.Y(n_1022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482762 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[21]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[21]),
-	.Y(n_1021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482763 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[4]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[4]),
-	.Y(n_1020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482764 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[11]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_rf_waddr_wb[4]),
-	.Y(n_1019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482765 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[10]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[10]),
-	.Y(n_1018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482766 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[8]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_rf_waddr_wb[1]),
-	.Y(n_1017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482767 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[7]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_rf_waddr_wb[0]),
-	.Y(n_1016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482768 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[19]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[19]),
-	.Y(n_1015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482769 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[11]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[11]),
-	.Y(n_1014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482770 (
-	.A1(n_456),
-	.A2(soc_top_u_top_u_core_pc_id[30]),
-	.B1(n_15187),
-	.B2(soc_top_u_top_u_core_pc_wb[30]),
-	.Y(n_1013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482771 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[2]),
-	.B(n_15368),
-	.X(n_1012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482772 (
-	.A1(n_503),
-	.A2(soc_top_u_pwm_pwm_core_period_2[7]),
-	.B1(n_396),
-	.B2(soc_top_u_pwm_pwm_core_period_2[6]),
-	.Y(n_1011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482773 (
-	.A(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [1]),
-	.Y(n_1010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482774 (
-	.A1(n_374),
-	.A2(\soc_top_timer0_reg2hw[intr_state0][0][q] ),
-	.B1(n_364),
-	.B2(\soc_top_timer0_reg2hw[intr_enable0][0][q] ),
-	.Y(n_1009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482775 (
-	.A(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [1]),
-	.Y(n_1008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482776 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[15]),
-	.B(n_15381),
-	.X(n_1007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482777 (
-	.A(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [1]),
-	.Y(n_1006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482778 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[3]),
-	.B(n_15369),
-	.X(n_1005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482779 (
-	.A1(n_395),
-	.A2(soc_top_u_pwm_pwm_core_period[9]),
-	.B1(n_394),
-	.B2(soc_top_u_pwm_pwm_core_period[8]),
-	.Y(n_1004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482780 (
-	.A(soc_top_u_top_u_core_csr_addr[5]),
-	.B(n_15371),
-	.X(n_1003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482781 (
-	.A(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [1]),
-	.Y(n_1002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482782 (
-	.A1(n_375),
-	.A2(n_16631),
-	.B1(n_461),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
-	.Y(n_1001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482783 (
-	.A(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [1]),
-	.Y(n_1000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482784 (
-	.A(n_15374),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[8]),
-	.X(n_999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482785 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[19]),
-	.B(n_15385),
-	.X(n_998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482786 (
-	.A1(n_15025),
-	.A2(n_15054),
-	.B1(n_413),
-	.B2(n_16651),
-	.Y(n_997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2i_1 g482787 (
-	.A0(n_16621),
-	.A1(n_18411),
-	.S(n_16212),
-	.Y(n_996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482788 (
-	.A1(n_461),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
-	.B1(n_16648),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
-	.X(n_995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g482789 (
-	.A1_N(n_14907),
-	.A2_N(n_15187),
-	.B1(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_load_q ),
-	.B2(n_15187),
-	.Y(n_994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482790 (
-	.A1(n_461),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
-	.B1(n_16648),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
-	.X(n_993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482791 (
-	.A1(n_461),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
-	.B1(n_16648),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
-	.X(n_992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482792 (
-	.A1(n_461),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
-	.B1(n_16648),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
-	.X(n_991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482793 (
-	.A1(n_365),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
-	.B1(n_16648),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
-	.Y(n_990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482794 (
-	.A(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [1]),
-	.Y(n_989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482795 (
-	.A1(n_15143),
-	.A2(n_15054),
-	.B1(n_339),
-	.B2(n_16651),
-	.Y(n_988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482796 (
-	.A1(n_365),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
-	.B1(n_16648),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
-	.Y(n_987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482797 (
-	.A(soc_top_u_top_u_core_lsu_wdata[11]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [11]),
-	.X(n_986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482798 (
-	.A(n_15379),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[13]),
-	.X(n_985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482799 (
-	.A1(n_401),
-	.A2(soc_top_u_pwm_pwm_core_period[7]),
-	.B1(n_504),
-	.B2(soc_top_u_pwm_pwm_core_period[6]),
-	.Y(n_984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482800 (
-	.A1(n_461),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
-	.B1(n_16648),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
-	.X(n_983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482801 (
-	.A(n_15392),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[26]),
-	.X(n_982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482802 (
-	.A(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [0]),
-	.B(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [1]),
-	.Y(n_981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g482803 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [0]),
-	.X(n_980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482804 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [22]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [22]),
-	.Y(n_979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482805 (
-	.A1(n_489),
-	.A2(soc_top_u_pwm_pwm_core_DC_1[11]),
-	.B1(n_400),
-	.B2(soc_top_u_pwm_pwm_core_DC_1[10]),
-	.Y(n_978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482806 (
-	.A1(soc_top_u_top_u_core_lsu_wdata[27]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][4] ),
-	.B2(FE_DBTN17_soc_top_u_top_u_core_lsu_wdata_27),
-	.Y(n_977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482807 (
-	.A1(n_326),
-	.A2(\soc_top_timer0_gen_harts[0].u_core_tick_count [1]),
-	.B1(\soc_top_timer0_gen_harts[0].u_core_tick_count [0]),
-	.B2(n_310),
-	.Y(n_976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482809 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][5] ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_11 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][5] ),
-	.B2(FE_DBTN5_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_exponent_5),
-	.Y(n_975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4b_1 g482810 (
-	.A(n_16282),
-	.B(n_16283),
-	.C(n_16237),
-	.D_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.X(n_974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g482811 (
-	.A(n_16281),
-	.B(n_16263),
-	.C(n_16260),
-	.D(n_16265),
-	.Y(n_973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482812 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][1] ),
-	.A2(FE_DBTN15_soc_top_u_top_u_core_lsu_wdata_24),
-	.B1(soc_top_u_top_u_core_lsu_wdata[26]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_22 ),
-	.Y(n_972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482813 (
-	.A1(n_453),
-	.A2(n_15055),
-	.B1(n_337),
-	.B2(n_16651),
-	.Y(n_971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482814 (
-	.A(n_525),
-	.B(\soc_top_timer0_gen_harts[0].u_core_tick_count [0]),
-	.Y(n_970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482815 (
-	.A1(n_389),
-	.A2(soc_top_u_pwm_pwm_core_DC_1[13]),
-	.B1(n_393),
-	.B2(soc_top_u_pwm_pwm_core_DC_1[12]),
-	.Y(n_969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482816 (
-	.A1(n_496),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[13]),
-	.B1(n_391),
-	.B2(soc_top_u_pwm_pwm_core_DC_2[12]),
-	.Y(n_968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482817 (
-	.A1_N(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
-	.A2_N(n_15083),
-	.B1(n_14914),
-	.B2(n_15083),
-	.Y(n_967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482818 (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [0]),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sign_z_D ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [0]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sign_z_D ),
-	.Y(n_966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482819 (
-	.A1(n_454),
-	.A2(n_15055),
-	.B1(n_512),
-	.B2(n_16651),
-	.Y(n_965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482820 (
-	.A1(n_461),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
-	.B1(n_16648),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
-	.X(n_964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482821 (
-	.A1(n_502),
-	.A2(soc_top_u_pwm_pwm_core_period_2[9]),
-	.B1(n_399),
-	.B2(soc_top_u_pwm_pwm_core_period_2[8]),
-	.Y(n_963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482822 (
-	.A1(n_461),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
-	.B1(n_16648),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
-	.X(n_962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482824 (
-	.A1(n_461),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
-	.B1(n_16648),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
-	.X(n_960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482825 (
-	.A1(n_501),
-	.A2(soc_top_u_pwm_pwm_core_DC_2[11]),
-	.B1(n_402),
-	.B2(soc_top_u_pwm_pwm_core_DC_2[10]),
-	.Y(n_959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482826 (
-	.A1(soc_top_u_pwm_pwm_core_divisor[15]),
-	.A2(soc_top_u_pwm_pwm_core_counter_p1[15]),
-	.B1(n_530),
-	.B2(n_312),
-	.Y(n_1219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482827 (
-	.A1(soc_top_u_pwm_pwm_core_divisor_2[10]),
-	.A2(soc_top_u_pwm_pwm_core_counter_p2[10]),
-	.B1(n_526),
-	.B2(n_290),
-	.Y(n_958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482828 (
-	.A1(soc_top_u_pwm_pwm_core_period_2[13]),
-	.A2(n_496),
-	.B1(soc_top_u_pwm_pwm_core_period_2[12]),
-	.B2(n_391),
-	.X(n_1218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482829 (
-	.A1(\soc_top_timer0_reg2hw[cfg0][prescale][q] [7]),
-	.A2(n_316),
-	.B1(\soc_top_timer0_reg2hw[cfg0][prescale][q] [6]),
-	.B2(n_352),
-	.Y(n_1217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g482830 (
-	.A1_N(soc_top_u_pwm_pwm_core_period_counter1[7]),
-	.A2_N(n_414),
-	.B1(n_504),
-	.B2(soc_top_u_pwm_pwm_core_DC_1[6]),
-	.Y(n_1216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g482831 (
-	.A(\soc_top_timer0_reg2hw[cfg0][prescale][q] [10]),
-	.B(n_381),
-	.C(n_353),
-	.X(n_1215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g482832 (
-	.A(FE_DBTN15_soc_top_u_top_u_core_lsu_wdata_24),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
-	.Y(n_1214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482833 (
-	.A1(\soc_top_timer0_reg2hw[cfg0][prescale][q] [3]),
-	.A2(n_314),
-	.B1(\soc_top_timer0_reg2hw[cfg0][prescale][q] [2]),
-	.B2(n_315),
-	.Y(n_1213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482834 (
-	.A1(n_466),
-	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[5]),
-	.B1(n_15401),
-	.B2(soc_top_u_top_u_core_pc_set),
-	.Y(n_1211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482835 (
-	.A1(soc_top_u_pwm_pwm_core_divisor_2[15]),
-	.A2(soc_top_u_pwm_pwm_core_counter_p2[15]),
-	.B1(n_520),
-	.B2(n_318),
-	.Y(n_1210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482836 (
-	.A1(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2788),
-	.A2(n_14886),
-	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[12]),
-	.B2(n_14892),
-	.Y(n_1209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482837 (
-	.A1(soc_top_u_pwm_pwm_core_period[15]),
-	.A2(n_419),
-	.B1(soc_top_u_pwm_pwm_core_period[14]),
-	.B2(n_497),
-	.Y(n_1208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g482838 (
-	.A1_N(soc_top_u_pwm_pwm_core_period_counter2[7]),
-	.A2_N(n_418),
-	.B1(n_396),
-	.B2(soc_top_u_pwm_pwm_core_DC_2[6]),
-	.Y(n_1207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482839 (
-	.A1(n_466),
-	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[3]),
-	.B1(n_15399),
-	.B2(soc_top_u_top_u_core_pc_set),
-	.Y(n_1206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482840 (
-	.A1(n_466),
-	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[7]),
-	.B1(n_15403),
-	.B2(soc_top_u_top_u_core_pc_set),
-	.Y(n_1204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482841 (
-	.A1(n_466),
-	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[4]),
-	.B1(n_15400),
-	.B2(soc_top_u_top_u_core_pc_set),
-	.Y(n_1203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482842 (
-	.A1(n_466),
-	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[9]),
-	.B1(n_15405),
-	.B2(soc_top_u_top_u_core_pc_set),
-	.Y(n_1202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482843 (
-	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[2]),
-	.A2(soc_top_u_top_u_core_pc_set),
-	.B1(n_466),
-	.B2(n_15398),
-	.Y(n_1201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482844 (
-	.A1(n_466),
-	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[6]),
-	.B1(n_15402),
-	.B2(soc_top_u_top_u_core_pc_set),
-	.Y(n_1200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482845 (
-	.A1(n_466),
-	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[11]),
-	.B1(n_15407),
-	.B2(soc_top_u_top_u_core_pc_set),
-	.Y(n_1199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g482846 (
-	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[12]),
-	.A2(soc_top_u_top_u_core_pc_set),
-	.B1(n_466),
-	.B2(n_15408),
-	.Y(n_957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482847 (
-	.A1(n_466),
-	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[10]),
-	.B1(n_15406),
-	.B2(soc_top_u_top_u_core_pc_set),
-	.Y(n_1198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482848 (
-	.A1(n_466),
-	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[8]),
-	.B1(n_15404),
-	.B2(soc_top_u_top_u_core_pc_set),
-	.Y(n_1197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482849 (
-	.A1(soc_top_u_pwm_pwm_core_period_2[15]),
-	.A2(n_529),
-	.B1(soc_top_u_pwm_pwm_core_period_2[14]),
-	.B2(n_384),
-	.Y(n_1195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482850 (
-	.A1_N(\soc_top_GPIO_gen_filter[30].filter_filter_q ),
-	.A2_N(io_in[0]),
-	.B1(\soc_top_GPIO_gen_filter[30].filter_filter_q ),
-	.B2(io_in[0]),
-	.Y(n_1194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482851 (
-	.A1_N(\soc_top_GPIO_gen_filter[11].filter_filter_q ),
-	.A2_N(io_in[19]),
-	.B1(\soc_top_GPIO_gen_filter[11].filter_filter_q ),
-	.B2(io_in[19]),
-	.Y(n_1192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482852 (
-	.A1_N(\soc_top_GPIO_gen_filter[23].filter_filter_q ),
-	.A2_N(io_in[31]),
-	.B1(\soc_top_GPIO_gen_filter[23].filter_filter_q ),
-	.B2(io_in[31]),
-	.Y(n_1190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482853 (
-	.A1_N(\soc_top_GPIO_gen_filter[19].filter_filter_q ),
-	.A2_N(io_in[27]),
-	.B1(\soc_top_GPIO_gen_filter[19].filter_filter_q ),
-	.B2(io_in[27]),
-	.Y(n_1188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482854 (
-	.A1_N(\soc_top_GPIO_gen_filter[31].filter_filter_q ),
-	.A2_N(io_in[1]),
-	.B1(\soc_top_GPIO_gen_filter[31].filter_filter_q ),
-	.B2(io_in[1]),
-	.Y(n_1186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482855 (
-	.A1_N(\soc_top_GPIO_gen_filter[12].filter_filter_q ),
-	.A2_N(io_in[20]),
-	.B1(\soc_top_GPIO_gen_filter[12].filter_filter_q ),
-	.B2(io_in[20]),
-	.Y(n_1184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482856 (
-	.A1_N(\soc_top_GPIO_gen_filter[15].filter_filter_q ),
-	.A2_N(io_in[23]),
-	.B1(\soc_top_GPIO_gen_filter[15].filter_filter_q ),
-	.B2(io_in[23]),
-	.Y(n_1182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482857 (
-	.A1_N(\soc_top_GPIO_gen_filter[14].filter_filter_q ),
-	.A2_N(io_in[22]),
-	.B1(\soc_top_GPIO_gen_filter[14].filter_filter_q ),
-	.B2(io_in[22]),
-	.Y(n_1180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482858 (
-	.A1_N(\soc_top_GPIO_gen_filter[22].filter_filter_q ),
-	.A2_N(io_in[30]),
-	.B1(\soc_top_GPIO_gen_filter[22].filter_filter_q ),
-	.B2(io_in[30]),
-	.Y(n_1178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482859 (
-	.A1_N(\soc_top_GPIO_gen_filter[0].filter_filter_q ),
-	.A2_N(io_in[8]),
-	.B1(\soc_top_GPIO_gen_filter[0].filter_filter_q ),
-	.B2(io_in[8]),
-	.Y(n_1176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482860 (
-	.A1_N(\soc_top_GPIO_gen_filter[28].filter_filter_q ),
-	.A2_N(io_in[36]),
-	.B1(\soc_top_GPIO_gen_filter[28].filter_filter_q ),
-	.B2(io_in[36]),
-	.Y(n_1174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482861 (
-	.A1_N(\soc_top_GPIO_gen_filter[20].filter_filter_q ),
-	.A2_N(io_in[28]),
-	.B1(\soc_top_GPIO_gen_filter[20].filter_filter_q ),
-	.B2(io_in[28]),
-	.Y(n_1172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482862 (
-	.A1_N(\soc_top_GPIO_gen_filter[27].filter_filter_q ),
-	.A2_N(io_in[35]),
-	.B1(\soc_top_GPIO_gen_filter[27].filter_filter_q ),
-	.B2(io_in[35]),
-	.Y(n_1170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482863 (
-	.A1_N(\soc_top_GPIO_gen_filter[29].filter_filter_q ),
-	.A2_N(io_in[37]),
-	.B1(\soc_top_GPIO_gen_filter[29].filter_filter_q ),
-	.B2(io_in[37]),
-	.Y(n_1168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482864 (
-	.A1_N(\soc_top_GPIO_gen_filter[16].filter_filter_q ),
-	.A2_N(io_in[24]),
-	.B1(\soc_top_GPIO_gen_filter[16].filter_filter_q ),
-	.B2(io_in[24]),
-	.Y(n_1166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482865 (
-	.A1_N(\soc_top_GPIO_gen_filter[1].filter_filter_q ),
-	.A2_N(io_in[9]),
-	.B1(\soc_top_GPIO_gen_filter[1].filter_filter_q ),
-	.B2(io_in[9]),
-	.Y(n_1164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482866 (
-	.A1_N(\soc_top_GPIO_gen_filter[24].filter_filter_q ),
-	.A2_N(io_in[32]),
-	.B1(\soc_top_GPIO_gen_filter[24].filter_filter_q ),
-	.B2(io_in[32]),
-	.Y(n_1162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482867 (
-	.A1_N(\soc_top_GPIO_gen_filter[21].filter_filter_q ),
-	.A2_N(io_in[29]),
-	.B1(\soc_top_GPIO_gen_filter[21].filter_filter_q ),
-	.B2(io_in[29]),
-	.Y(n_1160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482868 (
-	.A1_N(\soc_top_GPIO_gen_filter[9].filter_filter_q ),
-	.A2_N(io_in[17]),
-	.B1(\soc_top_GPIO_gen_filter[9].filter_filter_q ),
-	.B2(io_in[17]),
-	.Y(n_1158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482869 (
-	.A1_N(\soc_top_GPIO_gen_filter[25].filter_filter_q ),
-	.A2_N(io_in[33]),
-	.B1(\soc_top_GPIO_gen_filter[25].filter_filter_q ),
-	.B2(io_in[33]),
-	.Y(n_1156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482870 (
-	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata[0]),
-	.A2(n_287),
-	.B1(n_15474),
-	.B2(soc_top_u_top_u_core_pc_if[1]),
-	.Y(n_1154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482871 (
-	.A1_N(\soc_top_GPIO_gen_filter[2].filter_filter_q ),
-	.A2_N(io_in[10]),
-	.B1(\soc_top_GPIO_gen_filter[2].filter_filter_q ),
-	.B2(io_in[10]),
-	.Y(n_1152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482872 (
-	.A1_N(\soc_top_GPIO_gen_filter[13].filter_filter_q ),
-	.A2_N(io_in[21]),
-	.B1(\soc_top_GPIO_gen_filter[13].filter_filter_q ),
-	.B2(io_in[21]),
-	.Y(n_1150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482873 (
-	.A1_N(\soc_top_GPIO_gen_filter[3].filter_filter_q ),
-	.A2_N(io_in[11]),
-	.B1(\soc_top_GPIO_gen_filter[3].filter_filter_q ),
-	.B2(io_in[11]),
-	.Y(n_1148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482874 (
-	.A1_N(\soc_top_GPIO_gen_filter[10].filter_filter_q ),
-	.A2_N(io_in[18]),
-	.B1(\soc_top_GPIO_gen_filter[10].filter_filter_q ),
-	.B2(io_in[18]),
-	.Y(n_1146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482875 (
-	.A1_N(\soc_top_GPIO_gen_filter[8].filter_filter_q ),
-	.A2_N(io_in[16]),
-	.B1(\soc_top_GPIO_gen_filter[8].filter_filter_q ),
-	.B2(io_in[16]),
-	.Y(n_1144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482876 (
-	.A1_N(\soc_top_GPIO_gen_filter[6].filter_filter_q ),
-	.A2_N(io_in[14]),
-	.B1(\soc_top_GPIO_gen_filter[6].filter_filter_q ),
-	.B2(io_in[14]),
-	.Y(n_1142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482877 (
-	.A1_N(\soc_top_GPIO_gen_filter[7].filter_filter_q ),
-	.A2_N(io_in[15]),
-	.B1(\soc_top_GPIO_gen_filter[7].filter_filter_q ),
-	.B2(io_in[15]),
-	.Y(n_1140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482878 (
-	.A1_N(\soc_top_GPIO_gen_filter[17].filter_filter_q ),
-	.A2_N(io_in[25]),
-	.B1(\soc_top_GPIO_gen_filter[17].filter_filter_q ),
-	.B2(io_in[25]),
-	.Y(n_1138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482879 (
-	.A1_N(\soc_top_GPIO_gen_filter[5].filter_filter_q ),
-	.A2_N(io_in[13]),
-	.B1(\soc_top_GPIO_gen_filter[5].filter_filter_q ),
-	.B2(io_in[13]),
-	.Y(n_1136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482880 (
-	.A1_N(\soc_top_GPIO_gen_filter[26].filter_filter_q ),
-	.A2_N(io_in[34]),
-	.B1(\soc_top_GPIO_gen_filter[26].filter_filter_q ),
-	.B2(io_in[34]),
-	.Y(n_1134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g482881 (
-	.A1_N(\soc_top_GPIO_gen_filter[4].filter_filter_q ),
-	.A2_N(io_in[12]),
-	.B1(\soc_top_GPIO_gen_filter[4].filter_filter_q ),
-	.B2(io_in[12]),
-	.Y(n_1132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g482882 (
-	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata[1]),
-	.A2(n_287),
-	.B1(n_15475),
-	.B2(soc_top_u_top_u_core_pc_if[1]),
-	.Y(n_1130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g482883 (
-	.A(FE_DBTN6_soc_top_reset_manager_n_13),
-	.B(n_376),
-	.C(soc_top_u_pwm_pwm_core_ctrl[2]),
-	.Y(n_1129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482884 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[20]),
-	.B1(n_15314),
-	.B2(n_12018),
-	.X(n_1127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482885 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[19]),
-	.B1(n_15313),
-	.B2(n_12018),
-	.X(n_1126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482886 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[17]),
-	.B1(n_15311),
-	.B2(n_12018),
-	.X(n_1125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482887 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[16]),
-	.B1(n_15310),
-	.B2(n_12018),
-	.X(n_1124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482888 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[14]),
-	.B1(n_15308),
-	.B2(n_12018),
-	.X(n_1123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482889 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[13]),
-	.B1(n_15307),
-	.B2(n_12018),
-	.X(n_1122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482890 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[12]),
-	.B1(n_15306),
-	.B2(n_12018),
-	.X(n_1121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482891 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[11]),
-	.B1(n_15305),
-	.B2(n_12018),
-	.X(n_1120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482892 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[8]),
-	.B1(n_15302),
-	.B2(n_12018),
-	.X(n_1119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482893 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[7]),
-	.B1(n_15333),
-	.B2(n_12018),
-	.X(n_1118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482894 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[6]),
-	.B1(n_18297),
-	.B2(n_12018),
-	.X(n_1117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482895 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[5]),
-	.B1(n_18298),
-	.B2(n_12018),
-	.X(n_1116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482896 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[4]),
-	.B1(n_15330),
-	.B2(n_12018),
-	.X(n_1115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482897 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[3]),
-	.B1(n_15329),
-	.B2(n_12018),
-	.X(n_1114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482898 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[2]),
-	.B1(n_15328),
-	.B2(n_12018),
-	.X(n_1113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482899 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[1]),
-	.B1(n_15327),
-	.B2(n_12018),
-	.X(n_1112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482900 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[0]),
-	.B1(n_15326),
-	.B2(n_12018),
-	.X(n_1111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482901 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[23]),
-	.B1(n_15317),
-	.B2(n_12018),
-	.X(n_1110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482902 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[25]),
-	.B1(n_15319),
-	.B2(n_12018),
-	.X(n_1109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482903 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[26]),
-	.B1(n_18289),
-	.B2(n_12018),
-	.X(n_1108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482904 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[27]),
-	.B1(n_18288),
-	.B2(n_12018),
-	.X(n_1107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482905 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[29]),
-	.B1(n_18290),
-	.B2(n_12018),
-	.X(n_1106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482906 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[31]),
-	.B1(n_18212),
-	.B2(n_12018),
-	.X(n_1105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482907 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[30]),
-	.B1(n_15324),
-	.B2(n_12018),
-	.X(n_1104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482908 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[28]),
-	.B1(n_15322),
-	.B2(n_12018),
-	.X(n_1103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482909 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[24]),
-	.B1(n_15318),
-	.B2(n_12018),
-	.X(n_1102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482910 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[18]),
-	.B1(n_15312),
-	.B2(n_12018),
-	.X(n_1101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482911 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[15]),
-	.B1(n_15309),
-	.B2(n_12018),
-	.X(n_1100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482912 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[22]),
-	.B1(n_15316),
-	.B2(n_12018),
-	.X(n_1099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482913 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[10]),
-	.B1(n_15304),
-	.B2(n_12018),
-	.X(n_1098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482914 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[21]),
-	.B1(n_15315),
-	.B2(n_12018),
-	.X(n_1097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g482915 (
-	.A1(n_459),
-	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[9]),
-	.B1(n_15303),
-	.B2(n_12018),
-	.X(n_1096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482918 (
-	.A(n_943),
-	.Y(n_944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482919 (
-	.A(n_895),
-	.Y(n_894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g482921 (
-	.A(n_889),
-	.Y(n_888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g482922 (
-	.A(n_882),
-	.Y(n_881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g482923 (
-	.A(n_879),
-	.Y(n_878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g482924 (
-	.A(n_876),
-	.Y(n_877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482931 (
-	.A(\soc_top_intr_controller_reg2hw[le][26][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[26]),
-	.Y(n_868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g482932 (
-	.A(soc_top_GPIO_data_in_q[7]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [7]),
-	.Y(n_867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482934 (
-	.A(\soc_top_intr_controller_reg2hw[le][27][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[27]),
-	.Y(n_865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482935 (
-	.A(n_16280),
-	.B(n_16287),
-	.Y(n_864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g482936 (
-	.A_N(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_n_1705 ),
-	.B(n_15246),
-	.Y(n_863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482937 (
-	.A(\soc_top_intr_controller_reg2hw[le][29][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[29]),
-	.Y(n_862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482938 (
-	.A(\soc_top_intr_controller_reg2hw[le][31][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[31]),
-	.Y(n_861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g482939 (
-	.A(soc_top_u_top_u_core_lsu_wdata[17]),
-	.B_N(\soc_top_u_top_u_core_fp_operands[0] [17]),
-	.Y(n_860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482940 (
-	.A(n_15391),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[25]),
-	.Y(n_859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482941 (
-	.A(\soc_top_intr_controller_reg2hw[le][34][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[34]),
-	.Y(n_858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482942 (
-	.A(\soc_top_intr_controller_reg2hw[le][35][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[35]),
-	.Y(n_857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482943 (
-	.A(n_14879),
-	.B(n_14887),
-	.Y(n_856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g482944 (
-	.A(soc_top_GPIO_data_in_q[25]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [25]),
-	.Y(n_855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482945 (
-	.A(n_478),
-	.B(io_out[20]),
-	.Y(n_854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g482946 (
-	.A(soc_top_GPIO_data_in_q[29]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [29]),
-	.Y(n_853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482947 (
-	.A(n_476),
-	.B(io_out[15]),
-	.Y(n_852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482948 (
-	.A(\soc_top_intr_controller_reg2hw[le][6][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[6]),
-	.Y(n_851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482949 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[19]),
-	.B(n_15385),
-	.Y(n_850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482950 (
-	.A(n_370),
-	.B(soc_top_GPIO_cio_gpio_en_q[6]),
-	.Y(n_849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g482951 (
-	.A(soc_top_GPIO_data_in_q[27]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [27]),
-	.Y(n_848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482952 (
-	.A(n_476),
-	.B(soc_top_GPIO_cio_gpio_en_q[7]),
-	.Y(n_847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482953 (
-	.A(n_367),
-	.B(io_out[13]),
-	.Y(n_846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g482955 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [4]),
-	.B_N(\soc_top_u_top_u_core_fp_operands[0] [4]),
-	.Y(n_845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g482956 (
-	.A(soc_top_GPIO_data_in_q[15]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [15]),
-	.Y(n_844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g482957 (
-	.A(soc_top_GPIO_data_in_q[3]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [3]),
-	.Y(n_843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482958 (
-	.A(n_15379),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[13]),
-	.Y(n_842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482959 (
-	.A(\soc_top_intr_controller_reg2hw[le][1][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[1]),
-	.Y(n_841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482960 (
-	.A(n_480),
-	.B(soc_top_GPIO_cio_gpio_en_q[15]),
-	.Y(n_840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482961 (
-	.A(n_482),
-	.B(soc_top_GPIO_cio_gpio_en_q[8]),
-	.Y(n_839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482962 (
-	.A(n_15384),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[18]),
-	.Y(n_838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482963 (
-	.A(n_372),
-	.B(soc_top_GPIO_cio_gpio_en_q[14]),
-	.Y(n_837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g482964 (
-	.A(soc_top_GPIO_data_in_q[16]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [16]),
-	.Y(n_836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482965 (
-	.A(n_17360),
-	.B(\soc_top_timer_to_xbar[d_data] [18]),
-	.Y(n_835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482966 (
-	.A(n_371),
-	.B(soc_top_GPIO_cio_gpio_en_q[9]),
-	.Y(n_834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482967 (
-	.A(n_372),
-	.B(io_out[22]),
-	.Y(n_833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482968 (
-	.A(n_15383),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[17]),
-	.Y(n_832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g482969 (
-	.A(soc_top_GPIO_data_in_q[9]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [9]),
-	.Y(n_831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g482970 (
-	.A(soc_top_GPIO_data_in_q[24]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [24]),
-	.Y(n_830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482971 (
-	.A(n_479),
-	.B(soc_top_GPIO_cio_gpio_en_q[10]),
-	.Y(n_829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482972 (
-	.A(n_477),
-	.B(soc_top_GPIO_cio_gpio_en_q[11]),
-	.Y(n_828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482973 (
-	.A(n_479),
-	.B(io_out[18]),
-	.Y(n_827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482974 (
-	.A(n_366),
-	.B(io_out[11]),
-	.Y(n_826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g482975 (
-	.A(soc_top_GPIO_data_in_q[19]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [19]),
-	.Y(n_825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g482976 (
-	.A(soc_top_GPIO_data_in_q[21]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [21]),
-	.Y(n_824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482977 (
-	.A(n_478),
-	.B(soc_top_GPIO_cio_gpio_en_q[12]),
-	.Y(n_823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482978 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Div_enable_S ),
-	.B(n_311),
-	.Y(n_822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482979 (
-	.A(n_368),
-	.B(io_out[12]),
-	.Y(n_821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g482980 (
-	.A(soc_top_GPIO_data_in_q[13]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [13]),
-	.Y(n_820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482981 (
-	.A(\soc_top_intr_controller_reg2hw[le][5][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[5]),
-	.Y(n_819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482982 (
-	.A(n_17360),
-	.B(\soc_top_timer_to_xbar[d_data] [11]),
-	.Y(n_818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g482983 (
-	.A(soc_top_GPIO_data_in_q[2]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [2]),
-	.Y(n_817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g482984 (
-	.A(soc_top_GPIO_data_in_q[14]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [14]),
-	.Y(n_816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482985 (
-	.A(n_481),
-	.B(soc_top_GPIO_cio_gpio_en_q[13]),
-	.Y(n_815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482986 (
-	.A(n_15377),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[11]),
-	.Y(n_814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482987 (
-	.A(\soc_top_intr_controller_reg2hw[le][32][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[32]),
-	.Y(n_813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482988 (
-	.A(\soc_top_intr_controller_reg2hw[le][30][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[30]),
-	.Y(n_812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482989 (
-	.A(\soc_top_intr_controller_reg2hw[le][28][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[28]),
-	.Y(n_811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482990 (
-	.A(n_15392),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[26]),
-	.Y(n_810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482991 (
-	.A(\soc_top_intr_controller_reg2hw[le][25][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[25]),
-	.Y(n_809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482992 (
-	.A(n_17360),
-	.B(\soc_top_timer_to_xbar[d_data] [8]),
-	.Y(n_808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482994 (
-	.A(\soc_top_intr_controller_reg2hw[le][24][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[24]),
-	.Y(n_806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482995 (
-	.A(n_15187),
-	.B(soc_top_u_top_u_core_ready_wb),
-	.Y(n_805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482996 (
-	.A(n_17360),
-	.B(\soc_top_timer_to_xbar[d_data] [5]),
-	.Y(n_804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g482997 (
-	.A(n_15394),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[28]),
-	.Y(n_803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482998 (
-	.A(n_481),
-	.B(io_out[21]),
-	.Y(n_802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g482999 (
-	.A(n_371),
-	.B(io_out[17]),
-	.Y(n_801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483000 (
-	.A(n_482),
-	.B(io_out[16]),
-	.Y(n_800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483001 (
-	.A(n_15387),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[21]),
-	.Y(n_799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483002 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_0 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [6]),
-	.Y(n_798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483003 (
-	.A(n_15393),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[27]),
-	.Y(n_797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483004 (
-	.A(\soc_top_intr_controller_reg2hw[le][21][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[21]),
-	.Y(n_796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483007 (
-	.A(\soc_top_intr_controller_reg2hw[le][20][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[20]),
-	.Y(n_793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483008 (
-	.A(soc_top_GPIO_data_in_q[23]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [23]),
-	.Y(n_792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483009 (
-	.A(\soc_top_intr_controller_reg2hw[le][18][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[18]),
-	.Y(n_791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483011 (
-	.A(\soc_top_intr_controller_reg2hw[le][16][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[16]),
-	.Y(n_789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483012 (
-	.A(\soc_top_intr_controller_reg2hw[le][15][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[15]),
-	.Y(n_788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483013 (
-	.A(\soc_top_intr_controller_reg2hw[le][14][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[14]),
-	.Y(n_787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483014 (
-	.A(soc_top_GPIO_data_in_q[10]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [10]),
-	.Y(n_786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483015 (
-	.A(soc_top_GPIO_data_in_q[8]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [8]),
-	.Y(n_785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483016 (
-	.A(\soc_top_intr_controller_reg2hw[le][13][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[13]),
-	.Y(n_784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483017 (
-	.A(\soc_top_intr_controller_reg2hw[le][12][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[12]),
-	.Y(n_783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483018 (
-	.A(n_480),
-	.B(io_out[23]),
-	.Y(n_782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483019 (
-	.A(soc_top_GPIO_data_in_q[20]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [20]),
-	.Y(n_781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483020 (
-	.A(\soc_top_intr_controller_reg2hw[le][8][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[8]),
-	.Y(n_780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483021 (
-	.A(\soc_top_intr_controller_reg2hw[le][3][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[3]),
-	.Y(n_779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483022 (
-	.A(n_477),
-	.B(io_out[19]),
-	.Y(n_778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483023 (
-	.A(\soc_top_intr_controller_reg2hw[le][2][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[2]),
-	.Y(n_777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483024 (
-	.A(n_475),
-	.B(soc_top_GPIO_cio_gpio_en_q[18]),
-	.Y(n_776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483025 (
-	.A(n_17360),
-	.B(\soc_top_timer_to_xbar[d_data] [7]),
-	.Y(n_775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483026 (
-	.A(soc_top_GPIO_data_in_q[11]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [11]),
-	.Y(n_774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483027 (
-	.A(soc_top_GPIO_data_in_q[0]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [0]),
-	.Y(n_773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483028 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[14]),
-	.B(n_14882),
-	.Y(n_772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483029 (
-	.A(\soc_top_intr_controller_reg2hw[le][11][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[11]),
-	.Y(n_771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483030 (
-	.A(soc_top_GPIO_data_in_q[22]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [22]),
-	.Y(n_770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483031 (
-	.A(\soc_top_timer0_reg2hw[cfg0][prescale][q] [5]),
-	.B(n_308),
-	.Y(n_956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483032 (
-	.A(\soc_top_timer_to_xbar[d_valid] ),
-	.B(n_460),
-	.Y(n_769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483033 (
-	.A(n_504),
-	.B(soc_top_u_pwm_pwm_core_DC_1[6]),
-	.Y(n_955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483035 (
-	.A(soc_top_u_pwm_pwm_core_n_1204),
-	.B(n_15169),
-	.Y(n_768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483036 (
-	.A(soc_top_u_top_u_core_alu_operator_ex[0]),
-	.B(n_14910),
-	.Y(n_952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483037 (
-	.A(soc_top_u_pwm_pwm_core_DC_1[10]),
-	.B(n_400),
-	.Y(n_951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g483038 (
-	.A_N(n_15084),
-	.B(\soc_top_lsu_to_xbar[a_valid] ),
-	.Y(n_950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483039 (
-	.A(soc_top_timer0_u_reg_n_778),
-	.B(n_15188),
-	.Y(n_767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483040 (
-	.A(FE_DBTN15_soc_top_u_top_u_core_lsu_wdata_24),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
-	.Y(n_766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g483041 (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [1]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_done ),
-	.Y(n_949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483042 (
-	.A(soc_top_iccm_adapter_inst_mem_u_reqfifo_n_68),
-	.B_N(n_15005),
-	.Y(n_948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483043 (
-	.A(soc_top_u_top_u_core_alu_operator_ex[2]),
-	.B_N(soc_top_u_top_u_core_alu_operator_ex[0]),
-	.Y(n_947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483044 (
-	.A(\soc_top_intr_controller_irq_id_o[0] [0]),
-	.B(\soc_top_intr_controller_irq_id_o[0] [2]),
-	.Y(n_946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483045 (
-	.A(soc_top_u_pwm_pwm_core_DC_1[0]),
-	.B(n_409),
-	.X(n_765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483046 (
-	.A(\soc_top_intr_controller_irq_id_o[0] [2]),
-	.B(\soc_top_intr_controller_irq_id_o[0] [0]),
-	.Y(n_945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483047 (
-	.A(n_308),
-	.B(\soc_top_timer0_reg2hw[cfg0][prescale][q] [5]),
-	.Y(n_943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483048 (
-	.A(n_402),
-	.B(soc_top_u_pwm_pwm_core_period_2[10]),
-	.Y(n_942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483049 (
-	.A(soc_top_u_top_u_core_multdiv_operand_b_ex[0]),
-	.B(n_17329),
-	.Y(n_941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483050 (
-	.A(\soc_top_GPIO_reg2hw[intr_state][q] [18]),
-	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [18]),
-	.Y(n_940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483051 (
-	.A(n_15191),
-	.B_N(soc_top_u_top_u_core_id_stage_i_id_fsm_q),
-	.Y(n_764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483052 (
-	.A(soc_top_u_pwm_pwm_core_DC_2[10]),
-	.B(n_402),
-	.Y(n_939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483053 (
-	.A(soc_top_u_top_u_core_lsu_wdata[30]),
-	.B(soc_top_u_top_u_core_lsu_wdata[29]),
-	.Y(n_938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483054 (
-	.A(\soc_top_timer0_reg2hw[cfg0][prescale][q] [4]),
-	.B(n_354),
-	.Y(n_937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483055 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [1]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [0]),
-	.Y(n_936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483056 (
-	.A(n_461),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [3]),
-	.Y(n_935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483057 (
-	.A(n_400),
-	.B(soc_top_u_pwm_pwm_core_period[10]),
-	.Y(n_934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483058 (
-	.A(soc_top_u_pwm_pwm_core_DC_2[0]),
-	.B(n_506),
-	.X(n_763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483059 (
-	.A(soc_top_u_pwm_pwm_core_period[6]),
-	.B(n_504),
-	.Y(n_933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483060 (
-	.A(n_316),
-	.B(\soc_top_timer0_reg2hw[cfg0][prescale][q] [7]),
-	.Y(n_932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483061 (
-	.A(soc_top_u_top_u_core_alu_operator_ex[2]),
-	.B(soc_top_u_top_u_core_alu_operator_ex[1]),
-	.Y(n_931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g483062 (
-	.A_N(n_15424),
-	.B(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(n_930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483063 (
-	.A(soc_top_u_pwm_pwm_core_divisor_2[9]),
-	.B(n_296),
-	.Y(n_929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483064 (
-	.A(soc_top_u_pwm_pwm_core_divisor[7]),
-	.B(n_303),
-	.Y(n_928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483065 (
-	.A(soc_top_u_pwm_pwm_core_divisor[8]),
-	.B(n_304),
-	.Y(n_927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483066 (
-	.A(n_14875),
-	.B(n_491),
-	.Y(n_926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483067 (
-	.A(n_17294),
-	.B(n_491),
-	.Y(n_925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483068 (
-	.A(n_461),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [2]),
-	.Y(n_924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483069 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [2]),
-	.Y(n_923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483070 (
-	.A(soc_top_u_pwm_pwm_core_DC_2[11]),
-	.B(n_501),
-	.Y(n_922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483071 (
-	.A(n_15195),
-	.B(n_15425),
-	.Y(n_921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g483072 (
-	.A_N(n_15067),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_ready ),
-	.Y(n_920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483073 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [2]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
-	.Y(n_919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483074 (
-	.A(soc_top_u_pwm_pwm_core_period[7]),
-	.B(n_401),
-	.Y(n_918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483075 (
-	.A(soc_top_u_pwm_pwm_core_divisor_2[2]),
-	.B(n_340),
-	.Y(n_917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483076 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [1]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
-	.Y(n_916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483077 (
-	.A(soc_top_u_pwm_pwm_core_divisor_2[7]),
-	.B(n_342),
-	.Y(n_915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483078 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_29 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [1]),
-	.Y(n_914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483079 (
-	.A(n_322),
-	.B(n_15425),
-	.Y(n_913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483080 (
-	.A(soc_top_u_pwm_pwm_core_divisor[9]),
-	.B(n_336),
-	.Y(n_912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483081 (
-	.A(n_16965),
-	.B(n_15200),
-	.Y(n_911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g483082 (
-	.A_N(n_15258),
-	.B(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(n_910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483083 (
-	.A(n_461),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [4]),
-	.Y(n_909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483084 (
-	.A(soc_top_u_pwm_pwm_core_divisor[3]),
-	.B(n_341),
-	.Y(n_908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483085 (
-	.A(soc_top_u_pwm_pwm_core_divisor[11]),
-	.B(n_302),
-	.Y(n_907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483086 (
-	.A(soc_top_u_pwm_pwm_core_divisor_2[1]),
-	.B(n_294),
-	.Y(n_906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483087 (
-	.A(soc_top_u_pwm_pwm_core_divisor[2]),
-	.B(n_305),
-	.Y(n_905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483088 (
-	.A(soc_top_u_pwm_pwm_core_DC_1[11]),
-	.B(n_489),
-	.Y(n_904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483089 (
-	.A(n_15085),
-	.B(n_11356),
-	.Y(n_903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483090 (
-	.A(n_15050),
-	.B(n_15055),
-	.Y(n_902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483091 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [1]),
-	.Y(n_901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483092 (
-	.A(soc_top_reset_manager_n_13),
-	.B_N(\soc_top_xbar_to_timer[a_mask] [2]),
-	.Y(n_900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483093 (
-	.A(soc_top_reset_manager_n_13),
-	.B_N(\soc_top_xbar_to_timer[a_mask] [3]),
-	.Y(n_899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483094 (
-	.A(n_15065),
-	.B(soc_top_u_top_u_core_cs_registers_i_csr_we_int),
-	.Y(n_898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483095 (
-	.A(n_15028),
-	.B_N(soc_top_u_top_u_core_id_in_ready),
-	.Y(n_897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483096 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_22 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
-	.Y(n_896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483097 (
-	.A(n_16647),
-	.B(n_15053),
-	.Y(n_895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483098 (
-	.A(n_16649),
-	.B(n_15013),
-	.Y(n_893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483099 (
-	.A(FE_DBTN16_soc_top_u_top_u_core_lsu_wdata_26),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
-	.Y(n_892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483100 (
-	.A(soc_top_u_pwm_pwm_core_n_49),
-	.B(n_15052),
-	.Y(n_891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483101 (
-	.A(FE_DBTN17_soc_top_u_top_u_core_lsu_wdata_27),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [4]),
-	.Y(n_890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483102 (
-	.A(n_14898),
-	.B(n_510),
-	.Y(n_889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483103 (
-	.A(n_14996),
-	.B_N(n_17199),
-	.Y(n_887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483104 (
-	.A(n_14995),
-	.B_N(n_17199),
-	.Y(n_886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483105 (
-	.A(n_14996),
-	.B_N(n_17200),
-	.Y(n_885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483106 (
-	.A(soc_top_reset_manager_n_13),
-	.B_N(\soc_top_xbar_to_timer[a_mask] [1]),
-	.Y(n_884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483107 (
-	.A(n_14995),
-	.B_N(n_17200),
-	.Y(n_883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483108 (
-	.A(soc_top_u_top_u_core_rf_waddr_wb[1]),
-	.B(soc_top_u_top_u_core_rf_waddr_wb[0]),
-	.Y(n_882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483109 (
-	.A(soc_top_u_top_u_core_rf_waddr_wb[3]),
-	.B(soc_top_u_top_u_core_rf_waddr_wb[2]),
-	.Y(n_880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483110 (
-	.A(soc_top_u_top_u_core_rf_waddr_wb[0]),
-	.B(soc_top_u_top_u_core_rf_waddr_wb[1]),
-	.Y(n_879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483111 (
-	.A(soc_top_u_top_u_core_rf_waddr_wb[3]),
-	.B(soc_top_u_top_u_core_rf_waddr_wb[2]),
-	.Y(n_876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g483112 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Div_start_dly_S_8434 ),
-	.X(n_875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483113 (
-	.A(soc_top_timer0_u_reg_addr_hit[4]),
-	.B(n_17360),
-	.Y(n_874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483114 (
-	.A(n_15045),
-	.B(n_17360),
-	.Y(n_873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483115 (
-	.A(soc_top_timer0_u_reg_addr_hit[3]),
-	.B(n_15188),
-	.Y(n_872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483116 (
-	.A(soc_top_timer0_u_reg_addr_hit[1]),
-	.B(n_15188),
-	.Y(n_871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483119 (
-	.A(n_749),
-	.Y(n_750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483120 (
-	.A(n_743),
-	.Y(n_744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483121 (
-	.A(n_738),
-	.Y(n_739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483122 (
-	.A(n_707),
-	.Y(n_706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483123 (
-	.A(n_697),
-	.Y(n_698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483124 (
-	.A(n_652),
-	.Y(n_651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483125 (
-	.A(n_650),
-	.Y(n_649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483126 (
-	.A(n_648),
-	.Y(n_647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483127 (
-	.A(n_644),
-	.Y(n_645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g483128 (
-	.A(n_643),
-	.Y(n_642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g483129 (
-	.A(n_641),
-	.Y(n_640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483130 (
-	.A(n_638),
-	.Y(n_639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483131 (
-	.A(n_637),
-	.Y(n_636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483132 (
-	.A(n_635),
-	.Y(n_634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483133 (
-	.A(n_632),
-	.Y(n_631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483134 (
-	.A(n_630),
-	.Y(n_629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483135 (
-	.A(n_626),
-	.Y(n_625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483136 (
-	.A(n_624),
-	.Y(n_623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483137 (
-	.A(n_622),
-	.Y(n_621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483138 (
-	.A(n_617),
-	.Y(n_616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483141 (
-	.A(n_15382),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[16]),
-	.Y(n_613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483142 (
-	.A(\soc_top_intr_controller_reg2hw[le][23][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[23]),
-	.Y(n_612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483143 (
-	.A(n_370),
-	.B(io_out[14]),
-	.Y(n_611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483144 (
-	.A(n_17360),
-	.B(\soc_top_timer_to_xbar[d_data] [9]),
-	.Y(n_610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483145 (
-	.A(\soc_top_intr_controller_reg2hw[le][22][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[22]),
-	.Y(n_609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483146 (
-	.A(n_15395),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[29]),
-	.Y(n_608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483147 (
-	.A(soc_top_GPIO_data_in_q[4]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [4]),
-	.Y(n_607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483148 (
-	.A(\soc_top_intr_controller_reg2hw[le][19][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[19]),
-	.Y(n_606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483149 (
-	.A(soc_top_u_pwm_pwm_core_n_1204),
-	.B(n_15062),
-	.Y(n_605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g483150 (
-	.A_N(n_15043),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_a_S ),
-	.Y(n_604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483151 (
-	.A(\soc_top_intr_controller_reg2hw[le][17][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[17]),
-	.Y(n_603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483152 (
-	.A(n_371),
-	.B(gpio_o[25]),
-	.Y(n_602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483153 (
-	.A(\soc_top_intr_controller_reg2hw[le][7][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[7]),
-	.Y(n_601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483154 (
-	.A(n_474),
-	.B(io_out[25]),
-	.Y(n_600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483155 (
-	.A(n_482),
-	.B(soc_top_GPIO_cio_gpio_en_q[24]),
-	.Y(n_599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483156 (
-	.A(n_17360),
-	.B(\soc_top_timer_to_xbar[d_data] [10]),
-	.Y(n_598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483157 (
-	.A(n_368),
-	.B(soc_top_GPIO_cio_gpio_en_q[4]),
-	.Y(n_597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483158 (
-	.A(soc_top_GPIO_data_in_q[6]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [6]),
-	.Y(n_596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483159 (
-	.A(\soc_top_intr_controller_reg2hw[le][10][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[10]),
-	.Y(n_595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483160 (
-	.A(n_480),
-	.B(soc_top_GPIO_cio_gpio_en_q[31]),
-	.Y(n_594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483161 (
-	.A(n_474),
-	.B(soc_top_GPIO_cio_gpio_en_q[17]),
-	.Y(n_593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483162 (
-	.A(soc_top_GPIO_data_in_q[30]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [30]),
-	.Y(n_592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483163 (
-	.A(soc_top_GPIO_data_in_q[1]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [1]),
-	.Y(n_591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483164 (
-	.A(n_16273),
-	.B(n_16274),
-	.Y(n_590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483165 (
-	.A(soc_top_u_pwm_pwm_core_DC_2[9]),
-	.B(n_502),
-	.Y(n_589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483166 (
-	.A(soc_top_GPIO_data_in_q[12]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [12]),
-	.Y(n_588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483167 (
-	.A(n_473),
-	.B(io_out[24]),
-	.Y(n_587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483168 (
-	.A(n_371),
-	.B(soc_top_GPIO_cio_gpio_en_q[25]),
-	.Y(n_586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483169 (
-	.A(n_366),
-	.B(gpio_o[19]),
-	.Y(n_585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483170 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[15]),
-	.B(n_15381),
-	.Y(n_584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483171 (
-	.A(n_368),
-	.B(soc_top_GPIO_cio_gpio_en_q[20]),
-	.Y(n_583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483172 (
-	.A(n_476),
-	.B(soc_top_GPIO_cio_gpio_en_q[23]),
-	.Y(n_582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483173 (
-	.A(n_482),
-	.B(io_out[32]),
-	.Y(n_581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483174 (
-	.A(n_416),
-	.B(soc_top_u_pwm_pwm_core_period_counter1[14]),
-	.Y(n_580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483175 (
-	.A(n_479),
-	.B(soc_top_GPIO_cio_gpio_en_q[26]),
-	.Y(n_579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483176 (
-	.A(n_478),
-	.B(soc_top_GPIO_cio_gpio_en_q[28]),
-	.Y(n_578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483177 (
-	.A(\soc_top_intr_controller_reg2hw[le][33][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[33]),
-	.Y(n_577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483178 (
-	.A(n_366),
-	.B(soc_top_GPIO_cio_gpio_en_q[19]),
-	.Y(n_576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483179 (
-	.A(n_477),
-	.B(soc_top_GPIO_cio_gpio_en_q[27]),
-	.Y(n_575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483180 (
-	.A(n_367),
-	.B(soc_top_GPIO_cio_gpio_en_q[21]),
-	.Y(n_574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483181 (
-	.A(n_370),
-	.B(soc_top_GPIO_cio_gpio_en_q[22]),
-	.Y(n_573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483182 (
-	.A(n_481),
-	.B(soc_top_GPIO_cio_gpio_en_q[29]),
-	.Y(n_572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483183 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[2] [1]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[2] [0]),
-	.Y(n_571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483184 (
-	.A(n_372),
-	.B(soc_top_GPIO_cio_gpio_en_q[30]),
-	.Y(n_570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483185 (
-	.A(n_473),
-	.B(soc_top_GPIO_cio_gpio_en_q[16]),
-	.Y(n_569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483186 (
-	.A(n_422),
-	.B(soc_top_u_pwm_pwm_core_period_counter2[14]),
-	.Y(n_568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483187 (
-	.A(n_15389),
-	.B(soc_top_u_top_u_core_alu_operand_b_ex[23]),
-	.Y(n_567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483188 (
-	.A(\soc_top_intr_controller_reg2hw[le][4][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[4]),
-	.Y(n_566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483189 (
-	.A(soc_top_GPIO_data_in_q[28]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [28]),
-	.Y(n_565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483190 (
-	.A(n_15197),
-	.B(n_15198),
-	.Y(n_564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483191 (
-	.A(n_350),
-	.B(\soc_top_timer0_reg2hw[cfg0][prescale][q] [8]),
-	.Y(n_563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483192 (
-	.A(\soc_top_intr_controller_reg2hw[le][9][q] ),
-	.B(soc_top_intr_controller_u_gateway_src_q[9]),
-	.Y(n_562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483193 (
-	.A(soc_top_GPIO_data_in_q[26]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [26]),
-	.Y(n_561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483194 (
-	.A(soc_top_GPIO_data_in_q[31]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [31]),
-	.Y(n_560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483195 (
-	.A(soc_top_GPIO_data_in_q[5]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [5]),
-	.Y(n_559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483196 (
-	.A(n_475),
-	.B(soc_top_GPIO_cio_gpio_q[18]),
-	.Y(n_558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483197 (
-	.A(n_17360),
-	.B(\soc_top_timer_to_xbar[d_data] [17]),
-	.Y(n_557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483198 (
-	.A(soc_top_GPIO_data_in_q[17]),
-	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [17]),
-	.Y(n_556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483199 (
-	.A(n_17360),
-	.B(\soc_top_timer_to_xbar[d_data] [6]),
-	.Y(n_555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483200 (
-	.A(soc_top_u_pwm_pwm_core_period[5]),
-	.B(n_392),
-	.Y(n_554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483201 (
-	.A(soc_top_u_pwm_pwm_core_DC_1[9]),
-	.B(n_395),
-	.Y(n_553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483202 (
-	.A(soc_top_u_pwm_pwm_core_period[0]),
-	.B(n_409),
-	.X(n_552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483203 (
-	.A(soc_top_u_pwm_pwm_core_period_counter1[1]),
-	.B(soc_top_u_pwm_pwm_core_period_counter1[0]),
-	.Y(n_762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483204 (
-	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [11]),
-	.B_N(\soc_top_timer0_reg2hw[cfg0][prescale][q] [11]),
-	.Y(n_761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483206 (
-	.A(\soc_top_xbar_to_timer[a_data] [2]),
-	.B(n_464),
-	.Y(n_759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483207 (
-	.A(soc_top_u_pwm_pwm_core_period_2[6]),
-	.B(n_396),
-	.Y(n_758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483208 (
-	.A(n_391),
-	.B(soc_top_u_pwm_pwm_core_period_2[12]),
-	.Y(n_757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483209 (
-	.A(n_462),
-	.B(\soc_top_xbar_to_timer[a_data] [4]),
-	.Y(n_756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483210 (
-	.A(n_462),
-	.B(n_464),
-	.Y(n_755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483211 (
-	.A(\soc_top_xbar_to_timer[a_data] [2]),
-	.B(\soc_top_xbar_to_timer[a_data] [4]),
-	.Y(n_754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483212 (
-	.A(n_335),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
-	.Y(n_753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483213 (
-	.A(soc_top_u_pwm_pwm_core_divisor_2[10]),
-	.B(n_290),
-	.Y(n_752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483214 (
-	.A(\soc_top_timer0_reg2hw[cfg0][prescale][q] [1]),
-	.B(n_310),
-	.Y(n_751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483215 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_inf] ),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [31]),
-	.Y(n_749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483216 (
-	.A(\soc_top_xbar_to_timer[a_address] [7]),
-	.B(n_15061),
-	.Y(n_748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483218 (
-	.A(\soc_top_intr_controller_irq_id_o[0] [0]),
-	.B(n_522),
-	.Y(n_746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483219 (
-	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
-	.B(n_335),
-	.Y(n_745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483220 (
-	.A(n_522),
-	.B(\soc_top_intr_controller_irq_id_o[0] [0]),
-	.Y(n_743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483221 (
-	.A(n_306),
-	.B(\soc_top_timer0_reg2hw[cfg0][prescale][q] [9]),
-	.Y(n_742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483222 (
-	.A(n_396),
-	.B(soc_top_u_pwm_pwm_core_DC_2[6]),
-	.Y(n_741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g483223 (
-	.A_N(n_14869),
-	.B(\soc_top_xbar_to_lsu[d_valid] ),
-	.Y(n_740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483224 (
-	.A(\soc_top_spi_to_xbar[d_valid] ),
-	.B(n_457),
-	.Y(n_551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483225 (
-	.A(n_314),
-	.B(\soc_top_timer0_reg2hw[cfg0][prescale][q] [3]),
-	.Y(n_738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483226 (
-	.A(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [0]),
-	.X(n_737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483227 (
-	.A(soc_top_u_pwm_pwm_core_divisor[6]),
-	.B(n_300),
-	.Y(n_736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483228 (
-	.A(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [0]),
-	.X(n_735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483229 (
-	.A(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [0]),
-	.X(n_734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483230 (
-	.A(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [0]),
-	.X(n_733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483231 (
-	.A(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [0]),
-	.X(n_732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483232 (
-	.A(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [0]),
-	.X(n_731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483233 (
-	.A(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [0]),
-	.X(n_730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483234 (
-	.A(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [0]),
-	.X(n_729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483235 (
-	.A(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [0]),
-	.X(n_728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483236 (
-	.A(soc_top_u_pwm_pwm_core_period_2[7]),
-	.B(n_503),
-	.Y(n_727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g483237 (
-	.A_N(n_15041),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.Y(n_726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483238 (
-	.A(soc_top_u_pwm_pwm_core_divisor_2[8]),
-	.B(n_327),
-	.Y(n_725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483239 (
-	.A(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [0]),
-	.X(n_724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483240 (
-	.A(n_299),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [1]),
-	.Y(n_723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483241 (
-	.A(soc_top_u_pwm_pwm_core_divisor_2[4]),
-	.B(n_295),
-	.Y(n_722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483242 (
-	.A(soc_top_u_pwm_pwm_core_divisor_2[14]),
-	.B(n_343),
-	.Y(n_721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483243 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [0]),
-	.Y(n_720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483244 (
-	.A(soc_top_u_pwm_pwm_core_divisor_2[3]),
-	.B(n_293),
-	.Y(n_719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483245 (
-	.A(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [0]),
-	.X(n_718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483246 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [31]),
-	.B(soc_top_u_top_u_core_lsu_wdata[31]),
-	.Y(n_717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483247 (
-	.A(soc_top_u_pwm_pwm_core_divisor_2[6]),
-	.B(n_338),
-	.Y(n_716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483248 (
-	.A(soc_top_u_pwm_pwm_core_divisor[4]),
-	.B(n_333),
-	.Y(n_715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483249 (
-	.A(soc_top_u_pwm_pwm_core_divisor[1]),
-	.B(n_331),
-	.Y(n_714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g483250 (
-	.A(n_15200),
-	.B_N(n_16966),
-	.Y(n_713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483251 (
-	.A(soc_top_u_pwm_pwm_core_divisor[5]),
-	.B(n_344),
-	.Y(n_712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483252 (
-	.A(soc_top_u_pwm_pwm_core_divisor_2[13]),
-	.B(n_403),
-	.Y(n_711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483253 (
-	.A(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [0]),
-	.X(n_710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483254 (
-	.A(soc_top_u_pwm_pwm_core_divisor_2[5]),
-	.B(n_330),
-	.Y(n_709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483255 (
-	.A(soc_top_u_pwm_pwm_core_divisor[13]),
-	.B(n_505),
-	.Y(n_708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483256 (
-	.A(n_15125),
-	.B(n_15083),
-	.Y(n_707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483257 (
-	.A(soc_top_u_top_u_core_id_in_ready),
-	.B(soc_top_u_top_u_core_out_valid_fpu2c),
-	.Y(n_705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483258 (
-	.A(soc_top_u_pwm_pwm_core_divisor_2[12]),
-	.B(n_292),
-	.Y(n_704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483259 (
-	.A(soc_top_u_pwm_pwm_core_divisor[14]),
-	.B(n_301),
-	.Y(n_703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483260 (
-	.A(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [0]),
-	.X(n_702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483261 (
-	.A(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [0]),
-	.X(n_701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483262 (
-	.A(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [0]),
-	.X(n_700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483263 (
-	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [1]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_tick_count [0]),
-	.Y(n_699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483264 (
-	.A(soc_top_u_pwm_pwm_core_period[13]),
-	.B(n_389),
-	.Y(n_697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483265 (
-	.A(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [0]),
-	.X(n_696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483266 (
-	.A(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [0]),
-	.X(n_695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483267 (
-	.A(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [0]),
-	.X(n_694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483268 (
-	.A(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [0]),
-	.X(n_693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483269 (
-	.A(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [0]),
-	.X(n_692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483270 (
-	.A(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [0]),
-	.X(n_691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483271 (
-	.A(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [0]),
-	.X(n_690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483272 (
-	.A(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [0]),
-	.X(n_689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483273 (
-	.A(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [0]),
-	.X(n_688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483274 (
-	.A(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [0]),
-	.X(n_687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483275 (
-	.A(soc_top_u_pwm_pwm_core_counter_p1[1]),
-	.B(soc_top_u_pwm_pwm_core_counter_p1[0]),
-	.Y(n_686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483276 (
-	.A(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [0]),
-	.X(n_685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483277 (
-	.A(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [0]),
-	.X(n_684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483278 (
-	.A(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [0]),
-	.X(n_683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483279 (
-	.A(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [0]),
-	.X(n_682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483280 (
-	.A(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [0]),
-	.X(n_681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483281 (
-	.A(soc_top_u_pwm_pwm_core_divisor[12]),
-	.B(n_291),
-	.Y(n_680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483282 (
-	.A(soc_top_u_pwm_pwm_core_divisor_2[11]),
-	.B(n_297),
-	.Y(n_679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g483283 (
-	.A(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [1]),
-	.B(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [0]),
-	.X(n_678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483284 (
-	.A(soc_top_u_pwm_pwm_core_divisor[10]),
-	.B(n_298),
-	.Y(n_677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483285 (
-	.A(\soc_top_xbar_to_timer[a_data] [10]),
-	.B(\soc_top_xbar_to_timer[a_data] [26]),
-	.Y(n_676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483286 (
-	.A(\soc_top_xbar_to_timer[a_data] [0]),
-	.B(\soc_top_xbar_to_timer[a_data] [16]),
-	.Y(n_675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483287 (
-	.A(\soc_top_xbar_to_timer[a_data] [1]),
-	.B(\soc_top_xbar_to_timer[a_data] [17]),
-	.Y(n_674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483288 (
-	.A(\soc_top_xbar_to_timer[a_data] [2]),
-	.B(\soc_top_xbar_to_timer[a_data] [18]),
-	.Y(n_673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483289 (
-	.A(\soc_top_xbar_to_timer[a_data] [3]),
-	.B(\soc_top_xbar_to_timer[a_data] [19]),
-	.Y(n_672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483290 (
-	.A(n_320),
-	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
-	.Y(n_671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483291 (
-	.A(\soc_top_xbar_to_timer[a_data] [4]),
-	.B(\soc_top_xbar_to_timer[a_data] [20]),
-	.Y(n_670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483292 (
-	.A(\soc_top_xbar_to_timer[a_data] [5]),
-	.B(\soc_top_xbar_to_timer[a_data] [21]),
-	.Y(n_669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483293 (
-	.A(\soc_top_xbar_to_timer[a_data] [6]),
-	.B(\soc_top_xbar_to_timer[a_data] [22]),
-	.Y(n_668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483294 (
-	.A(\soc_top_xbar_to_timer[a_data] [7]),
-	.B(\soc_top_xbar_to_timer[a_data] [23]),
-	.Y(n_667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483295 (
-	.A(\soc_top_xbar_to_timer[a_data] [24]),
-	.B(\soc_top_xbar_to_timer[a_data] [8]),
-	.Y(n_666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483296 (
-	.A(\soc_top_xbar_to_timer[a_data] [25]),
-	.B(\soc_top_xbar_to_timer[a_data] [9]),
-	.Y(n_665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483297 (
-	.A(soc_top_u_pwm_pwm_core_n_49),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(n_664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483298 (
-	.A(\soc_top_xbar_to_timer[a_data] [27]),
-	.B(\soc_top_xbar_to_timer[a_data] [11]),
-	.Y(n_663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483299 (
-	.A(\soc_top_xbar_to_timer[a_data] [30]),
-	.B(\soc_top_xbar_to_timer[a_data] [14]),
-	.Y(n_662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483300 (
-	.A(\soc_top_xbar_to_timer[a_data] [31]),
-	.B(\soc_top_xbar_to_timer[a_data] [15]),
-	.Y(n_661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483301 (
-	.A(\soc_top_xbar_to_timer[a_data] [29]),
-	.B(\soc_top_xbar_to_timer[a_data] [13]),
-	.Y(n_660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483302 (
-	.A(\soc_top_xbar_to_timer[a_data] [28]),
-	.B(\soc_top_xbar_to_timer[a_data] [12]),
-	.Y(n_659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483303 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[0]),
-	.B(soc_top_main_swith_host_lsu_num_req_outstanding[1]),
-	.Y(n_658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483304 (
-	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_valid_req_q),
-	.B(n_14997),
-	.Y(n_657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483305 (
-	.A(FE_DBTN4_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_exponent_2),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]),
-	.Y(n_656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483306 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_21 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]),
-	.Y(n_655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483307 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_2 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [4]),
-	.Y(n_654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483308 (
-	.A(\soc_top_xbar_to_timer[a_address] [5]),
-	.B(\soc_top_xbar_to_timer[a_address] [4]),
-	.Y(n_653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483309 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_15187),
-	.Y(n_652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483310 (
-	.A(\soc_top_xbar_to_timer[a_address] [4]),
-	.B(\soc_top_xbar_to_timer[a_address] [5]),
-	.Y(n_650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483311 (
-	.A(n_351),
-	.B(n_15424),
-	.Y(n_648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483312 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_521),
-	.Y(n_646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483313 (
-	.A(n_334),
-	.B(soc_top_u_top_u_core_rf_waddr_wb[3]),
-	.Y(n_644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483314 (
-	.A(n_406),
-	.B(soc_top_u_top_u_core_rf_waddr_wb[0]),
-	.Y(n_643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483315 (
-	.A(n_513),
-	.B(soc_top_u_top_u_core_rf_waddr_wb[1]),
-	.Y(n_641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483316 (
-	.A(n_332),
-	.B(soc_top_u_top_u_core_rf_waddr_wb[2]),
-	.Y(n_638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483317 (
-	.A(n_289),
-	.B(soc_top_u_top_u_core_pc_if[1]),
-	.Y(n_637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483318 (
-	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[0]),
-	.B(soc_top_u_top_u_core_pc_if[1]),
-	.Y(n_635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483319 (
-	.A(n_287),
-	.B(n_325),
-	.Y(n_633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483320 (
-	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
-	.B(n_287),
-	.Y(n_632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483321 (
-	.A(soc_top_u_top_u_core_pc_set),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(n_630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483322 (
-	.A(\soc_top_xbar_to_lsu[d_valid] ),
-	.B(n_15083),
-	.Y(n_628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483323 (
-	.A(soc_top_timer0_u_reg_addr_hit[1]),
-	.B(n_17360),
-	.Y(n_627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g483324 (
-	.A(n_15083),
-	.B(\soc_top_xbar_to_lsu[d_valid] ),
-	.Y(n_626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483325 (
-	.A(soc_top_u_top_u_core_pc_if[1]),
-	.B(n_325),
-	.Y(n_624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483326 (
-	.A(soc_top_u_top_u_core_pc_if[1]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
-	.Y(n_622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483327 (
-	.A(soc_top_timer0_u_reg_addr_hit[2]),
-	.B(n_17360),
-	.Y(n_620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483328 (
-	.A(soc_top_timer0_u_reg_addr_hit[3]),
-	.B(n_17360),
-	.Y(n_619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483329 (
-	.A(soc_top_timer0_u_reg_addr_hit[2]),
-	.B(n_15188),
-	.Y(n_618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g483330 (
-	.A(soc_top_reset_manager_n_13),
-	.B(n_456),
-	.Y(n_617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483333 (
-	.A(n_14883),
-	.Y(n_550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483334 (
-	.A(soc_top_iccm_adapter_inst_mem_u_sramreqfifo_n_52),
-	.Y(n_549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483335 (
-	.A(n_15136),
-	.Y(n_548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483336 (
-	.A(soc_top_iccm_adapter_inst_mem_u_rspfifo_n_108),
-	.Y(n_547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g483337 (
-	.A(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [2]),
-	.Y(n_546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483339 (
-	.A(n_15189),
-	.Y(n_544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g483340 (
-	.A(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [4]),
-	.Y(n_543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483524 (
-	.A(n_15059),
-	.Y(n_541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483563 (
-	.A(soc_top_u_pwm_pwm_core_DC_2[5]),
-	.Y(n_540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483646 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [8]),
-	.Y(n_535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483762 (
-	.A(soc_top_u_pwm_pwm_core_divisor[15]),
-	.Y(n_530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483763 (
-	.A(soc_top_u_pwm_pwm_core_period_counter2[15]),
-	.Y(n_529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483773 (
-	.A(soc_top_u_pwm_pwm_core_DC_2[14]),
-	.Y(n_527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483777 (
-	.A(soc_top_u_pwm_pwm_core_divisor_2[10]),
-	.Y(n_526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483778 (
-	.A(\soc_top_timer0_reg2hw[cfg0][prescale][q] [0]),
-	.Y(n_525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483783 (
-	.A(soc_top_u_pwm_pwm_core_period_2[11]),
-	.Y(n_523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483808 (
-	.A(\soc_top_intr_controller_irq_id_o[0] [2]),
-	.Y(n_522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483809 (
-	.A(\soc_top_xbar_to_timer[a_mask] [0]),
-	.Y(n_521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483817 (
-	.A(soc_top_u_pwm_pwm_core_divisor_2[15]),
-	.Y(n_520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483844 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][4] ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_2 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483845 (
-	.A(soc_top_u_pwm_pwm_core_period_counter2[1]),
-	.Y(n_516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483857 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
-	.Y(n_339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483858 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [30]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_27 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483866 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
-	.Y(n_337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483897 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
-	.Y(n_512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483901 (
-	.A(soc_top_u_pwm_pwm_core_period_counter1[3]),
-	.Y(n_509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g483903 (
-	.A(soc_top_u_pwm_pwm_core_period_counter1[1]),
-	.Y(n_508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483910 (
-	.A(soc_top_u_pwm_pwm_core_period_counter2[0]),
-	.Y(n_506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g483912 (
-	.A(soc_top_u_pwm_pwm_core_counter_p1[13]),
-	.Y(n_505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483913 (
-	.A(soc_top_u_pwm_pwm_core_period_counter1[6]),
-	.Y(n_504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483914 (
-	.A(soc_top_u_pwm_pwm_core_period_counter2[7]),
-	.Y(n_503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483915 (
-	.A(soc_top_u_pwm_pwm_core_period_counter2[9]),
-	.Y(n_502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g483916 (
-	.A(soc_top_u_pwm_pwm_core_period_counter2[11]),
-	.Y(n_501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483917 (
-	.A(soc_top_u_pwm_pwm_core_period_counter1[2]),
-	.Y(n_500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483918 (
-	.A(soc_top_u_pwm_pwm_core_period_counter2[2]),
-	.Y(n_499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483928 (
-	.A(soc_top_u_top_u_core_alu_adder_result_ex[14]),
-	.Y(n_498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483929 (
-	.A(soc_top_u_pwm_pwm_core_period_counter1[14]),
-	.Y(n_497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g483930 (
-	.A(soc_top_u_pwm_pwm_core_period_counter2[13]),
-	.Y(n_496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g483932 (
-	.A(\soc_top_intr_controller_irq_id_o[0] [1]),
-	.Y(n_494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483933 (
-	.A(\soc_top_lsu_to_xbar[a_address] [17]),
-	.Y(n_493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483934 (
-	.A(\soc_top_xbar_to_timer[a_address] [7]),
-	.Y(n_492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483935 (
-	.A(n_15246),
-	.Y(n_491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g483936 (
-	.A(soc_top_u_top_u_core_fp_flush),
-	.Y(n_490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g483937 (
-	.A(soc_top_u_pwm_pwm_core_period_counter1[11]),
-	.Y(n_489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483941 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][5] ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_11 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483942 (
-	.A(soc_top_u_pwm_pwm_core_n_49),
-	.Y(n_486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483943 (
-	.A(soc_top_u_pwm_pwm_core_ctrl_2[1]),
-	.Y(n_485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483945 (
-	.A(soc_top_u_pwm_pwm_core_clock_p2),
-	.Y(n_483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483946 (
-	.A(\soc_top_xbar_to_timer[a_data] [24]),
-	.Y(n_482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483947 (
-	.A(\soc_top_xbar_to_timer[a_data] [29]),
-	.Y(n_481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483948 (
-	.A(\soc_top_xbar_to_timer[a_data] [31]),
-	.Y(n_480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483949 (
-	.A(\soc_top_xbar_to_timer[a_data] [26]),
-	.Y(n_479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483950 (
-	.A(\soc_top_xbar_to_timer[a_data] [28]),
-	.Y(n_478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483951 (
-	.A(\soc_top_xbar_to_timer[a_data] [27]),
-	.Y(n_477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483952 (
-	.A(\soc_top_xbar_to_timer[a_data] [23]),
-	.Y(n_476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483953 (
-	.A(\soc_top_xbar_to_timer[a_data] [18]),
-	.Y(n_475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483954 (
-	.A(\soc_top_xbar_to_timer[a_data] [17]),
-	.Y(n_474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483955 (
-	.A(\soc_top_xbar_to_timer[a_data] [16]),
-	.Y(n_473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483956 (
-	.A(\soc_top_xbar_to_timer[a_data] [13]),
-	.Y(n_472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483957 (
-	.A(\soc_top_xbar_to_timer[a_data] [12]),
-	.Y(n_471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483958 (
-	.A(\soc_top_xbar_to_timer[a_data] [10]),
-	.Y(n_470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483959 (
-	.A(\soc_top_xbar_to_timer[a_data] [8]),
-	.Y(n_469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g483960 (
-	.A(\soc_top_xbar_to_timer[a_data] [6]),
-	.Y(n_468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483961 (
-	.A(\soc_top_xbar_to_timer[a_data] [7]),
-	.Y(n_467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483962 (
-	.A(soc_top_u_top_u_core_pc_set),
-	.Y(n_466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483963 (
-	.A(\soc_top_xbar_to_timer[a_data] [5]),
-	.Y(n_465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483964 (
-	.A(\soc_top_xbar_to_timer[a_data] [4]),
-	.Y(n_464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483965 (
-	.A(\soc_top_xbar_to_timer[a_data] [3]),
-	.Y(n_463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483966 (
-	.A(\soc_top_xbar_to_timer[a_data] [2]),
-	.Y(n_462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483967 (
-	.A(n_16649),
-	.Y(n_461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483968 (
-	.A(n_17360),
-	.Y(n_460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483969 (
-	.A(n_12018),
-	.Y(n_459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483970 (
-	.A(\soc_top_xbar_to_timer[a_data] [0]),
-	.Y(n_458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483971 (
-	.A(n_14993),
-	.Y(n_457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g483972 (
-	.A(n_15187),
-	.Y(n_456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483974 (
-	.A(n_16629),
-	.Y(n_454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483975 (
-	.A(n_16630),
-	.Y(n_453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483976 (
-	.A(soc_top_dccm_adapter_data_mem_u_rspfifo_n_125),
-	.Y(n_452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g483978 (
-	.A(n_14974),
-	.Y(n_450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g483980 (
-	.A(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [2]),
-	.Y(n_449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483981 (
-	.A(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
-	.Y(n_448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g483982 (
-	.A(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [4]),
-	.Y(n_447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g483983 (
-	.A(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [3]),
-	.Y(n_446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g483984 (
-	.A(n_15251),
-	.Y(n_445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484180 (
-	.A(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]),
-	.Y(n_444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g484208 (
-	.A(\soc_top_timer0_reg2hw[cfg0][prescale][q] [6]),
-	.Y(n_442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484219 (
-	.A(soc_top_u_top_u_core_lsu_wdata[13]),
-	.Y(n_441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484226 (
-	.A(soc_top_u_top_u_core_lsu_wdata[0]),
-	.Y(n_440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484247 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [10]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_24 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484279 (
-	.A(soc_top_u_pwm_pwm_core_DC_1[5]),
-	.Y(n_436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484282 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [20]),
-	.Y(n_435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484294 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [1]),
-	.Y(n_434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g484319 (
-	.A(soc_top_u_top_u_core_lsu_wdata[17]),
-	.Y(n_433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g484335 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_inf] ),
-	.Y(n_432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484357 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [2]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_23 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484394 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [6]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_0 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484448 (
-	.A(soc_top_u_pwm_pwm_core_ctrl_2[2]),
-	.Y(n_425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484462 (
-	.A(soc_top_u_pwm_pwm_core_ctrl[2]),
-	.Y(n_423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484466 (
-	.A(soc_top_u_pwm_pwm_core_period_2[14]),
-	.Y(n_422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484479 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_nan] ),
-	.Y(n_421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484481 (
-	.A(soc_top_u_pwm_pwm_core_DC_1[14]),
-	.Y(n_420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484503 (
-	.A(soc_top_u_pwm_pwm_core_period_counter1[15]),
-	.Y(n_419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g484504 (
-	.A(soc_top_u_pwm_pwm_core_DC_2[7]),
-	.Y(n_418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g484522 (
-	.A(soc_top_u_top_u_core_multdiv_operand_b_ex[0]),
-	.Y(n_417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484523 (
-	.A(soc_top_u_pwm_pwm_core_period[14]),
-	.Y(n_416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484525 (
-	.A(soc_top_u_pwm_pwm_core_period_2[13]),
-	.Y(n_415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g484529 (
-	.A(soc_top_u_pwm_pwm_core_DC_1[7]),
-	.Y(n_414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484533 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
-	.Y(n_413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g484542 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][1] ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_29 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484543 (
-	.A(n_17201),
-	.Y(n_410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g484544 (
-	.A(soc_top_u_pwm_pwm_core_period_counter1[0]),
-	.Y(n_409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484550 (
-	.A(soc_top_u_top_u_core_rf_waddr_wb[4]),
-	.Y(n_408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g484558 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][2] ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_21 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g484596 (
-	.A(soc_top_u_top_u_core_rf_waddr_wb[1]),
-	.Y(n_406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g484608 (
-	.A(soc_top_u_pwm_pwm_core_period_counter2[3]),
-	.Y(n_405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484617 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][0] ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_18 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g484619 (
-	.A(soc_top_u_pwm_pwm_core_counter_p2[13]),
-	.Y(n_403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g484624 (
-	.A(soc_top_u_pwm_pwm_core_period_counter2[10]),
-	.Y(n_402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484625 (
-	.A(soc_top_u_pwm_pwm_core_period_counter1[7]),
-	.Y(n_401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g484626 (
-	.A(soc_top_u_pwm_pwm_core_period_counter1[10]),
-	.Y(n_400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g484627 (
-	.A(soc_top_u_pwm_pwm_core_period_counter2[8]),
-	.Y(n_399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g484628 (
-	.A(soc_top_u_pwm_pwm_core_period_counter2[4]),
-	.Y(n_398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g484629 (
-	.A(soc_top_u_pwm_pwm_core_period_counter1[4]),
-	.Y(n_397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g484630 (
-	.A(soc_top_u_pwm_pwm_core_period_counter2[6]),
-	.Y(n_396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g484631 (
-	.A(soc_top_u_pwm_pwm_core_period_counter1[9]),
-	.Y(n_395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g484632 (
-	.A(soc_top_u_pwm_pwm_core_period_counter1[8]),
-	.Y(n_394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g484633 (
-	.A(soc_top_u_pwm_pwm_core_period_counter1[12]),
-	.Y(n_393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g484634 (
-	.A(soc_top_u_pwm_pwm_core_period_counter1[5]),
-	.Y(n_392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g484635 (
-	.A(soc_top_u_pwm_pwm_core_period_counter2[12]),
-	.Y(n_391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g484638 (
-	.A(soc_top_u_pwm_pwm_core_period_counter1[13]),
-	.Y(n_389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484639 (
-	.A(\soc_top_lsu_to_xbar[a_address] [19]),
-	.Y(n_388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484641 (
-	.A(\soc_top_lsu_to_xbar[a_address] [23]),
-	.Y(n_387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g484644 (
-	.A(soc_top_u_pwm_pwm_core_period_counter2[14]),
-	.Y(n_384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484645 (
-	.A(\soc_top_lsu_to_xbar[a_address] [22]),
-	.Y(n_383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484646 (
-	.A(\soc_top_intr_controller_irq_id_o[0] [4]),
-	.Y(n_382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g484647 (
-	.A(\soc_top_timer0_reg2hw[ctrl][0][q] ),
-	.Y(n_381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g484648 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][6] ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_6 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484649 (
-	.A(\soc_top_xbar_to_timer[a_address] [4]),
-	.Y(n_379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g484650 (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [31]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_26 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g484651 (
-	.A(n_15083),
-	.Y(n_377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g484652 (
-	.A(soc_top_u_pwm_pwm_core_ctrl[1]),
-	.Y(n_376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484662 (
-	.A(soc_top_u_top_u_core_pc_if[1]),
-	.Y(n_287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g484668 (
-	.A(soc_top_u_pwm_pwm_core_n_1288),
-	.Y(n_374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484669 (
-	.A(soc_top_u_pwm_pwm_core_clock_p1),
-	.Y(n_373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g484670 (
-	.A(\soc_top_xbar_to_timer[a_data] [30]),
-	.Y(n_372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g484671 (
-	.A(\soc_top_xbar_to_timer[a_data] [25]),
-	.Y(n_371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g484672 (
-	.A(\soc_top_xbar_to_timer[a_data] [22]),
-	.Y(n_370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g484674 (
-	.A(\soc_top_xbar_to_timer[a_data] [20]),
-	.Y(n_368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g484675 (
-	.A(\soc_top_xbar_to_timer[a_data] [21]),
-	.Y(n_367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g484676 (
-	.A(\soc_top_xbar_to_timer[a_data] [19]),
-	.Y(n_366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g484678 (
-	.A(soc_top_u_pwm_pwm_core_n_1204),
-	.Y(n_364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g484679 (
-	.A(\soc_top_xbar_to_timer[a_data] [15]),
-	.Y(n_363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g484680 (
-	.A(\soc_top_xbar_to_timer[a_data] [14]),
-	.Y(n_362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g484681 (
-	.A(\soc_top_xbar_to_timer[a_data] [9]),
-	.Y(n_361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g484682 (
-	.A(\soc_top_xbar_to_timer[a_data] [11]),
-	.Y(n_360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g484683 (
-	.A(\soc_top_xbar_to_timer[a_data] [1]),
-	.Y(n_359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_q_reg[20]  (
-	.CLK(CTS_17),
-	.D(n_5406),
-	.Q(gpio_o[20]),
-	.Q_N(n_317),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_q_reg[21]  (
-	.CLK(CTS_17),
-	.D(n_5405),
-	.Q(gpio_o[21]),
-	.Q_N(n_313),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_q_reg[22]  (
-	.CLK(CTS_25),
-	.D(n_5404),
-	.Q(io_out[30]),
-	.Q_N(n_348),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_q_reg[23]  (
-	.CLK(CTS_25),
-	.D(n_5403),
-	.Q(io_out[31]),
-	.Q_N(n_355),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_q_reg[26]  (
-	.CLK(CTS_25),
-	.D(n_5413),
-	.Q(gpio_o[26]),
-	.Q_N(n_319),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_q_reg[27]  (
-	.CLK(CTS_88),
-	.D(n_5412),
-	.Q(io_out[35]),
-	.Q_N(n_349),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_q_reg[28]  (
-	.CLK(CTS_88),
-	.D(n_5411),
-	.Q(io_out[36]),
-	.Q_N(n_356),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_q_reg[29]  (
-	.CLK(CTS_88),
-	.D(n_5410),
-	.Q(io_out[37]),
-	.Q_N(n_309),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_q_reg[30]  (
-	.CLK(CTS_25),
-	.D(n_5408),
-	.Q(io_out[0]),
-	.Q_N(n_345),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_q_reg[31]  (
-	.CLK(CTS_25),
-	.D(n_5409),
-	.Q(gpio_o[31]),
-	.Q_N(n_346),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
-	.CLK(CTS_18),
-	.D(n_1286),
-	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Q_N(n_322),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
-	.CLK(CTS_18),
-	.D(n_2911),
-	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.Q_N(n_324),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
-	.CLK(CTS_77),
-	.D(n_1290),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Q_N(n_358),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
-	.CLK(CTS_77),
-	.D(n_2981),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Q_N(n_328),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
-	.CLK(CTS_77),
-	.D(n_1291),
-	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Q_N(n_357),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[0]  (
-	.CLK(CTS_41),
-	.D(n_4961),
-	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [0]),
-	.Q_N(n_326),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[1]  (
-	.CLK(CTS_41),
-	.D(n_4959),
-	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [1]),
-	.Q_N(n_310),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[2]  (
-	.CLK(CTS_41),
-	.D(n_4948),
-	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [2]),
-	.Q_N(n_315),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[3]  (
-	.CLK(CTS_41),
-	.D(n_4947),
-	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [3]),
-	.Q_N(n_314),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[4]  (
-	.CLK(CTS_41),
-	.D(n_4946),
-	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [4]),
-	.Q_N(n_354),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[5]  (
-	.CLK(CTS_41),
-	.D(n_5551),
-	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [5]),
-	.Q_N(n_308),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[6]  (
-	.CLK(CTS_41),
-	.D(n_5971),
-	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [6]),
-	.Q_N(n_352),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[7]  (
-	.CLK(CTS_41),
-	.D(n_6179),
-	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [7]),
-	.Q_N(n_316),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[8]  (
-	.CLK(CTS_41),
-	.D(n_6312),
-	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [8]),
-	.Q_N(n_350),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[9]  (
-	.CLK(CTS_36),
-	.D(n_6399),
-	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [9]),
-	.Q_N(n_306),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[10]  (
-	.CLK(CTS_36),
-	.D(n_6476),
-	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [10]),
-	.Q_N(n_353),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[1]  (
-	.CLK(CTS_42),
-	.D(n_6063),
-	.Q(soc_top_u_pwm_pwm_core_counter_p1[1]),
-	.Q_N(n_331),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[2]  (
-	.CLK(CTS_42),
-	.D(n_6064),
-	.Q(soc_top_u_pwm_pwm_core_counter_p1[2]),
-	.Q_N(n_305),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[3]  (
-	.CLK(CTS_42),
-	.D(n_6208),
-	.Q(soc_top_u_pwm_pwm_core_counter_p1[3]),
-	.Q_N(n_341),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[4]  (
-	.CLK(CTS_42),
-	.D(n_6196),
-	.Q(soc_top_u_pwm_pwm_core_counter_p1[4]),
-	.Q_N(n_333),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[5]  (
-	.CLK(CTS_42),
-	.D(n_6202),
-	.Q(soc_top_u_pwm_pwm_core_counter_p1[5]),
-	.Q_N(n_344),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[6]  (
-	.CLK(CTS_42),
-	.D(n_6195),
-	.Q(soc_top_u_pwm_pwm_core_counter_p1[6]),
-	.Q_N(n_300),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[7]  (
-	.CLK(CTS_89),
-	.D(n_6203),
-	.Q(soc_top_u_pwm_pwm_core_counter_p1[7]),
-	.Q_N(n_303),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[8]  (
-	.CLK(CTS_89),
-	.D(n_6194),
-	.Q(soc_top_u_pwm_pwm_core_counter_p1[8]),
-	.Q_N(n_304),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[9]  (
-	.CLK(CTS_89),
-	.D(n_6201),
-	.Q(soc_top_u_pwm_pwm_core_counter_p1[9]),
-	.Q_N(n_336),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[10]  (
-	.CLK(CTS_89),
-	.D(n_6193),
-	.Q(soc_top_u_pwm_pwm_core_counter_p1[10]),
-	.Q_N(n_298),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[11]  (
-	.CLK(CTS_89),
-	.D(n_6200),
-	.Q(soc_top_u_pwm_pwm_core_counter_p1[11]),
-	.Q_N(n_302),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[12]  (
-	.CLK(CTS_89),
-	.D(n_6192),
-	.Q(soc_top_u_pwm_pwm_core_counter_p1[12]),
-	.Q_N(n_291),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[14]  (
-	.CLK(CTS_89),
-	.D(n_6281),
-	.Q(soc_top_u_pwm_pwm_core_counter_p1[14]),
-	.Q_N(n_301),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[15]  (
-	.CLK(CTS_89),
-	.D(n_6304),
-	.Q(soc_top_u_pwm_pwm_core_counter_p1[15]),
-	.Q_N(n_312),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[1]  (
-	.CLK(CTS_44),
-	.D(n_6065),
-	.Q(soc_top_u_pwm_pwm_core_counter_p2[1]),
-	.Q_N(n_294),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[2]  (
-	.CLK(CTS_44),
-	.D(n_6070),
-	.Q(soc_top_u_pwm_pwm_core_counter_p2[2]),
-	.Q_N(n_340),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[3]  (
-	.CLK(CTS_44),
-	.D(n_6071),
-	.Q(soc_top_u_pwm_pwm_core_counter_p2[3]),
-	.Q_N(n_293),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[4]  (
-	.CLK(CTS_44),
-	.D(n_6072),
-	.Q(soc_top_u_pwm_pwm_core_counter_p2[4]),
-	.Q_N(n_295),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[5]  (
-	.CLK(CTS_44),
-	.D(n_6073),
-	.Q(soc_top_u_pwm_pwm_core_counter_p2[5]),
-	.Q_N(n_330),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[6]  (
-	.CLK(CTS_44),
-	.D(n_6074),
-	.Q(soc_top_u_pwm_pwm_core_counter_p2[6]),
-	.Q_N(n_338),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[7]  (
-	.CLK(CTS_44),
-	.D(n_6204),
-	.Q(soc_top_u_pwm_pwm_core_counter_p2[7]),
-	.Q_N(n_342),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[8]  (
-	.CLK(CTS_44),
-	.D(n_6322),
-	.Q(soc_top_u_pwm_pwm_core_counter_p2[8]),
-	.Q_N(n_327),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[9]  (
-	.CLK(CTS_44),
-	.D(n_6391),
-	.Q(soc_top_u_pwm_pwm_core_counter_p2[9]),
-	.Q_N(n_296),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[10]  (
-	.CLK(CTS_44),
-	.D(n_6405),
-	.Q(soc_top_u_pwm_pwm_core_counter_p2[10]),
-	.Q_N(n_290),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[11]  (
-	.CLK(CTS_44),
-	.D(n_6422),
-	.Q(soc_top_u_pwm_pwm_core_counter_p2[11]),
-	.Q_N(n_297),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[12]  (
-	.CLK(CTS_44),
-	.D(n_6456),
-	.Q(soc_top_u_pwm_pwm_core_counter_p2[12]),
-	.Q_N(n_292),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[14]  (
-	.CLK(CTS_44),
-	.D(n_6531),
-	.Q(soc_top_u_pwm_pwm_core_counter_p2[14]),
-	.Q_N(n_343),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[15]  (
-	.CLK(CTS_44),
-	.D(n_6556),
-	.Q(soc_top_u_pwm_pwm_core_counter_p2[15]),
-	.Q_N(n_318),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[0]  (
-	.CLK(CTS_72),
-	.D(n_4209),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
-	.Q_N(n_299),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[1]  (
-	.CLK(CTS_72),
-	.D(n_4644),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [1]),
-	.Q_N(n_320),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[2]  (
-	.CLK(CTS_72),
-	.D(n_4181),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [2]),
-	.Q_N(n_335),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[4]  (
-	.CLK(CTS_72),
-	.D(n_4381),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
-	.Q_N(n_288),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[31]  (
-	.CLK(CTS_110),
-	.D(n_5051),
-	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [31]),
-	.Q_N(n_329),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S_reg[2]  (
-	.CLK(CTS_111),
-	.D(n_4061),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
-	.Q_N(n_347),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Done_SO_reg  (
-	.CLK(CTS_69),
-	.D(n_6455),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_done ),
-	.Q_N(n_311),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Sign_z_DP_reg  (
-	.CLK(CTS_105),
-	.D(n_4783),
-	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sign_z_D ),
-	.Q_N(n_307),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q_reg[0]  (
-	.CLK(CTS_97),
-	.D(n_4649),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
-	.Q_N(n_325),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q_reg[1]  (
-	.CLK(CTS_51),
-	.D(n_4479),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[0]),
-	.Q_N(n_289),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q_reg[0]  (
-	.CLK(CTS_51),
-	.D(n_3595),
-	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[0]),
-	.Q_N(n_351),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[28]  (
-	.CLK(CTS_96),
-	.D(n_6306),
-	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
-	.Q_N(n_321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 soc_top_u_top_u_core_if_stage_i_instr_valid_id_q_reg (
-	.CLK(CTS_95),
-	.D(n_3931),
-	.Q(soc_top_u_top_u_core_instr_valid_id),
-	.Q_N(n_323),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[2]  (
-	.CLK(CTS_63),
-	.D(n_1561),
-	.Q(soc_top_u_top_u_core_rf_waddr_wb[2]),
-	.Q_N(n_334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[3]  (
-	.CLK(CTS_63),
-	.D(n_1590),
-	.Q(soc_top_u_top_u_core_rf_waddr_wb[3]),
-	.Q_N(n_332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g383478 (
-	.A(n_6778),
-	.B(n_3050),
-	.X(n_284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g484829 (
-	.A(n_1836),
-	.B_N(n_6445),
-	.Y(n_283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g484830 (
-	.A(n_1836),
-	.B_N(n_6429),
-	.Y(n_282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g484831 (
-	.A(n_950),
-	.B(n_6383),
-	.C_N(n_279),
-	.X(n_281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g484832 (
-	.A_N(n_6142),
-	.B(n_1202),
-	.Y(n_280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g484833 (
-	.A_N(n_6262),
-	.B(n_1347),
-	.C(n_2668),
-	.Y(n_279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g484834 (
-	.A(n_1128),
-	.B_N(n_6058),
-	.Y(n_278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g484835 (
-	.A_N(n_5368),
-	.B(n_1204),
-	.Y(n_277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g484836 (
-	.A_N(n_5365),
-	.B(soc_top_main_swith_host_lsu_num_req_outstanding[12]),
-	.Y(n_276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g484837 (
-	.A(n_4727),
-	.B_N(n_5356),
-	.Y(n_275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g484838 (
-	.A(n_4727),
-	.B_N(n_4985),
-	.Y(n_274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g484839 (
-	.A_N(n_4021),
-	.B(n_1211),
-	.Y(n_273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g484840 (
-	.A_N(n_4509),
-	.B(n_4273),
-	.C(n_4277),
-	.D(n_4275),
-	.Y(n_272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_0 g484841 (
-	.A1(n_4006),
-	.A2(n_4744),
-	.B1_N(n_4016),
-	.Y(n_271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g484842 (
-	.A_N(n_3794),
-	.B(n_3721),
-	.C(n_923),
-	.D(n_3129),
-	.Y(n_270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4b_1 g484843 (
-	.A(n_3055),
-	.B(n_6555),
-	.C(n_6519),
-	.D_N(n_3792),
-	.X(n_269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g484844 (
-	.A(n_3692),
-	.B(n_261),
-	.C_N(n_3690),
-	.X(n_268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4b_1 g484845 (
-	.A(n_10199),
-	.B(n_15033),
-	.C(n_4026),
-	.D_N(n_3388),
-	.X(n_267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g484846 (
-	.A_N(n_3319),
-	.B(n_16969),
-	.Y(n_266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g484847 (
-	.A_N(n_3146),
-	.B(soc_top_main_swith_host_lsu_num_req_outstanding[6]),
-	.Y(n_265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g484848 (
-	.A(n_1616),
-	.B_N(n_3032),
-	.Y(n_264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g484849 (
-	.A1(n_1284),
-	.A2(n_1414),
-	.B1_N(n_2147),
-	.Y(n_263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g484850 (
-	.A_N(n_2071),
-	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Y(n_262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3b_1 g484851 (
-	.A_N(n_2036),
-	.B(n_2605),
-	.C(n_926),
-	.X(n_261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g484852 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [2]),
-	.A2(n_3139),
-	.B1_N(n_2032),
-	.Y(n_260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g484853 (
-	.A(n_1348),
-	.B_N(n_1845),
-	.Y(n_259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4b_1 g484854 (
-	.A(n_1831),
-	.B(n_2029),
-	.C(n_4841),
-	.D_N(n_1836),
-	.Y(n_258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g484855 (
-	.A1(soc_top_GPIO_u_reg_addr_hit[5]),
-	.A2(n_1350),
-	.B1_N(n_1822),
-	.Y(n_257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g484856 (
-	.A_N(n_1720),
-	.B(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Y(n_256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4b_1 g484857 (
-	.A(n_970),
-	.B(n_751),
-	.C(n_1213),
-	.D_N(n_1718),
-	.Y(n_255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g484858 (
-	.A_N(n_1453),
-	.B(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Y(n_254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3b_1 g484859 (
-	.A_N(n_1414),
-	.B(n_3333),
-	.C(n_15246),
-	.X(n_253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4bb_1 g484860 (
-	.A(n_17198),
-	.B(n_15033),
-	.C_N(n_1351),
-	.D_N(soc_top_timer0_u_reg_wr_err),
-	.X(n_252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g484861 (
-	.A_N(n_1202),
-	.B(n_6142),
-	.Y(n_251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g484862 (
-	.A(n_948),
-	.B(soc_top_iccm_ctrl_we),
-	.C_N(\soc_top_ifu_to_xbar[a_valid] ),
-	.X(n_250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g484863 (
-	.A(n_241),
-	.B_N(n_891),
-	.Y(n_246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g484864 (
-	.A(n_18225),
-	.B(n_18224),
-	.Y(n_245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g484865 (
-	.A(n_18223),
-	.B(n_18226),
-	.Y(n_244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2i_1 g484866 (
-	.A0(n_331),
-	.A1(soc_top_u_pwm_pwm_core_counter_p1[1]),
-	.S(soc_top_u_pwm_pwm_core_counter_p1[0]),
-	.Y(n_243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g484867 (
-	.A_N(soc_top_u_pwm_pwm_core_period_counter2[5]),
-	.B(soc_top_u_pwm_pwm_core_period_2[5]),
-	.Y(n_242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g484868 (
-	.A_N(\soc_top_xbar_to_timer[a_address] [6]),
-	.B(n_748),
-	.Y(n_241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g484869 (
-	.A(n_14885),
-	.B(n_1502),
-	.C_N(n_17359),
-	.Y(n_240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g484870 (
-	.A(n_938),
-	.B(FE_DBTN17_soc_top_u_top_u_core_lsu_wdata_27),
-	.C_N(soc_top_u_top_u_core_lsu_wdata[23]),
-	.Y(n_239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g302597 (
-	.A1(n_238),
-	.A2(n_211),
-	.B1(n_229),
-	.B2(n_42),
-	.C1(n_237),
-	.X(n_16849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g302598 (
-	.A1(n_238),
-	.A2(n_41),
-	.B1(n_229),
-	.B2(n_34),
-	.C1(n_237),
-	.X(n_16848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g302599 (
-	.A1(n_238),
-	.A2(n_16954),
-	.B1(n_227),
-	.B2(n_224),
-	.C1(n_237),
-	.X(n_16845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g302600 (
-	.A1(n_238),
-	.A2(n_16947),
-	.B1(n_229),
-	.B2(n_22),
-	.X(n_16846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_2 g302601 (
-	.A1(n_238),
-	.A2(n_33),
-	.B1(n_229),
-	.B2(n_28),
-	.X(n_16847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g302602 (
-	.A(n_234),
-	.B(n_236),
-	.C(n_14953),
-	.Y(n_238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302603 (
-	.A_N(n_16898),
-	.B(n_15186),
-	.Y(n_16866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302604 (
-	.A_N(n_16897),
-	.B(n_15186),
-	.Y(n_16865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302605 (
-	.A_N(n_16896),
-	.B(n_15186),
-	.Y(n_16864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302606 (
-	.A_N(n_16895),
-	.B(n_15186),
-	.Y(n_16863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302607 (
-	.A_N(n_16894),
-	.B(n_15186),
-	.Y(n_16862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302608 (
-	.A_N(n_16893),
-	.B(n_15186),
-	.Y(n_16861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302609 (
-	.A_N(n_16892),
-	.B(n_15186),
-	.Y(n_16860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302610 (
-	.A_N(n_16891),
-	.B(n_15186),
-	.Y(n_16859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302611 (
-	.A_N(n_16890),
-	.B(n_15186),
-	.Y(n_16858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302612 (
-	.A_N(n_16889),
-	.B(n_15186),
-	.Y(n_16857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302613 (
-	.A_N(n_16888),
-	.B(n_15186),
-	.Y(n_16856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302614 (
-	.A_N(n_16887),
-	.B(n_15186),
-	.Y(n_16855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302615 (
-	.A_N(n_16886),
-	.B(n_15186),
-	.Y(n_16854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302616 (
-	.A_N(n_16885),
-	.B(n_15186),
-	.Y(n_16853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302617 (
-	.A_N(n_16884),
-	.B(n_15186),
-	.Y(n_16852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302618 (
-	.A_N(n_16883),
-	.B(n_15186),
-	.Y(n_16851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302619 (
-	.A_N(n_16914),
-	.B(n_15186),
-	.Y(n_16882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302620 (
-	.A_N(n_16913),
-	.B(n_15186),
-	.Y(n_16881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302621 (
-	.A_N(n_16912),
-	.B(n_15186),
-	.Y(n_16880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302622 (
-	.A_N(n_16911),
-	.B(n_15186),
-	.Y(n_16879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302623 (
-	.A_N(n_16910),
-	.B(n_15186),
-	.Y(n_16878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302624 (
-	.A_N(n_16909),
-	.B(n_15186),
-	.Y(n_16877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302625 (
-	.A_N(n_16908),
-	.B(n_15186),
-	.Y(n_16876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302626 (
-	.A_N(n_16907),
-	.B(n_15186),
-	.Y(n_16875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302627 (
-	.A_N(n_16906),
-	.B(n_15186),
-	.Y(n_16874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302628 (
-	.A_N(n_16905),
-	.B(n_15186),
-	.Y(n_16873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302629 (
-	.A_N(n_16904),
-	.B(n_15186),
-	.Y(n_16872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302630 (
-	.A_N(n_16903),
-	.B(n_15186),
-	.Y(n_16871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302631 (
-	.A_N(n_16902),
-	.B(n_15186),
-	.Y(n_16870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302632 (
-	.A_N(n_16901),
-	.B(n_15186),
-	.Y(n_16869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302633 (
-	.A_N(n_16900),
-	.B(n_15186),
-	.Y(n_16868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302634 (
-	.A_N(n_16899),
-	.B(n_15186),
-	.Y(n_16867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302635 (
-	.A_N(n_227),
-	.B(n_14953),
-	.Y(n_15024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g302636 (
-	.A(n_14953),
-	.B_N(n_234),
-	.Y(n_237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302637 (
-	.A(n_235),
-	.B(n_16961),
-	.Y(n_14953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g302638 (
-	.A(n_236),
-	.Y(n_16946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g302639 (
-	.A1(n_233),
-	.A2(n_46),
-	.A3(n_16954),
-	.B1(n_230),
-	.Y(n_236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302640 (
-	.A_N(n_235),
-	.B(n_16961),
-	.Y(n_15186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g302641 (
-	.A1(n_232),
-	.A2(n_231),
-	.A3(n_36),
-	.B1(n_15257),
-	.Y(n_235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g302642 (
-	.A1(n_228),
-	.A2(n_16949),
-	.B1(n_231),
-	.C1(n_232),
-	.Y(n_234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g302643 (
-	.A(n_40),
-	.B(n_16951),
-	.C(n_16952),
-	.D(n_16953),
-	.Y(n_233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g302644 (
-	.A(n_46),
-	.B_N(n_228),
-	.Y(n_232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g302645 (
-	.A(n_230),
-	.Y(n_231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 g302646 (
-	.A1(n_218),
-	.A2(n_221),
-	.A3(n_220),
-	.B1(n_0),
-	.B2(n_226),
-	.Y(n_230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g302647 (
-	.A(n_227),
-	.B(n_222),
-	.X(n_229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g302649 (
-	.A(n_16953),
-	.B(n_16952),
-	.C(n_16951),
-	.X(n_228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g302650 (
-	.A(n_16961),
-	.B(n_223),
-	.Y(n_227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302651 (
-	.A1(n_217),
-	.A2(n_220),
-	.B1(n_218),
-	.Y(n_226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g302652 (
-	.A(n_221),
-	.B(n_220),
-	.X(n_16953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302654 (
-	.A(n_222),
-	.B(n_16954),
-	.Y(n_224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g302655 (
-	.A1(n_217),
-	.A2(n_209),
-	.A3(n_215),
-	.B1(n_218),
-	.Y(n_223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g302656 (
-	.A1(n_215),
-	.A2(n_47),
-	.A3(n_217),
-	.B1(n_218),
-	.Y(n_222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302657 (
-	.A_N(n_217),
-	.B(n_15170),
-	.Y(n_221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302658 (
-	.A1(n_216),
-	.A2(n_212),
-	.B1(n_219),
-	.Y(n_16952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g302659 (
-	.A(n_219),
-	.Y(n_220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302660 (
-	.A(n_212),
-	.B(n_216),
-	.Y(n_219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g302661 (
-	.A1(n_213),
-	.A2(n_15090),
-	.B1_N(n_15099),
-	.Y(n_218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g302662 (
-	.A1_N(n_15235),
-	.A2_N(n_213),
-	.B1(n_15235),
-	.B2(n_213),
-	.Y(n_217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302663 (
-	.A(n_214),
-	.B(n_15170),
-	.Y(n_216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g302664 (
-	.A1(n_44),
-	.A2(n_210),
-	.B1_N(n_212),
-	.Y(n_16951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g302665 (
-	.A(n_214),
-	.Y(n_215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g302666 (
-	.A(n_3),
-	.B(n_18),
-	.CIN(n_43),
-	.COUT(n_213),
-	.SUM(n_214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g302667 (
-	.A(n_210),
-	.B(n_42),
-	.C(n_36),
-	.X(n_212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g302668 (
-	.A(n_40),
-	.B(n_16950),
-	.X(n_211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302669 (
-	.A(n_45),
-	.B(n_15170),
-	.Y(n_210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g302670 (
-	.A1(n_38),
-	.A2(n_31),
-	.A3(n_27),
-	.B1(n_45),
-	.Y(n_209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g302671 (
-	.A(n_45),
-	.B(n_38),
-	.C(n_26),
-	.D(n_31),
-	.Y(n_47), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g302672 (
-	.A(n_46),
-	.Y(n_16950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g302673 (
-	.A(n_36),
-	.B(n_42),
-	.COUT(n_44),
-	.SUM(n_46), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g302674 (
-	.A(n_19),
-	.B(n_15),
-	.CIN(n_37),
-	.COUT(n_43),
-	.SUM(n_45), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g302675 (
-	.A1_N(n_15170),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [4]),
-	.B1(n_15170),
-	.B2(n_38),
-	.Y(n_42), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g302676 (
-	.A1_N(n_32),
-	.A2_N(n_16949),
-	.B1(n_32),
-	.B2(n_16949),
-	.Y(n_41), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302677 (
-	.A(n_39),
-	.B(n_32),
-	.Y(n_40), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g302678 (
-	.A(n_16949),
-	.Y(n_39), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g302679 (
-	.A(n_17),
-	.B(n_7),
-	.CIN(n_30),
-	.COUT(n_37),
-	.SUM(n_38), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302680 (
-	.A1(n_29),
-	.A2(n_34),
-	.B1(n_35),
-	.Y(n_16949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g302681 (
-	.A(n_35),
-	.Y(n_36), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302682 (
-	.A(n_34),
-	.B(n_29),
-	.Y(n_35), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g302683 (
-	.A1_N(n_15170),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.B1(n_15170),
-	.B2(n_31),
-	.Y(n_34), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g302684 (
-	.A(n_16947),
-	.B(n_16948),
-	.X(n_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g302685 (
-	.A(n_16947),
-	.B(n_16948),
-	.Y(n_32), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g302686 (
-	.A(n_5),
-	.B(n_14),
-	.CIN(n_24),
-	.COUT(n_30),
-	.SUM(n_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g302687 (
-	.A1(n_28),
-	.A2(n_23),
-	.B1_N(n_29),
-	.Y(n_16948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g302688 (
-	.A(n_23),
-	.B(n_28),
-	.X(n_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g302689 (
-	.A1_N(n_15170),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(n_15170),
-	.B2(n_25),
-	.Y(n_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4b_1 g302690 (
-	.A_N(soc_top_u_top_u_core_fp_alu_op_mod),
-	.B(n_25),
-	.C(n_21),
-	.D(n_11),
-	.X(n_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g302691 (
-	.A(n_25),
-	.B(n_21),
-	.C(n_11),
-	.X(n_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g302692 (
-	.A1(n_16),
-	.A2(n_22),
-	.B1_N(n_23),
-	.Y(n_16947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g302693 (
-	.A(n_8),
-	.B(n_12),
-	.CIN(n_20),
-	.COUT(n_24),
-	.SUM(n_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g302694 (
-	.A(n_22),
-	.B(n_16),
-	.X(n_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g302695 (
-	.A1_N(n_15170),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.B1(n_15170),
-	.B2(n_21),
-	.Y(n_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g302696 (
-	.A(n_15237),
-	.B(n_4),
-	.CIN(n_9),
-	.COUT(n_20),
-	.SUM(n_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g302697 (
-	.A(n_16959),
-	.B(n_6),
-	.COUT(n_18),
-	.SUM(n_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302698 (
-	.A1(n_15236),
-	.A2(n_13),
-	.B1(n_15),
-	.Y(n_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g302699 (
-	.A(n_16),
-	.Y(n_16954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g302700 (
-	.A1_N(n_15170),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.B1(n_15170),
-	.B2(n_11),
-	.Y(n_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302701 (
-	.A(n_13),
-	.B(n_15236),
-	.Y(n_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g302702 (
-	.A(n_16960),
-	.B(n_10),
-	.Y(n_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g302703 (
-	.A1(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.A2(n_16958),
-	.B1_N(n_6),
-	.Y(n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g302704 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.A2(n_18185),
-	.B1(n_5),
-	.Y(n_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g302705 (
-	.A1_N(n_16957),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.B1(n_16957),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.Y(n_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g302706 (
-	.A1_N(n_16955),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.B1(n_16955),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.Y(n_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g302707 (
-	.A1(n_15234),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.B1(n_4),
-	.Y(n_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g302708 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.B_N(n_16955),
-	.Y(n_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g302709 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.B_N(n_15236),
-	.Y(n_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g302710 (
-	.A_N(n_16958),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [4]),
-	.Y(n_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g302711 (
-	.A(n_18185),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.Y(n_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g302712 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.B(n_15234),
-	.Y(n_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g302713 (
-	.A(n_15091),
-	.Y(n_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1398  (
-	.A(n_16088),
-	.B(n_16159),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_225 ),
-	.COUT(n_15916),
-	.SUM(n_16029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1399  (
-	.A(n_16158),
-	.B(n_16087),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_223 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_225 ),
-	.SUM(n_16028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1400  (
-	.A(n_16157),
-	.B(n_16086),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_221 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_223 ),
-	.SUM(n_16027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1401  (
-	.A(n_16156),
-	.B(n_16085),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_219 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_221 ),
-	.SUM(n_16026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1402  (
-	.A(n_16155),
-	.B(n_16084),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_217 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_219 ),
-	.SUM(n_16025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1403  (
-	.A(n_16154),
-	.B(n_16083),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_215 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_217 ),
-	.SUM(n_16024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1404  (
-	.A(n_16153),
-	.B(n_16082),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_213 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_215 ),
-	.SUM(n_16023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1405  (
-	.A(n_16152),
-	.B(n_16081),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_211 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_213 ),
-	.SUM(n_16022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1406  (
-	.A(n_16151),
-	.B(n_16080),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_209 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_211 ),
-	.SUM(n_16021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1407  (
-	.A(n_16150),
-	.B(n_16079),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_207 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_209 ),
-	.SUM(n_16020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1408  (
-	.A(n_16149),
-	.B(n_16078),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_205 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_207 ),
-	.SUM(n_16019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1409  (
-	.A(n_16148),
-	.B(n_16077),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_203 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_205 ),
-	.SUM(n_16018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1410  (
-	.A(n_16147),
-	.B(n_16076),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_201 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_203 ),
-	.SUM(n_16017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1411  (
-	.A(n_16146),
-	.B(n_16075),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_199 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_201 ),
-	.SUM(n_16016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1412  (
-	.A(n_16145),
-	.B(n_16074),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_197 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_199 ),
-	.SUM(n_16015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1413  (
-	.A(n_16144),
-	.B(n_16073),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_195 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_197 ),
-	.SUM(n_16014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1414  (
-	.A(n_16143),
-	.B(n_16072),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_193 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_195 ),
-	.SUM(n_16013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1415  (
-	.A(n_16142),
-	.B(n_16071),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_191 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_193 ),
-	.SUM(n_16012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1416  (
-	.A(n_16141),
-	.B(n_16070),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_189 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_191 ),
-	.SUM(n_16011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1417  (
-	.A(n_16140),
-	.B(n_16069),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_187 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_189 ),
-	.SUM(n_16010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1418  (
-	.A(n_16139),
-	.B(n_16068),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_185 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_187 ),
-	.SUM(n_16009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1419  (
-	.A(n_16138),
-	.B(n_16067),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_183 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_185 ),
-	.SUM(n_16008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1420  (
-	.A(n_16137),
-	.B(n_16066),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_181 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_183 ),
-	.SUM(n_16007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1421  (
-	.A(n_16136),
-	.B(n_16065),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_179 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_181 ),
-	.SUM(n_16006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1422  (
-	.A(n_16135),
-	.B(n_16064),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_177 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_179 ),
-	.SUM(n_16005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1423  (
-	.A(n_16134),
-	.B(n_16063),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_175 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_177 ),
-	.SUM(n_16004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1424  (
-	.A(n_16133),
-	.B(n_16062),
-	.CIN(n_18439),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_175 ),
-	.SUM(n_16003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1432  (
-	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_80 ),
-	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_164 ),
-	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_166 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1433  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_164 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_80 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_166 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1435  (
-	.A(n_18443),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_164 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1454  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_143 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_1 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_145 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1455  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_71 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_142 ),
-	.X(n_15994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1456  (
-	.A1(n_16123),
-	.A2(n_16052),
-	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_142 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_143 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1457  (
-	.A(n_18532),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_0 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_142 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1458  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_70 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_139 ),
-	.X(n_15993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1460  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_137 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_22 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_139 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1461  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_69 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_136 ),
-	.X(n_15992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1462  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_136 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_37 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_137 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1463  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_134 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_2 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_136 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1464  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_68 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_133 ),
-	.X(n_15991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1465  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_133 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_31 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_134 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1466  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_131 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_15 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_133 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1467  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_67 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_130 ),
-	.X(n_15990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1468  (
-	.A1(n_16119),
-	.A2(n_16048),
-	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_130 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_131 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1469  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_128 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_16 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_130 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1470  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_66 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_127 ),
-	.X(n_15989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1471  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_127 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_30 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_128 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1472  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_125 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_18 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_127 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1473  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_57 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_124 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1474  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_124 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_48 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_125 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1475  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_122 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_24 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_124 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1476  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_63 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_121 ),
-	.X(n_15988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1477  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_121 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_38 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_122 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1478  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_119 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_12 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_121 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1479  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_62 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_118 ),
-	.X(n_15987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1480  (
-	.A1(n_16115),
-	.A2(n_16044),
-	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_118 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_119 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1481  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_116 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_6 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_118 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1482  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_61 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_115 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1483  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_115 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_49 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_116 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1484  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_113 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_14 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_115 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1485  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_60 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_112 ),
-	.X(n_15986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1486  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_112 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_39 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_113 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1487  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_110 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_20 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_112 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1488  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_59 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_109 ),
-	.X(n_15985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1489  (
-	.A1(n_16112),
-	.A2(n_16041),
-	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_109 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_110 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1490  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_107 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_21 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_109 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1491  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_58 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_106 ),
-	.X(n_15984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1492  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_106 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_47 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_107 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1493  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_104 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_29 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_106 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1494  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_64 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_103 ),
-	.X(n_15983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1495  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_103 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_32 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_104 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1496  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_101 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_23 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_103 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1497  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_56 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_100 ),
-	.X(n_15982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1498  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_100 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_33 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_101 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1499  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_98 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_17 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_100 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1500  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_55 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_97 ),
-	.X(n_15981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1501  (
-	.A1(n_16108),
-	.A2(n_16037),
-	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_97 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_98 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1502  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_95 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_13 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_97 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1503  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_54 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_94 ),
-	.X(n_15980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1504  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_94 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_36 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_95 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1505  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_92 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_11 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_94 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1506  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_53 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_91 ),
-	.X(n_15979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1507  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_91 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_34 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_92 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1508  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_89 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_26 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_91 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1509  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_52 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_88 ),
-	.X(n_15978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1510  (
-	.A1(n_16105),
-	.A2(n_16034),
-	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_88 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_89 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1511  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_86 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_8 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_88 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1512  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_51 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_85 ),
-	.X(n_15977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1513  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_85 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_41 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_86 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1514  (
-	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_83 ),
-	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_45 ),
-	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_10 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_85 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1515  (
-	.A1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_83 ),
-	.A2_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_65 ),
-	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_83 ),
-	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_65 ),
-	.Y(n_15976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1516  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_81 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_83 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1517  (
-	.A(n_16102),
-	.B(n_16031),
-	.CIN(n_16030),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_81 ),
-	.SUM(n_15975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1520  (
-	.A(n_16130),
-	.B(n_16059),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_80 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1527  (
-	.A(n_16123),
-	.B(n_16052),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_71 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1528  (
-	.A(n_16122),
-	.B(n_16051),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_70 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1529  (
-	.A(n_16121),
-	.B(n_16050),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_69 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1530  (
-	.A(n_16120),
-	.B(n_16049),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_68 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1531  (
-	.A(n_16119),
-	.B(n_16048),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_67 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1532  (
-	.A(n_16118),
-	.B(n_16047),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_66 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1533  (
-	.A(n_16110),
-	.B(n_16039),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_64 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1534  (
-	.A(n_16116),
-	.B(n_16045),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_63 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1535  (
-	.A(n_16115),
-	.B(n_16044),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_62 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1536  (
-	.A(n_16114),
-	.B(n_16043),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_61 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1537  (
-	.A(n_16113),
-	.B(n_16042),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_60 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1538  (
-	.A(n_16112),
-	.B(n_16041),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_59 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1539  (
-	.A(n_16111),
-	.B(n_16040),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_58 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1540  (
-	.A(n_16117),
-	.B(n_16046),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_57 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1541  (
-	.A(n_16109),
-	.B(n_16038),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_56 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1542  (
-	.A(n_16108),
-	.B(n_16037),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_55 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1543  (
-	.A(n_16107),
-	.B(n_16036),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_54 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1544  (
-	.A(n_16106),
-	.B(n_16035),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_53 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1545  (
-	.A(n_16105),
-	.B(n_16034),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_52 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1546  (
-	.A(n_16104),
-	.B(n_16033),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_51 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1547  (
-	.A(n_16103),
-	.B(n_16032),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_65 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1549  (
-	.A(n_16114),
-	.B(n_16043),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_49 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1550  (
-	.A(n_16117),
-	.B(n_16046),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_48 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1551  (
-	.A(n_16111),
-	.B(n_16040),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_47 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1553  (
-	.A(n_16103),
-	.B(n_16032),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_45 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1557  (
-	.A(n_16104),
-	.B(n_16033),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_41 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1559  (
-	.A(n_16113),
-	.B(n_16042),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_39 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1560  (
-	.A(n_16116),
-	.B(n_16045),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_38 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1561  (
-	.A(n_16121),
-	.B(n_16050),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_37 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1562  (
-	.A(n_16107),
-	.B(n_16036),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_36 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1564  (
-	.A(n_16106),
-	.B(n_16035),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_34 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1565  (
-	.A(n_16109),
-	.B(n_16038),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_33 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1566  (
-	.A(n_16110),
-	.B(n_16039),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_32 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1567  (
-	.A(n_16120),
-	.B(n_16049),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_31 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1568  (
-	.A(n_16118),
-	.B(n_16047),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_30 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1569  (
-	.A(n_16039),
-	.B(n_16110),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_29 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1572  (
-	.A(n_16034),
-	.B(n_16105),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_26 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1574  (
-	.A(n_16045),
-	.B(n_16116),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_24 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1575  (
-	.A(n_16038),
-	.B(n_16109),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_23 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1576  (
-	.A(n_16050),
-	.B(n_16121),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_22 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1577  (
-	.A(n_16040),
-	.B(n_16111),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_21 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1578  (
-	.A(n_16041),
-	.B(n_16112),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_20 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1580  (
-	.A(n_16046),
-	.B(n_16117),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_18 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1581  (
-	.A(n_16037),
-	.B(n_16108),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_17 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1582  (
-	.A(n_16047),
-	.B(n_16118),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_16 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1583  (
-	.A(n_16048),
-	.B(n_16119),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_15 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1584  (
-	.A(n_16042),
-	.B(n_16113),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_14 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1585  (
-	.A(n_16036),
-	.B(n_16107),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_13 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1586  (
-	.A(n_16044),
-	.B(n_16115),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_12 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1587  (
-	.A(n_16035),
-	.B(n_16106),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_11 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1588  (
-	.A(n_16032),
-	.B(n_16103),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_10 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1590  (
-	.A(n_16033),
-	.B(n_16104),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_8 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1592  (
-	.A(n_16043),
-	.B(n_16114),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_6 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1596  (
-	.A(n_16049),
-	.B(n_16120),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_2 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1597  (
-	.A(n_16052),
-	.B(n_16123),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_1 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g1598  (
-	.A(n_16051),
-	.B(n_16122),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_0 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1386  (
-	.A(n_15742),
-	.B(n_15974),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_206 ),
-	.COUT(n_15915),
-	.SUM(n_15863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1387  (
-	.A(n_15973),
-	.B(n_15741),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_204 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_206 ),
-	.SUM(n_15914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1388  (
-	.A(n_15972),
-	.B(n_15740),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_202 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_204 ),
-	.SUM(n_15913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1389  (
-	.A(n_15971),
-	.B(n_15739),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_200 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_202 ),
-	.SUM(n_15912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1390  (
-	.A(n_15970),
-	.B(n_15738),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_198 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_200 ),
-	.SUM(n_15911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1391  (
-	.A(n_15969),
-	.B(n_15737),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_196 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_198 ),
-	.SUM(n_15910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1392  (
-	.A(n_15968),
-	.B(n_15736),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_194 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_196 ),
-	.SUM(n_15909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1393  (
-	.A(n_15967),
-	.B(n_15735),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_192 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_194 ),
-	.SUM(n_15908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1394  (
-	.A(n_15966),
-	.B(n_15734),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_190 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_192 ),
-	.SUM(n_15907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1395  (
-	.A(n_15965),
-	.B(n_15733),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_188 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_190 ),
-	.SUM(n_15906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1396  (
-	.A(n_15964),
-	.B(n_15732),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_186 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_188 ),
-	.SUM(n_15905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1397  (
-	.A(n_15963),
-	.B(n_15731),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_184 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_186 ),
-	.SUM(n_15904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1398  (
-	.A(n_15962),
-	.B(n_15730),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_182 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_184 ),
-	.SUM(n_15903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1399  (
-	.A(n_15961),
-	.B(n_15729),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_180 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_182 ),
-	.SUM(n_15902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1400  (
-	.A(n_15960),
-	.B(n_15728),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_178 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_180 ),
-	.SUM(n_15901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1401  (
-	.A(n_15959),
-	.B(n_15727),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_176 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_178 ),
-	.SUM(n_15900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1402  (
-	.A(n_15958),
-	.B(n_15726),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_174 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_176 ),
-	.SUM(n_15899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1403  (
-	.A(n_15957),
-	.B(n_15725),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_172 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_174 ),
-	.SUM(n_15898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1404  (
-	.A(n_15956),
-	.B(n_15724),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_170 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_172 ),
-	.SUM(n_15897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1405  (
-	.A(n_15955),
-	.B(n_15723),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_168 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_170 ),
-	.SUM(n_15896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1406  (
-	.A(n_15954),
-	.B(n_15722),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_166 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_168 ),
-	.SUM(n_15895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1407  (
-	.A(n_15953),
-	.B(n_15721),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_164 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_166 ),
-	.SUM(n_15894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1408  (
-	.A(n_15952),
-	.B(n_15720),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_162 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_164 ),
-	.SUM(n_15893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1409  (
-	.A(n_15951),
-	.B(n_15719),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_160 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_162 ),
-	.SUM(n_15892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1410  (
-	.A(n_15950),
-	.B(n_15718),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_158 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_160 ),
-	.SUM(n_15891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1411  (
-	.A(n_15949),
-	.B(n_15717),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_156 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_158 ),
-	.SUM(n_15890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1412  (
-	.A(n_15948),
-	.B(n_15716),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_154 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_156 ),
-	.SUM(n_15889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1413  (
-	.A(n_15947),
-	.B(n_15715),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_152 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_154 ),
-	.SUM(n_15888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1414  (
-	.A(n_15946),
-	.B(n_15714),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_151 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_152 ),
-	.SUM(n_15887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1415  (
-	.A(n_15713),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_147 ),
-	.C(n_15945),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_151 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1416  (
-	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_41 ),
-	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_42 ),
-	.A3(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_148 ),
-	.B1(n_18427),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1418  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_147 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_148 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1419  (
-	.A(n_15944),
-	.B(n_15712),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_144 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_147 ),
-	.SUM(n_15886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1420  (
-	.A(n_15943),
-	.B(n_15711),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_143 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_144 ),
-	.SUM(n_15885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1421  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_141 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_16 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_143 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1422  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_62 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_140 ),
-	.X(n_15884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1423  (
-	.A1(n_15942),
-	.A2(n_15710),
-	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_140 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_141 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1424  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_138 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_19 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_140 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1425  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_67 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_137 ),
-	.X(n_15883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1426  (
-	.A1(n_15941),
-	.A2(n_15709),
-	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_137 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_138 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1427  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_135 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_12 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_137 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1428  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_66 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_134 ),
-	.X(n_15882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1429  (
-	.A1(n_15940),
-	.A2(n_15708),
-	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_134 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_135 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1430  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_132 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_1 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_134 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1431  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_65 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_131 ),
-	.X(n_15881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1432  (
-	.A1(n_15939),
-	.A2(n_15707),
-	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_131 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_132 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1433  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_129 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_14 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_131 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1434  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_64 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_128 ),
-	.X(n_15880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1435  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_128 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_25 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_129 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1436  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_126 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_18 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_128 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1437  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_63 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_125 ),
-	.X(n_15879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1438  (
-	.A1(n_15937),
-	.A2(n_15705),
-	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_125 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_126 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1439  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_123 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_3 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_125 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1440  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_68 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_122 ),
-	.X(n_15878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1441  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_122 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_33 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_123 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1442  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_120 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_4 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_122 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1443  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_61 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_119 ),
-	.X(n_15877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1444  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_119 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_35 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_120 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1445  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_117 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_17 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_119 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1446  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_60 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_116 ),
-	.X(n_15876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1447  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_116 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_36 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_117 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1448  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_114 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_2 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_116 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1449  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_59 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_113 ),
-	.X(n_15875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1450  (
-	.A1(n_15933),
-	.A2(n_15701),
-	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_113 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_114 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1451  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_111 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_20 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_113 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1452  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_58 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_110 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1453  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_110 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_38 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_111 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1454  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_108 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_13 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_110 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1455  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_43 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_107 ),
-	.X(n_15874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1456  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_107 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_28 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_108 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1457  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_105 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_23 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_107 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1458  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_55 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_104 ),
-	.X(n_15873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1459  (
-	.A1(n_15930),
-	.A2(n_15698),
-	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_104 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_105 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1460  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_102 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_39 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_104 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1461  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_54 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_101 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1462  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_101 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_24 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_102 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1463  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_99 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_22 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_101 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1464  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_53 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_98 ),
-	.X(n_15872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1465  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_98 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_34 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_99 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1466  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_96 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_8 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_98 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1467  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_52 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_95 ),
-	.X(n_15871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1468  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_95 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_30 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_96 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1469  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_93 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_6 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_95 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1470  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_51 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_92 ),
-	.X(n_15870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1471  (
-	.A1(n_15926),
-	.A2(n_15694),
-	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_92 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_93 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1472  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_90 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_5 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_92 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1473  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_50 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_89 ),
-	.X(n_15869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1474  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_89 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_27 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_90 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1475  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_87 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_0 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_89 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1476  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_49 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_86 ),
-	.X(n_15868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1477  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_86 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_26 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_87 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1478  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_84 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_7 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_86 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1479  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_48 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_83 ),
-	.X(n_15867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1480  (
-	.A1(n_15923),
-	.A2(n_15691),
-	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_83 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_84 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1481  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_81 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_10 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_83 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1482  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_47 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_80 ),
-	.X(n_15866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1483  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_80 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_29 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_81 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1484  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_78 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_15 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_80 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1485  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_46 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_77 ),
-	.X(n_15865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1486  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_77 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_31 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_78 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1487  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_75 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_21 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_77 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1488  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_45 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_74 ),
-	.X(n_15864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1489  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_74 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_37 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_75 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1490  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_72 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_11 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_74 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1491  (
-	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_44 ),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_71 ),
-	.X(n_15862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1492  (
-	.A1(n_15919),
-	.A2(n_15687),
-	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_71 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_72 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1493  (
-	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_40 ),
-	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_32 ),
-	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_9 ),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_71 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1494  (
-	.A1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_40 ),
-	.A2_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_56 ),
-	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_40 ),
-	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_56 ),
-	.Y(n_15861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1495  (
-	.A1(n_15917),
-	.A2(n_15685),
-	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_40 ),
-	.X(n_15860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1496  (
-	.A(n_15936),
-	.B(n_15704),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_68 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1497  (
-	.A(n_15941),
-	.B(n_15709),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_67 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1498  (
-	.A(n_15940),
-	.B(n_15708),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_66 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1499  (
-	.A(n_15939),
-	.B(n_15707),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_65 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1500  (
-	.A(n_15938),
-	.B(n_15706),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_64 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1501  (
-	.A(n_15937),
-	.B(n_15705),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_63 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1502  (
-	.A(n_15942),
-	.B(n_15710),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_62 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1503  (
-	.A(n_15935),
-	.B(n_15703),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_61 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1504  (
-	.A(n_15934),
-	.B(n_15702),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_60 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1505  (
-	.A(n_15933),
-	.B(n_15701),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_59 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1506  (
-	.A(n_15932),
-	.B(n_15700),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_58 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1508  (
-	.A(n_15918),
-	.B(n_15686),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_56 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1509  (
-	.A(n_15930),
-	.B(n_15698),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_55 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1510  (
-	.A(n_15929),
-	.B(n_15697),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_54 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1511  (
-	.A(n_15928),
-	.B(n_15696),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_53 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1512  (
-	.A(n_15927),
-	.B(n_15695),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_52 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1513  (
-	.A(n_15926),
-	.B(n_15694),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_51 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1514  (
-	.A(n_15925),
-	.B(n_15693),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_50 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1515  (
-	.A(n_15924),
-	.B(n_15692),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_49 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1516  (
-	.A(n_15923),
-	.B(n_15691),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_48 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1517  (
-	.A(n_15922),
-	.B(n_15690),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_47 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1518  (
-	.A(n_15921),
-	.B(n_15689),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_46 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1519  (
-	.A(n_15920),
-	.B(n_15688),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_45 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1520  (
-	.A(n_15919),
-	.B(n_15687),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_44 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1521  (
-	.A(n_15931),
-	.B(n_15699),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_43 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1522  (
-	.A(n_15697),
-	.B(n_15929),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_39 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1523  (
-	.A(n_15713),
-	.B_N(n_15945),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_42 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1524  (
-	.A(n_15932),
-	.B(n_15700),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_38 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1525  (
-	.A(n_15920),
-	.B(n_15688),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_37 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1526  (
-	.A(n_15934),
-	.B(n_15702),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_36 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1527  (
-	.A(n_15935),
-	.B(n_15703),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_35 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1528  (
-	.A(n_15928),
-	.B(n_15696),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_34 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1529  (
-	.A(n_15936),
-	.B(n_15704),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_33 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1530  (
-	.A(n_15918),
-	.B(n_15686),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_32 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1531  (
-	.A(n_15921),
-	.B(n_15689),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_31 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1532  (
-	.A(n_15927),
-	.B(n_15695),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_30 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1533  (
-	.A(n_15922),
-	.B(n_15690),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_29 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1534  (
-	.A(n_15931),
-	.B(n_15699),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_28 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1535  (
-	.A(n_15925),
-	.B(n_15693),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_27 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1536  (
-	.A(n_15924),
-	.B(n_15692),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_26 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1537  (
-	.A(n_15938),
-	.B(n_15706),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_25 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1538  (
-	.A(n_15929),
-	.B(n_15697),
-	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_24 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1539  (
-	.A(n_15945),
-	.B_N(n_15713),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_41 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1540  (
-	.A(n_15698),
-	.B(n_15930),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_23 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1541  (
-	.A(n_15696),
-	.B(n_15928),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_22 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1542  (
-	.A(n_15685),
-	.B(n_15917),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_40 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1543  (
-	.A(n_15688),
-	.B(n_15920),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_21 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1544  (
-	.A(n_15700),
-	.B(n_15932),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_20 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1545  (
-	.A(n_15709),
-	.B(n_15941),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_19 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1546  (
-	.A(n_15705),
-	.B(n_15937),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_18 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1547  (
-	.A(n_15702),
-	.B(n_15934),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_17 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1548  (
-	.A(n_15710),
-	.B(n_15942),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_16 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1549  (
-	.A(n_15689),
-	.B(n_15921),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_15 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1550  (
-	.A(n_15706),
-	.B(n_15938),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_14 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1551  (
-	.A(n_15699),
-	.B(n_15931),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_13 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1552  (
-	.A(n_15708),
-	.B(n_15940),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_12 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1553  (
-	.A(n_15687),
-	.B(n_15919),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_11 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1554  (
-	.A(n_15690),
-	.B(n_15922),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_10 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1555  (
-	.A(n_15686),
-	.B(n_15918),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_9 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1556  (
-	.A(n_15695),
-	.B(n_15927),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_8 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1557  (
-	.A(n_15691),
-	.B(n_15923),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_7 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1558  (
-	.A(n_15694),
-	.B(n_15926),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_6 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1559  (
-	.A(n_15693),
-	.B(n_15925),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_5 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1560  (
-	.A(n_15703),
-	.B(n_15935),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_4 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1561  (
-	.A(n_15704),
-	.B(n_15936),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_3 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1562  (
-	.A(n_15701),
-	.B(n_15933),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_2 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1563  (
-	.A(n_15707),
-	.B(n_15939),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_1 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g1564  (
-	.A(n_15692),
-	.B(n_15924),
-	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_0 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1386  (
-	.A(n_15859),
-	.B(n_15801),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_112 ),
-	.COUT(n_15743),
-	.SUM(n_15647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1387  (
-	.A(n_15858),
-	.B(n_15800),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_110 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_112 ),
-	.SUM(n_15646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1388  (
-	.A(n_15857),
-	.B(n_15799),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_108 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_110 ),
-	.SUM(n_15645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1389  (
-	.A(n_15856),
-	.B(n_15798),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_106 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_108 ),
-	.SUM(n_15644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1390  (
-	.A(n_15855),
-	.B(n_15797),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_104 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_106 ),
-	.SUM(n_15643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1391  (
-	.A(n_15854),
-	.B(n_15796),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_102 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_104 ),
-	.SUM(n_15642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1392  (
-	.A(n_15853),
-	.B(n_15795),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_100 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_102 ),
-	.SUM(n_15641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1393  (
-	.A(n_15852),
-	.B(n_15794),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_98 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_100 ),
-	.SUM(n_15640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1394  (
-	.A(n_15851),
-	.B(n_15793),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_96 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_98 ),
-	.SUM(n_15639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1395  (
-	.A(n_15850),
-	.B(n_15792),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_94 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_96 ),
-	.SUM(n_15638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1396  (
-	.A(n_15849),
-	.B(n_15791),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_92 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_94 ),
-	.SUM(n_15637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1397  (
-	.A(n_15848),
-	.B(n_15790),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_90 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_92 ),
-	.SUM(n_15636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1398  (
-	.A(n_15847),
-	.B(n_15789),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_88 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_90 ),
-	.SUM(n_15635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1399  (
-	.A(n_15846),
-	.B(n_15788),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_86 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_88 ),
-	.SUM(n_15634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1400  (
-	.A(n_15845),
-	.B(n_15787),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_84 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_86 ),
-	.SUM(n_15633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1401  (
-	.A(n_15844),
-	.B(n_15786),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_82 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_84 ),
-	.SUM(n_15632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1402  (
-	.A(n_15843),
-	.B(n_15785),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_80 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_82 ),
-	.SUM(n_15631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1403  (
-	.A(n_15842),
-	.B(n_15784),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_78 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_80 ),
-	.SUM(n_15630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1404  (
-	.A(n_15841),
-	.B(n_15783),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_76 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_78 ),
-	.SUM(n_15629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1405  (
-	.A(n_15840),
-	.B(n_15782),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_74 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_76 ),
-	.SUM(n_15628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1406  (
-	.A(n_15839),
-	.B(n_15781),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_72 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_74 ),
-	.SUM(n_15627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1407  (
-	.A(n_15838),
-	.B(n_15780),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_70 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_72 ),
-	.SUM(n_15626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1408  (
-	.A(n_15837),
-	.B(n_15779),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_68 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_70 ),
-	.SUM(n_15625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1409  (
-	.A(n_15836),
-	.B(n_15778),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_66 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_68 ),
-	.SUM(n_15624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1410  (
-	.A(n_15835),
-	.B(n_15777),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_64 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_66 ),
-	.SUM(n_15623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1411  (
-	.A(n_15834),
-	.B(n_15776),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_62 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_64 ),
-	.SUM(n_15622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1412  (
-	.A(n_15833),
-	.B(n_15775),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_60 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_62 ),
-	.SUM(n_15621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1413  (
-	.A(n_15832),
-	.B(n_15774),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_58 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_60 ),
-	.SUM(n_15620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1414  (
-	.A(n_15831),
-	.B(n_15773),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_56 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_58 ),
-	.SUM(n_15619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1415  (
-	.A(n_15830),
-	.B(n_15772),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_54 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_56 ),
-	.SUM(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] [28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1416  (
-	.A(n_15829),
-	.B(n_15771),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_52 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_54 ),
-	.SUM(n_15618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1417  (
-	.A(n_15828),
-	.B(n_15770),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_50 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_52 ),
-	.SUM(n_15617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1418  (
-	.A(n_15827),
-	.B(n_15769),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_48 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_50 ),
-	.SUM(n_15616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1419  (
-	.A(n_15826),
-	.B(n_15768),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_46 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_48 ),
-	.SUM(n_15615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1420  (
-	.A(n_15825),
-	.B(n_15767),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_44 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_46 ),
-	.SUM(n_15614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1421  (
-	.A(n_15824),
-	.B(n_15766),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_42 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_44 ),
-	.SUM(n_15613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1422  (
-	.A(n_15823),
-	.B(n_15765),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_40 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_42 ),
-	.SUM(n_15612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1423  (
-	.A(n_15822),
-	.B(n_15764),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_38 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_40 ),
-	.SUM(n_15611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1424  (
-	.A(n_15821),
-	.B(n_15763),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_36 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_38 ),
-	.SUM(n_15610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1425  (
-	.A(n_15820),
-	.B(n_15762),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_34 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_36 ),
-	.SUM(n_15609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1426  (
-	.A(n_15819),
-	.B(n_15761),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_32 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_34 ),
-	.SUM(n_15608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1427  (
-	.A(n_15818),
-	.B(n_15760),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_30 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_32 ),
-	.SUM(n_15607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1428  (
-	.A(n_15817),
-	.B(n_15759),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_28 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_30 ),
-	.SUM(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] [15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1429  (
-	.A(n_15816),
-	.B(n_15758),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_26 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_28 ),
-	.SUM(n_15606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1430  (
-	.A(n_15815),
-	.B(n_15757),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_24 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_26 ),
-	.SUM(n_15605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1431  (
-	.A(n_15814),
-	.B(n_15756),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_22 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_24 ),
-	.SUM(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] [12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1432  (
-	.A(n_15813),
-	.B(n_15755),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_20 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_22 ),
-	.SUM(n_15604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1433  (
-	.A(n_15812),
-	.B(n_15754),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_18 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_20 ),
-	.SUM(n_15603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1434  (
-	.A(n_15811),
-	.B(n_15753),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_16 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_18 ),
-	.SUM(n_15602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1435  (
-	.A(n_15810),
-	.B(n_15752),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_14 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_16 ),
-	.SUM(n_15601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1436  (
-	.A(n_15809),
-	.B(n_15751),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_12 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_14 ),
-	.SUM(n_15600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1437  (
-	.A(n_15808),
-	.B(n_15750),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_10 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_12 ),
-	.SUM(n_15599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1438  (
-	.A(n_15807),
-	.B(n_15749),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_8 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_10 ),
-	.SUM(n_15598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1439  (
-	.A(n_15806),
-	.B(n_15748),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_6 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_8 ),
-	.SUM(n_15597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1440  (
-	.A(n_15805),
-	.B(n_15747),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_4 ),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_6 ),
-	.SUM(n_15596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1441  (
-	.A(n_15804),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_2 ),
-	.CIN(n_15746),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_4 ),
-	.SUM(n_15595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1442  (
-	.A(n_15803),
-	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_0 ),
-	.CIN(n_15745),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_2 ),
-	.SUM(n_15594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g1443  (
-	.A(n_15802),
-	.B(n_15744),
-	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_0 ),
-	.SUM(n_15593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16880 (
-	.A1_N(n_16567),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2251),
-	.B1(n_16567),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2251),
-	.Y(n_16491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16881 (
-	.A(n_16566),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_173),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2249),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2251),
-	.SUM(n_16490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16882 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2248),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_9),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16884 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2247),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_236),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16886 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2245),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_263),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16888 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2243),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_251),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16890 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2241),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_258),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16892 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2239),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_256),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16894 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2237),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_252),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16896 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2235),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_247),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16898 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2233),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_237),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16900 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2231),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_242),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16902 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2229),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_271),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16904 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2227),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_248),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16906 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2225),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_215),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16908 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2223),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_255),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16910 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2221),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_264),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16912 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2219),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_270),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16914 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2217),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_254),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16916 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2215),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_214),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16918 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2213),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_238),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16920 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2211),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_260),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16922 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2209),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_265),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16924 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2207),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_249),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16926 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2205),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_239),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16928 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2203),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_244),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16930 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2201),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_243),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16932 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2199),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_261),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16934 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2197),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_272),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16935 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_305),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2196),
-	.X(n_16476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16936 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2196),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_216),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16937 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2194),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_262),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16938 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_325),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2193),
-	.X(n_16475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16939 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2193),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_253),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16940 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2191),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_213),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16941 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_322),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2190),
-	.X(n_16474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16942 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2190),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_259),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16943 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2188),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_212),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16944 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_320),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2187),
-	.X(n_16473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16945 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2187),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_235),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16946 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2185),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_275),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16947 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_323),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2184),
-	.X(n_16472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16948 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2184),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_241),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16949 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2182),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_245),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16950 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_326),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2181),
-	.X(n_16471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16951 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2181),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_246),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16952 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2179),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_257),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16953 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_319),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2178),
-	.X(n_16470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16954 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2178),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_250),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16955 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2176),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_240),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16956 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_306),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2174),
-	.X(n_16469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16957 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2174),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16958 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_417),
-	.B(n_17486),
-	.X(n_16468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16959 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2173),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_393),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16960 (
-	.A(n_17486),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_378),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16961 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_438),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2169),
-	.X(n_16467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16964 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2167),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_735),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16965 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_744),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2166),
-	.X(n_16466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16966 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2166),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_737),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16967 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2164),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_797),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16968 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_803),
-	.B(n_18455),
-	.X(n_16465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16969 (
-	.A(n_18455),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_796),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16976 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2155),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2019),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16977 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2050),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2154),
-	.X(n_16462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16978 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2154),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2029),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16979 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2152),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2055),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16980 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2079),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2151),
-	.X(n_16461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16981 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2151),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2071),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16982 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2149),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2067),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16983 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2084),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2148),
-	.X(n_16460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16984 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2148),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2069),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16985 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2146),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2024),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16986 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2049),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2145),
-	.X(n_16459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16987 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2145),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2032),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16988 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2143),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2058),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16989 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2083),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2142),
-	.X(n_16458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16990 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2142),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2070),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16991 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2140),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2059),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16992 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2082),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2139),
-	.X(n_16457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16993 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2139),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2068),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16994 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2137),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2025),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16995 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2048),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2136),
-	.X(n_16456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16996 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2136),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2033),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16997 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2134),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2053),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16998 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2081),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2133),
-	.X(n_16455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g16999 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2133),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_63),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17000 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2131),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2060),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17001 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2080),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2130),
-	.X(n_16454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17002 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2130),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_64),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17003 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2128),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2020),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17004 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2047),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2127),
-	.X(n_16453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17005 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2127),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_53),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17006 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2125),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2062),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17007 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2085),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2124),
-	.X(n_16452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17008 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2124),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_57),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17009 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2122),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2056),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17010 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2072),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2121),
-	.X(n_16451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17011 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2121),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_58),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17012 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2119),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2026),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17013 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2051),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2118),
-	.X(n_16450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17014 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2118),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_55),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17015 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2116),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2063),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17016 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2077),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2115),
-	.X(n_16449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17017 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2115),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_61),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17018 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2113),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2054),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17019 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2076),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2112),
-	.X(n_16448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17020 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2112),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_62),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17021 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2110),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2027),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17022 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2045),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2109),
-	.X(n_16447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17023 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2109),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_56),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17024 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2107),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2064),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17025 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2075),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2106),
-	.X(n_16446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17026 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2106),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_65),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17027 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2104),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2065),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17028 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2074),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2103),
-	.X(n_16445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17029 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2103),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_66),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17030 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2101),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2028),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17031 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2044),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2100),
-	.X(n_16444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17032 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2100),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_54),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17033 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2098),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2057),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17034 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2073),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2097),
-	.X(n_16443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17035 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2097),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_59),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17036 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2095),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2066),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17037 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2078),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2094),
-	.X(n_16442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17038 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2094),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_60),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17039 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2092),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2023),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17040 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2043),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2091),
-	.X(n_16441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17041 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2091),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_52),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17042 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2089),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1972),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17043 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1978),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2088),
-	.X(n_16440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17044 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2088),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_51),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17045 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2086),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1934),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17046 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1941),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2061),
-	.X(n_16439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17047 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2061),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_50),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17048 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2005),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1980),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17049 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2035),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2012),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17050 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2036),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2009),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17051 (
-	.A(n_17491),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2037),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17052 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2007),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1981),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17053 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2002),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1988),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17054 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2015),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2034),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17055 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1992),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2039),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17056 (
-	.A(n_17489),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1983),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17057 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1998),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1987),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17058 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2040),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1984),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17059 (
-	.A(n_17490),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2041),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17060 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2038),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1982),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17061 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2000),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1986),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17062 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2015),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2034),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17073 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2036),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2009),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17074 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2035),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2012),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17075 (
-	.A(n_17491),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2037),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17076 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2012),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2035),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17077 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2039),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1992),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17078 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2041),
-	.B(n_17490),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17079 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1984),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2040),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17080 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1983),
-	.B(n_17489),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17081 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1980),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2005),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17082 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1988),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2002),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17083 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2037),
-	.B(n_17491),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17084 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2009),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2036),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17085 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1982),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2038),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17086 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1986),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2000),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17087 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2034),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2015),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17088 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1987),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1998),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17089 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1981),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2007),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17090 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1878),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2004),
-	.X(n_16438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17091 (
-	.A(n_17493),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1994),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17092 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2014),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2011),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17093 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2008),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2013),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17094 (
-	.A(n_17494),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1999),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17095 (
-	.A(n_17495),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_109),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17097 (
-	.A(n_17492),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_108),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17098 (
-	.A(n_17496),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1993),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17099 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1951),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1997),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17101 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2018),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1859),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17112 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1953),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1819),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1876),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2040),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17113 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1955),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1817),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1875),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2038),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17114 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1954),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1818),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1877),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2036),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17115 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1952),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1902),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1836),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2034),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17119 (
-	.A(n_17494),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1999),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17120 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2008),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2013),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17125 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2014),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2011),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17126 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1993),
-	.B(n_17496),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17127 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_108),
-	.B(n_17492),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17128 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1994),
-	.B(n_17493),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17129 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1999),
-	.B(n_17494),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17130 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2013),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2008),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17131 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1997),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1951),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17134 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_109),
-	.B(n_17495),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17135 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2011),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2014),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17136 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2004),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_49),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17145 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_107),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17152 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_106),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17158 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1956),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_525),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_752),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2016),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17159 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1894),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_780),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1901),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2014),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17160 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1961),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1834),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1837),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2012),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17161 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1960),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_779),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_753),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2010),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17162 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1900),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1885),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1835),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2008),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17163 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1882),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1977),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1975),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17165 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1884),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1974),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1973),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17167 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1970),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1805),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17169 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1969),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1871),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1866),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17171 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1964),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1870),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1864),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17172 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1962),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1879),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17173 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1965),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1869),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1861),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17174 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1968),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1791),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1822),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17177 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1964),
-	.B(n_17503),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1816),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17178 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1966),
-	.B(n_17501),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17179 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1968),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1873),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1868),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17180 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1808),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1945),
-	.X(n_16437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17181 (
-	.A(n_17500),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_105),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17185 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1959),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1942),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17186 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1957),
-	.B(n_17497),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17188 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1958),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1940),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17190 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1893),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1949),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17191 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1888),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1948),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17192 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1891),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1946),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17193 (
-	.A(n_17499),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1950),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17194 (
-	.A(n_17498),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1947),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17196 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1959),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1849),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17198 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1849),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1959),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17199 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1958),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1823),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17200 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1823),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1958),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17201 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_105),
-	.B(n_17500),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17203 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1945),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_48),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17205 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_104),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17209 (
-	.A1_N(n_16587),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1925),
-	.B1(n_16587),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1925),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17210 (
-	.A(n_16575),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1921),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17211 (
-	.A(n_16593),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1923),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17212 (
-	.A(n_16578),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1922),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17213 (
-	.A1_N(n_16581),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1926),
-	.B1(n_16581),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1926),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17214 (
-	.A1_N(n_16596),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1939),
-	.B1(n_16596),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1939),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17215 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1927),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17216 (
-	.A(n_16590),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1913),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17217 (
-	.A(n_16596),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1919),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17218 (
-	.A(n_16575),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1914),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17219 (
-	.A(n_16587),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1916),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17220 (
-	.A(n_16590),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1924),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17221 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1917),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17222 (
-	.A(n_16581),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1915),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17223 (
-	.A(n_16578),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1920),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17224 (
-	.A(n_16593),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1918),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17225 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1905),
-	.A2_N(n_17505),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1905),
-	.B2(n_17505),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17226 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1903),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1844),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1903),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1844),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17227 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1907),
-	.A2_N(n_17504),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1907),
-	.B2(n_17504),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17228 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1895),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1846),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1895),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1846),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17229 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1897),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1848),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1897),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1848),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17230 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1899),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1804),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1806),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17231 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1774),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1889),
-	.X(n_16436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17233 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1849),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1882),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1849),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1882),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17234 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1820),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_103),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17235 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1823),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1884),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1823),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1884),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17236 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1928),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1754),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17242 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_103),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1820),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17248 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1889),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_46),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17250 (
-	.A1(n_16619),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_528),
-	.B1(n_16620),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_464),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1862),
-	.C2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_395),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17251 (
-	.A1(n_16619),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_454),
-	.B1(n_16620),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_462),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1862),
-	.C2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_19),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17252 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_381),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1838),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_530),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_161),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17253 (
-	.A1(n_16619),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_456),
-	.B1(n_16620),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_355),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1862),
-	.C2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_383),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17254 (
-	.A1(n_16619),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_386),
-	.B1(n_16620),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_359),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1862),
-	.C2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_367),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17255 (
-	.A1(n_16619),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_371),
-	.B1(n_16620),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_357),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1862),
-	.C2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_369),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17256 (
-	.A1(n_16619),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_460),
-	.B1(n_16620),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_458),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1862),
-	.C2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_399),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17257 (
-	.A1(n_16619),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_402),
-	.B1(n_16620),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_276),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1862),
-	.C2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_20),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17258 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_400),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1838),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_461),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_161),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17259 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_396),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1838),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_161),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_529),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17260 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_382),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1838),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_457),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_161),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17261 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_397),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1838),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_455),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_161),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17262 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_368),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1838),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_372),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_161),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17263 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_366),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1838),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_387),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_161),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17264 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_398),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1838),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_14),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_161),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17265 (
-	.A1(n_16619),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_531),
-	.B1(n_16620),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_361),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1862),
-	.C2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_21),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17270 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1886),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17273 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1907),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17274 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1905),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17275 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1903),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17276 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1827),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_523),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_750),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1901),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17277 (
-	.A(n_16593),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1853),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17278 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1854),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17279 (
-	.A(n_16590),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1857),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17280 (
-	.A(n_16581),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1856),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17281 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1897),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17282 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1895),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17283 (
-	.A1_N(n_16575),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1863),
-	.B1(n_16575),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1863),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17284 (
-	.A(n_16578),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1852),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17285 (
-	.A(n_16596),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1858),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17286 (
-	.A(n_16587),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1855),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17287 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1839),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1770),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1761),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17289 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1831),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1767),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1760),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17290 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1830),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1768),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1763),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17292 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1860),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1708),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17293 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1842),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1769),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1764),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17295 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1828),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1654),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1711),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17296 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1709),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1824),
-	.X(n_16435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17298 (
-	.A(n_17502),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1815),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17299 (
-	.A(n_17506),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_100),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17300 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1830),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1777),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1830),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1777),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17301 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1832),
-	.B(n_17507),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17302 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1842),
-	.B(n_17508),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17303 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1839),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1683),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1736),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17304 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1840),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1775),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17305 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1831),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1680),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1743),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17307 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1791),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1822),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17309 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1845),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1850),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17311 (
-	.A(n_17503),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1816),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17312 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1843),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1821),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17313 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1822),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1791),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17315 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1850),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1845),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17317 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1816),
-	.B(n_17503),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17318 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1821),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1843),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17319 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1824),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_47),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17320 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_100),
-	.B(n_17506),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17321 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_17),
-	.A2(n_16620),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1826),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_395),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_631),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17322 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_531),
-	.A2(n_16618),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1826),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_21),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_512),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17323 (
-	.A1(n_16620),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_405),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1826),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_20),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_483),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17324 (
-	.A1(n_16620),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_15),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1826),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_367),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_484),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17325 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_371),
-	.A2(n_16618),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1826),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_369),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_451),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17326 (
-	.A1(n_16620),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_406),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1826),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_19),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_568),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17327 (
-	.A1(n_16620),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_408),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1826),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_383),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_603),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17328 (
-	.A1(n_16620),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_403),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1826),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_399),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_573),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17329 (
-	.A1(n_16620),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1825),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1838),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17335 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_99),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17338 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1787),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1668),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1670),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1849),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17339 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1729),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1735),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1646),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_101),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17340 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1783),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_751),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_777),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1836),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17341 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1731),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1734),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_778),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1834),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17342 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1730),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1669),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1715),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1845),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17343 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1721),
-	.B(n_17513),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1733),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1843),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17344 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1800),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17345 (
-	.A(n_16590),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1796),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17346 (
-	.A(n_16581),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1798),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17347 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1825),
-	.B(n_16620),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17350 (
-	.A(n_16587),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1797),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17351 (
-	.A(n_16578),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1794),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17352 (
-	.A(n_16593),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1795),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17353 (
-	.A(n_16596),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1793),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17354 (
-	.A(n_16575),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1799),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17355 (
-	.A(n_16619),
-	.B(n_16620),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1744),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1825),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17356 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1801),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1642),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17357 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1790),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1707),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1692),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17358 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1807),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1701),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17359 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1784),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1705),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1700),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17360 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1788),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1706),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1699),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17361 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1785),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1704),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1698),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17362 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1788),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1614),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1681),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17363 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1789),
-	.B(n_17511),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1651),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17364 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1786),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1703),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1702),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17365 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1647),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1780),
-	.X(n_16434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17366 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1718),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_98),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17368 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1785),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1675),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1679),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17369 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1784),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1714),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1784),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1714),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17371 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1790),
-	.A2_N(n_17510),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1790),
-	.B2(n_17510),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17375 (
-	.A1(n_17511),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1651),
-	.B1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1789),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17377 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1792),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1716),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17378 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_98),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1718),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17379 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1716),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1792),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17382 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1780),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_44),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17383 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_406),
-	.A2(n_16619),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1756),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_19),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_562),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17384 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_405),
-	.A2(n_16619),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1756),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_20),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_487),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17385 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_15),
-	.A2(n_16619),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1756),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_367),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_516),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17386 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_371),
-	.A2(n_16617),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1756),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_369),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_447),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17387 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_531),
-	.A2(n_16617),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1756),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_21),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_504),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17388 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_408),
-	.A2(n_16619),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1756),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_383),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_579),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17389 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_460),
-	.A2(n_16617),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1756),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_399),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_576),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17390 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_17),
-	.A2(n_16619),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1756),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_395),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_673),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17392 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1778),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_98), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17394 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1722),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1563),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1612),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1791),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17395 (
-	.A(n_16581),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1749),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17396 (
-	.A(n_16590),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1750),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17397 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1747),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17398 (
-	.A(n_16578),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1745),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17399 (
-	.A1_N(n_16575),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1758),
-	.B1(n_16575),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1758),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17400 (
-	.A(n_16587),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1748),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17401 (
-	.A(n_16593),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1746),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17402 (
-	.A1_N(n_16596),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1757),
-	.B1(n_16596),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1757),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17403 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1725),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1676),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1725),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1676),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17404 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1723),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1674),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1723),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1674),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17405 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1752),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1597),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17407 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1727),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1583),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1627),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17408 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1609),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1720),
-	.X(n_16433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17409 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1685),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1717),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1685),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1717),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17410 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1653),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_97),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17411 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1742),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1655),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17415 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1683),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1736),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17416 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1656),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1741),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17417 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1742),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1655),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17418 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1680),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1743),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17422 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1741),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1656),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17423 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1655),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1742),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17425 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1736),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1683),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17426 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1743),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1680),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17429 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_97),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1653),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17431 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1720),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_43),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17433 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_531),
-	.A2(n_16616),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1693),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_21),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_507),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17434 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_405),
-	.A2(n_16618),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1693),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_20),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_473),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17435 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_15),
-	.A2(n_16618),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1693),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_367),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_517),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17436 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_16),
-	.A2(n_16618),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1693),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_369),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_422),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17437 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_406),
-	.A2(n_16618),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1693),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_19),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_588),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17438 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_408),
-	.A2(n_16618),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1693),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_383),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_563),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17439 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_460),
-	.A2(n_16616),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1693),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_399),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_556),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17440 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_17),
-	.A2(n_16618),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1693),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_395),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_640),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17441 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_122),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_158),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1732),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17442 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_294),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1694),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17444 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_96),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_97), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17447 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1717),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17449 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1660),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1507),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1553),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1735),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17450 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1665),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_414),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_626),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1734),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17451 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1659),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1506),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1554),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1739),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17452 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1666),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1520),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1562),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1733),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17453 (
-	.A1(n_16618),
-	.A2(n_16619),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1694),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17454 (
-	.A(n_16596),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1687),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17455 (
-	.A1_N(n_16590),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1695),
-	.B1(n_16590),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1695),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17456 (
-	.A1_N(n_16581),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1697),
-	.B1(n_16581),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1697),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17458 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1725),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17459 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1723),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17460 (
-	.A(n_16578),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1686),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17461 (
-	.A(n_16575),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1690),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17462 (
-	.A(n_16587),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1689),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17463 (
-	.A(n_16593),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1688),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17464 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1696),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1696),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17465 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1691),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1547),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17466 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_45),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1601),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17468 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1661),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1603),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1598),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17469 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1663),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1608),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17470 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1662),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1605),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1600),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17471 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1556),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1658),
-	.X(n_16432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17472 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1613),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1652),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17473 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1673),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1649),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17476 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1671),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1611),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1671),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1611),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17477 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1662),
-	.B(n_17514),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17478 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1652),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1613),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17479 (
-	.A(n_17512),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1684),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17480 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1614),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1681),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17481 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1673),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1649),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17482 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1675),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1679),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17484 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1626),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1650),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17485 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1650),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1626),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17486 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1651),
-	.B(n_17511),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17487 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1649),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1673),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17488 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1681),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1614),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17489 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1679),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1675),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17490 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1684),
-	.B(n_17512),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17491 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1658),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_41),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17493 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_405),
-	.A2(n_16617),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1632),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_20),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_503),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17494 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_15),
-	.A2(n_16617),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1632),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_367),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_480),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17495 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_16),
-	.A2(n_16617),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1632),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_369),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_439),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17496 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_406),
-	.A2(n_16617),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1632),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_19),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_590),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17497 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_355),
-	.A2(n_16616),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1632),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_383),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_540),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17498 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_17),
-	.A2(n_16617),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1632),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_395),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_656),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17499 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_460),
-	.A2(n_16615),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1632),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_399),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_569),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17500 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_531),
-	.A2(n_16615),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1632),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_21),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_514),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17501 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_122),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_124),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1667),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17502 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_291),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1633),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17510 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1623),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1523),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1522),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1685),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17511 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1622),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1551),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1521),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1683),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17512 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1575),
-	.B(n_17516),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1524),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1668),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17513 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1617),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1449),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1501),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1680),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17514 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1618),
-	.B(n_17522),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1500),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1678),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17515 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1571),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1513),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1565),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1675),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17516 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1570),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1555),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_742),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1673),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17517 (
-	.A1(n_16618),
-	.A2(n_16617),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1633),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17518 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1635),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1635),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17519 (
-	.A(n_16596),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1631),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17520 (
-	.A(n_16590),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1637),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17522 (
-	.A(n_16593),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1630),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17523 (
-	.A(n_16578),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1628),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17524 (
-	.A(n_16581),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1629),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17525 (
-	.A1_N(n_16587),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1636),
-	.B1(n_16587),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1636),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17526 (
-	.A1_N(n_16575),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1634),
-	.B1(n_16575),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1634),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17527 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1641),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1495),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17530 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1638),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1545),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17531 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_747),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_720),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1621),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17532 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1620),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1549),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1546),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17533 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1619),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1548),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1544),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17534 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1502),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1615),
-	.X(n_16431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17535 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1566),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_94),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17536 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1619),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1451),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1505),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17537 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1625),
-	.B(n_17523),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1508),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17538 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1620),
-	.A2_N(n_17517),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1620),
-	.B2(n_17517),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17539 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1577),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1569),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1552),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17541 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1621),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_804),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17544 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_94),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1566),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17545 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1615),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_42),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17548 (
-	.A1(n_17523),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1508),
-	.B1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1625),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17550 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_385),
-	.A2(n_16616),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1592),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_21),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_650),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17551 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_17),
-	.A2(n_16616),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1592),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_395),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_675),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17552 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_16),
-	.A2(n_16616),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1592),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_369),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_418),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17553 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_406),
-	.A2(n_16616),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1592),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_19),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_609),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17554 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_456),
-	.A2(n_16614),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1592),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_383),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_481),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17555 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_15),
-	.A2(n_16616),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1592),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_367),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_488),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17556 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_460),
-	.A2(n_16614),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1592),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_399),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_564),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17557 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_405),
-	.A2(n_16616),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1592),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_20),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_515),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17558 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_124),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_125),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1624),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17559 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_290),
-	.B(n_17515),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17561 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_93),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_94), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17563 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1572),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1406),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1441),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1626),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17564 (
-	.A1(n_16617),
-	.A2(n_16616),
-	.B1(n_17515),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17565 (
-	.A(n_16593),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1588),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17566 (
-	.A(n_16587),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1589),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17567 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1594),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1594),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17568 (
-	.A1_N(n_16590),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1596),
-	.B1(n_16590),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1596),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17569 (
-	.A1_N(n_16581),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1595),
-	.B1(n_16581),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1595),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17570 (
-	.A(n_16596),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1587),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17571 (
-	.A(n_16578),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1586),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17572 (
-	.A(n_16575),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1590),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17573 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1579),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1482),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1579),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1482),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17574 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1591),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1434),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17575 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1574),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1497),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1494),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17576 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1573),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1496),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1498),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17577 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1574),
-	.B(n_17518),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17578 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1442),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1567),
-	.X(n_16430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17579 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1511),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1558),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1511),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1558),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17580 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1509),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_92),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17581 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1559),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1530),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1559),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1530),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17585 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_91),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1560),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17587 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1510),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1584),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17590 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1585),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1511),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17591 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1560),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_91),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17593 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1584),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1510),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17594 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_92),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1509),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17595 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1567),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_40),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17596 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_385),
-	.A2(n_16615),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1536),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_21),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_642),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17597 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_405),
-	.A2(n_16615),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1536),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_20),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_478),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17598 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_15),
-	.A2(n_16615),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1536),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_367),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_486),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17599 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_16),
-	.A2(n_16615),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1536),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_369),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_428),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17600 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_406),
-	.A2(n_16615),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1536),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_19),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_595),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17601 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_456),
-	.A2(n_16613),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1536),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_383),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_509),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17602 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_17),
-	.A2(n_16615),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1536),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_395),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_667),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17603 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_460),
-	.A2(n_16613),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1536),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_399),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_557),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17605 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_293),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1537),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17606 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1558),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17608 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_39),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_92), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17610 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1559),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17612 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1579),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17613 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1577),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17614 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1514),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1375),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1407),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1583),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17616 (
-	.A(n_16587),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1534),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17617 (
-	.A1_N(n_16593),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1540),
-	.B1(n_16593),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1540),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17618 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1533),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17619 (
-	.A(n_16581),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1535),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17620 (
-	.A1_N(n_16578),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1538),
-	.B1(n_16578),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1538),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17621 (
-	.A1_N(n_16575),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1541),
-	.B1(n_16575),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1541),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17622 (
-	.A1_N(n_16590),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1542),
-	.B1(n_16590),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1542),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17623 (
-	.A1_N(n_16596),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1539),
-	.B1(n_16596),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1539),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17624 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1518),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1474),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1470),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17625 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1526),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1468),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1472),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17627 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1543),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1432),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17628 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1550),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1358),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17629 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1525),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1475),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1471),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17630 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1515),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1473),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1469),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17632 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1529),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1409),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1371),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17633 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1366),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1512),
-	.X(n_16429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17634 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1453),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_90),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17636 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1517),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_736),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_432),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_713),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17637 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1518),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1485),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1518),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1485),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17638 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1525),
-	.A2_N(n_17520),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1525),
-	.B2(n_17520),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17639 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1516),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_743),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17640 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1527),
-	.B(n_17525),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17641 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1526),
-	.A2_N(n_17519),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1526),
-	.B2(n_17519),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17642 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1386),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1364),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1365),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1551),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17643 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1512),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_38),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17644 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1452),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1532),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17645 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1451),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1505),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17646 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_90),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1453),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17647 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1532),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1452),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17648 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1508),
-	.B(n_17523),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17649 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1505),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1451),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17650 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1409),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1371),
-	.B1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1529),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17652 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_385),
-	.A2(n_16614),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1481),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_21),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_638),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17653 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_405),
-	.A2(n_16614),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1481),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_20),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_467),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17654 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_15),
-	.A2(n_16614),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1481),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_367),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_485),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17655 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_16),
-	.A2(n_16614),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1481),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_369),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_453),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17656 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_406),
-	.A2(n_16614),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1481),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_19),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_604),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17657 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_408),
-	.A2(n_16614),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1481),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_383),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_592),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17658 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_17),
-	.A2(n_16614),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1481),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_395),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_641),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17659 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_460),
-	.A2(n_16612),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1481),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_399),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_554),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17660 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1519),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_201),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17661 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_296),
-	.B(n_17521),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17665 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_89),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_90), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17668 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1387),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1408),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_716),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1523),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17669 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1460),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1305),
-	.CIN(n_17534),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_91),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17670 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1459),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_627),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_691),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1530),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17671 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1458),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1373),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1405),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1520),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17672 (
-	.A(n_17521),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_202),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17673 (
-	.A(n_16587),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1492),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17674 (
-	.A(n_16593),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1480),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17675 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1479),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17676 (
-	.A(n_16581),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1491),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17677 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1516),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17678 (
-	.A1_N(n_16578),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1487),
-	.B1(n_16578),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1487),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17679 (
-	.A(n_16590),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1490),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17680 (
-	.A1_N(n_16596),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1488),
-	.B1(n_16596),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1488),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17681 (
-	.A1_N(n_16575),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1499),
-	.B1(n_16575),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1499),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17682 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1368),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1486),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1368),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1486),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17683 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1493),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1333),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17684 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1466),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1437),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1433),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17685 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1465),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1403),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1404),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17686 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1464),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1402),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1399),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17687 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1463),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1401),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1398),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17688 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1467),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1400),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1397),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17689 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1464),
-	.A2_N(n_17527),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1464),
-	.B2(n_17527),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17690 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1339),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1454),
-	.X(n_16428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17692 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1377),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1446),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17693 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1465),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1315),
-	.C(n_17537),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17694 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1463),
-	.B(n_18463),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1384),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17696 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1466),
-	.A2_N(n_17526),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1466),
-	.B2(n_17526),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17697 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1447),
-	.B(n_18461),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17698 (
-	.A(n_18459),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1445),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17699 (
-	.A(n_18461),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1447),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17700 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1446),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1377),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17701 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1445),
-	.B(n_18459),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17703 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1454),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_37),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17704 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_16),
-	.A2(n_16613),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1420),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_369),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_426),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17705 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_15),
-	.A2(n_16613),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1420),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_367),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_482),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17706 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_405),
-	.A2(n_16613),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1420),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_20),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_492),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17707 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_385),
-	.A2(n_16613),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1420),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_21),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_635),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17709 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_408),
-	.A2(n_16613),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1420),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_383),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_587),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17710 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_17),
-	.A2(n_16613),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1420),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_395),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_637),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17711 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_460),
-	.A2(n_16611),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1420),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_399),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_550),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17712 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1389),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1372),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1389),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1372),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17713 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1423),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1322),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1423),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1322),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17714 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_406),
-	.A2(n_16613),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1420),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_19),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_610),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17717 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1363),
-	.A2_N(n_17524),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1363),
-	.B2(n_17524),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17719 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_300),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1425),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17724 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_87),
-	.B(n_18458),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17725 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1456),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1322),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17726 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_86),
-	.B(n_18460),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17727 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1421),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1303),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17728 (
-	.A(n_18458),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_87),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17729 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1322),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1456),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17730 (
-	.A(n_18460),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_86),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17731 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1303),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1421),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17733 (
-	.A1_N(n_16575),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1440),
-	.B1(n_16575),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1440),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17734 (
-	.A(n_16593),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1418),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17735 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1419),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17736 (
-	.A1_N(n_16581),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1428),
-	.B1(n_16581),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1428),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17737 (
-	.A(n_16596),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1417),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17738 (
-	.A(n_16590),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1429),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17739 (
-	.A(n_16578),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1416),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17740 (
-	.A1_N(n_16587),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1427),
-	.B1(n_16587),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1427),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17744 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1423),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17747 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1431),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1257),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17748 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1395),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1335),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1332),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17749 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1396),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1336),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1331),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17750 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1430),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1330),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17751 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1394),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1334),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1320),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17752 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1269),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1379),
-	.X(n_16427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17755 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1306),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1370),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17756 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1393),
-	.B(n_18469),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1314),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17757 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1394),
-	.A2_N(n_17531),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1394),
-	.B2(n_17531),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17758 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1395),
-	.B(n_17532),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17759 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1396),
-	.A2_N(n_17533),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1396),
-	.B2(n_17533),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17763 (
-	.A(n_17528),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1414),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17766 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1370),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1306),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17767 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1414),
-	.B(n_17528),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17768 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1371),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1409),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17769 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1379),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_34),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17770 (
-	.A1(n_18469),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1314),
-	.B1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1393),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17771 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_405),
-	.A2(n_16612),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1342),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_20),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_519),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17772 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_385),
-	.A2(n_16612),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1342),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_21),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_669),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17773 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_15),
-	.A2(n_16612),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1342),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_367),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_494),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17774 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_16),
-	.A2(n_16612),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1342),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_369),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_441),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17775 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_406),
-	.A2(n_16612),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1342),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_19),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_578),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17776 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_408),
-	.A2(n_16612),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1342),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_383),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_611),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17777 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_17),
-	.A2(n_16612),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1342),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_395),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_634),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17778 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_460),
-	.A2(n_16610),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1342),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_399),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_543),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17780 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1388),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_192),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17782 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1267),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1374),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17784 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1380),
-	.A2_N(n_18486),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1380),
-	.B2(n_18486),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17785 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_292),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1346),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17787 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1368),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17791 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1319),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_412),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_625),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1408),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17792 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1251),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1260),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_715),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1413),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17793 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1318),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1002),
-	.CIN(n_18468),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1406),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17794 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1252),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1241),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1259),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1409),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17795 (
-	.A(n_17537),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1315),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17796 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1315),
-	.B(n_17537),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17797 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1361),
-	.B(n_17535),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17798 (
-	.A(n_18463),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1384),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17799 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1312),
-	.B(n_17536),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17800 (
-	.A(n_17535),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1361),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17801 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1384),
-	.B(n_18463),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17802 (
-	.A(n_17536),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1312),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17804 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1389),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17805 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1346),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_204),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17806 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1350),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17807 (
-	.A(n_16575),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1351),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17808 (
-	.A(n_16578),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1340),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17809 (
-	.A(n_16581),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1352),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17810 (
-	.A1_N(n_16590),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1360),
-	.B1(n_16590),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1360),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17811 (
-	.A1_N(n_16596),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1349),
-	.B1(n_16596),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1349),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17812 (
-	.A(n_16587),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1353),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17813 (
-	.A(n_16593),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1341),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17819 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1253),
-	.B(n_18483),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1140),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1375),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17821 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1327),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1247),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1327),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1247),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17823 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1328),
-	.A2_N(n_18476),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1328),
-	.B2(n_18476),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17824 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1355),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1201),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17825 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1357),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1289),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17826 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1356),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1288),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17827 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1329),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1285),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1286),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17829 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1210),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1307),
-	.X(n_16426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17830 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1238),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1304),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17831 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1327),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1295),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1296),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17832 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1328),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1297),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1298),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17833 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1323),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1263),
-	.C(n_18470),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17834 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1329),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1010),
-	.C(n_18464),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17837 (
-	.A(n_18487),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1300),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17838 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1266),
-	.B(n_17538),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17840 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1190),
-	.B(n_18472),
-	.CIN(n_18471),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1361),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17842 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1304),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1238),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17843 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1263),
-	.A2(n_18470),
-	.B1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1323),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17844 (
-	.A(n_18487),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_35),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17845 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1307),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_32),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17848 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_16),
-	.A2(n_16611),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1278),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_369),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_446),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17849 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_15),
-	.A2(n_16611),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1278),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_367),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_475),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17850 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_405),
-	.A2(n_16611),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1278),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_20),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_491),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17851 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_406),
-	.A2(n_16611),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1278),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_19),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_577),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17852 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_385),
-	.A2(n_16611),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1278),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_21),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_672),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17853 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_408),
-	.A2(n_16611),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1278),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_383),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_589),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17854 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_460),
-	.A2(n_16609),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1278),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_399),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_580),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17855 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_17),
-	.A2(n_16611),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1278),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_395),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_670),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17857 (
-	.A(n_18475),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1280),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17860 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1321),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_205),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17865 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_304),
-	.B(n_17539),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17871 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1317),
-	.B(n_18467),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17872 (
-	.A(n_18465),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1313),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17873 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1243),
-	.B(n_18462),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17874 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1280),
-	.B(n_18475),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17875 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1313),
-	.B(n_18465),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17876 (
-	.A(n_18467),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1317),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17877 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1314),
-	.B(n_18469),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17879 (
-	.A(n_17539),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_194),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17880 (
-	.A(n_18462),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1243),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17881 (
-	.A1_N(n_16596),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1283),
-	.B1(n_16596),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1283),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17882 (
-	.A(n_16575),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1274),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17883 (
-	.A(n_16587),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1273),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17884 (
-	.A(n_16593),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1271),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17885 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1272),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17886 (
-	.A1_N(n_16581),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1284),
-	.B1(n_16581),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1284),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17887 (
-	.A1_N(n_16578),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1282),
-	.B1(n_16578),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1282),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17888 (
-	.A(n_16590),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1270),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17889 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1256),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1292),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1293),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17894 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1193),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1126),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1074),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1315),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17895 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1192),
-	.B(n_18478),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_977),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1305),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17896 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1191),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1075),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_975),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1312),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17897 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1262),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1050),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1262),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1050),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17898 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1255),
-	.A2_N(n_18479),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1255),
-	.B2(n_18479),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17899 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1256),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1244),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1256),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1244),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17900 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1254),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1048),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1254),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1048),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17901 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1299),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1162),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17902 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1261),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1204),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1200),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17903 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1171),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1248),
-	.X(n_16425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17905 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1110),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1246),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1110),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1246),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17906 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1276),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1202),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_87), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17907 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1261),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1030),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1186),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17908 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1277),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1205),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_86), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17909 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1275),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1203),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17910 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1248),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_30),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17912 (
-	.A(n_18486),
-	.B(n_18476),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17913 (
-	.A(n_18476),
-	.B(n_18486),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17914 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1267),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1247),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17915 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1247),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1267),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17917 (
-	.A(n_18473),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1244),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17918 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1244),
-	.B(n_18473),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17921 (
-	.A(n_18470),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1263),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17922 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1246),
-	.B(n_18477),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17924 (
-	.A(n_18464),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1010),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17925 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1010),
-	.B(n_18464),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17926 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1048),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_83),
-	.B1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1254),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17927 (
-	.A1(n_18479),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_84),
-	.B1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1255),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17928 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1050),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1148),
-	.B1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1262),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17929 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_405),
-	.A2(n_16610),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1215),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_20),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_520),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17930 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_15),
-	.A2(n_16610),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1215),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_367),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_505),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17931 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_16),
-	.A2(n_16610),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1215),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_369),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_445),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17932 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_406),
-	.A2(n_16610),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1215),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_19),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_570),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17933 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_408),
-	.A2(n_16610),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1215),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_383),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_574),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17934 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_17),
-	.A2(n_16610),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1215),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_395),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_652),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17935 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_460),
-	.A2(n_16608),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1215),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_399),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_541),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17936 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_385),
-	.A2(n_16610),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1215),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_21),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_628),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17937 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1114),
-	.B(n_18474),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17939 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_965),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1242),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17941 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_301),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1218),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17946 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_410),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1194),
-	.CIN(n_16526),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1260),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17947 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1101),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1206),
-	.CIN(n_16525),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1266),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17948 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1124),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1125),
-	.CIN(n_16521),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1263),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17950 (
-	.A(n_18474),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1114),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17952 (
-	.A(n_16587),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1211),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17953 (
-	.A(n_16575),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1220),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17954 (
-	.A1_N(n_16581),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1230),
-	.B1(n_16581),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1230),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17955 (
-	.A(n_16593),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1213),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17956 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1214),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17957 (
-	.A(n_16578),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1212),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17958 (
-	.A1_N(n_16590),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1229),
-	.B1(n_16590),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1229),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17959 (
-	.A1_N(n_16596),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1219),
-	.B1(n_16596),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1219),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17963 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1198),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1081),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1198),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1081),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17965 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1196),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1167),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1143),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17966 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1225),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1161),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17967 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1104),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1168),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1159),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17968 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1133),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1196),
-	.C(n_16520),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17970 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1138),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1104),
-	.C(n_16524),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17972 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1221),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1160),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17973 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1170),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1175),
-	.X(n_16424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17976 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1198),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1120),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1122),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17986 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1175),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_31),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17990 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1117),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_28),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17991 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_405),
-	.A2(n_16609),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1146),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_20),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_511),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17992 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_15),
-	.A2(n_16609),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1146),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_367),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_471),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17993 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_385),
-	.A2(n_16609),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1146),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_21),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_632),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17994 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_406),
-	.A2(n_16609),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1146),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_19),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_567),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17995 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_408),
-	.A2(n_16609),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1146),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_383),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_560),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17996 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_17),
-	.A2(n_16609),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1146),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_395),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_668),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17997 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_460),
-	.A2(n_16607),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1146),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_399),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_539),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17998 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_16),
-	.A2(n_16609),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1146),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_369),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_440),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g17999 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1051),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1147),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18000 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1199),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_196),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18004 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_299),
-	.B(n_17549),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18006 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_218),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_219),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1119),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1208),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18007 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_83),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1048),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18008 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1030),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1186),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18009 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1148),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1050),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18010 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_84),
-	.B(n_18479),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18012 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1147),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1051),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18013 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1186),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1030),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18014 (
-	.A(n_17549),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_207),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18016 (
-	.A(n_16575),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1141),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18017 (
-	.A1_N(n_16596),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1150),
-	.B1(n_16596),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1150),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18018 (
-	.A1_N(n_16587),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1154),
-	.B1(n_16587),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1154),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18019 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1153),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1153),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18020 (
-	.A1_N(n_16578),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1151),
-	.B1(n_16578),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1151),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18021 (
-	.A1_N(n_16581),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1155),
-	.B1(n_16581),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1155),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18022 (
-	.A(n_16590),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1142),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18023 (
-	.A1_N(n_16593),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1152),
-	.B1(n_16593),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1152),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18032 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1055),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1036),
-	.CIN(n_18341),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1185),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2i_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18039 (
-	.A0(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_147),
-	.A1(n_18343),
-	.S(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1115),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18040 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1102),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1108),
-	.X(n_16423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18042 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1131),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1080),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18044 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1132),
-	.B(n_16501),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18045 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1145),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1090),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18051 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1138),
-	.B(n_16524),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18052 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1133),
-	.B(n_16520),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18059 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1080),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1131),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18060 (
-	.A(n_16501),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1132),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18061 (
-	.A(n_18343),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1115),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18062 (
-	.A(n_16524),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1138),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18066 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1108),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_27),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18068 (
-	.A(n_16520),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1133),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18069 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_385),
-	.A2(n_16608),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1076),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_21),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_629),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18070 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_405),
-	.A2(n_16608),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1076),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_20),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_474),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18071 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_15),
-	.A2(n_16608),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1076),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_367),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_513),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18072 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_16),
-	.A2(n_16608),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1076),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_369),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_448),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18073 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_406),
-	.A2(n_16608),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1076),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_19),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_566),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18074 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_408),
-	.A2(n_16608),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1076),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_383),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_558),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18075 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_460),
-	.A2(n_16606),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1076),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_399),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_598),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18076 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_17),
-	.A2(n_16608),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1076),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_395),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_666),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18078 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1052),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1072),
-	.C(n_16515),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_84), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18079 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1053),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1046),
-	.C(n_16512),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18080 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1054),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1070),
-	.C(n_18340),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_83), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18081 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1064),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1069),
-	.C(n_16518),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18082 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1109),
-	.A2_N(n_16503),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1109),
-	.B2(n_16503),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18083 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_287),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1082),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18088 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_411),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_974),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_988),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1138),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18089 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_926),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_924),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1059),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1136),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18090 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_925),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_935),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1061),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1126),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18091 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_894),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_938),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1047),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1134),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18092 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_976),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_895),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_986),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1124),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18093 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_927),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_937),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1060),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1131),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18095 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_965),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1081),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18097 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1081),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_965),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18098 (
-	.A1_N(n_16596),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1085),
-	.B1(n_16596),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1085),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18099 (
-	.A1_N(n_16575),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1099),
-	.B1(n_16575),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1099),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18100 (
-	.A1_N(n_16590),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1100),
-	.B1(n_16590),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1100),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18101 (
-	.A1_N(n_16587),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1083),
-	.B1(n_16587),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1083),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18103 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1106),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1093),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18104 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1107),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1091),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18105 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1052),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1097),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1094),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18106 (
-	.A1_N(n_16581),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1098),
-	.B1(n_16581),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1098),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18107 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1065),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1095),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1096),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18108 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1087),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1087),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18109 (
-	.A1_N(n_16593),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1086),
-	.B1(n_16593),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1086),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18110 (
-	.A1_N(n_16578),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1084),
-	.B1(n_16578),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1084),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18113 (
-	.A(n_18477),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18114 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1070),
-	.A2(n_18340),
-	.B1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1054),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18115 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1069),
-	.A2(n_16518),
-	.B1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1064),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18116 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1065),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1067),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1065),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1067),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18117 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1089),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1019),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18118 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1028),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1033),
-	.X(n_16422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18119 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1071),
-	.B(n_16500),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18120 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1053),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1058),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1056),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18121 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_706),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1062),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18122 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_705),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1063),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_219),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_431),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18123 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1072),
-	.B(n_16515),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18124 (
-	.A(n_16503),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1067),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18126 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1067),
-	.B(n_16503),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18127 (
-	.A(n_16515),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1072),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18128 (
-	.A(n_16518),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1069),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18130 (
-	.A(n_18340),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1070),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18131 (
-	.A(n_16500),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1071),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18132 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1033),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_26),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18134 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_385),
-	.A2(n_16607),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1008),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_21),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_671),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18135 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_405),
-	.A2(n_16607),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1008),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_20),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_476),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18136 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_15),
-	.A2(n_16607),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1008),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_367),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_468),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18137 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_406),
-	.A2(n_16607),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1008),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_19),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_561),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18138 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_408),
-	.A2(n_16607),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1008),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_383),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_555),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18139 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_17),
-	.A2(n_16607),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1008),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_395),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_639),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18140 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_460),
-	.A2(n_16605),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1008),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_399),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_559),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18141 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_16),
-	.A2(n_16607),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1008),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_369),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_420),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18142 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1057),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_193),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18143 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1043),
-	.A2_N(n_18344),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1043),
-	.B2(n_18344),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18144 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1042),
-	.A2_N(n_18336),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1042),
-	.B2(n_18336),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18145 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1041),
-	.A2_N(n_16502),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1041),
-	.B2(n_16502),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18147 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1040),
-	.A2_N(n_16517),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1040),
-	.B2(n_16517),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18151 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_295),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1011),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18153 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1062),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18154 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_887),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_989),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_897),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1061),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18155 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_890),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_875),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_991),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1060),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18156 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_888),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_883),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_987),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1059),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18157 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_885),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_898),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_990),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1068),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18158 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_891),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_859),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_985),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1066),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18159 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1046),
-	.B(n_16512),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18160 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1011),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_203),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18161 (
-	.A(n_16512),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1046),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18162 (
-	.A1_N(n_16578),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1013),
-	.B1(n_16578),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1013),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18163 (
-	.A(n_16575),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1006),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18164 (
-	.A(n_16590),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1007),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18165 (
-	.A1_N(n_16596),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1012),
-	.B1(n_16596),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1012),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18166 (
-	.A(n_16581),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1005),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18167 (
-	.A1_N(n_16593),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1014),
-	.B1(n_16593),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1014),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18168 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1003),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18169 (
-	.A(n_16587),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1004),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18170 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_993),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1025),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1026),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18171 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_992),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1023),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1024),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18173 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_980),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1020),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1021),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18174 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_886),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_900),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_972),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1045),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18175 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_892),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_874),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_970),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1044),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18177 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_980),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_997),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_980),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_997),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18178 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_994),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_971),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_994),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_971),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18179 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_993),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_995),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_993),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_995),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18180 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_992),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1000),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18184 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_963),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_966),
-	.X(n_16421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18186 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1001),
-	.B(n_16499),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18187 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1017),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_957),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18191 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_994),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_982),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_983),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18192 (
-	.A(n_16502),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_995),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18193 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_995),
-	.B(n_16502),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18195 (
-	.A(n_16517),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1000),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18196 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1000),
-	.B(n_16517),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18198 (
-	.A(n_18344),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_997),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18199 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_997),
-	.B(n_18344),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18200 (
-	.A(n_16499),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1001),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18202 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_966),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_25),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18205 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_385),
-	.A2(n_16606),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_951),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_21),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_655),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18206 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_405),
-	.A2(n_16606),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_951),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_20),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_502),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18207 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_15),
-	.A2(n_16606),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_951),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_367),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_490),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18208 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_16),
-	.A2(n_16606),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_951),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_369),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_419),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18209 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_406),
-	.A2(n_16606),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_951),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_19),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_551),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18210 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_408),
-	.A2(n_16606),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_951),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_383),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_549),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18211 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_460),
-	.A2(n_16604),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_951),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_399),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_597),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18212 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_17),
-	.A2(n_16606),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_951),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_395),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_654),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18213 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_121),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_152),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_984),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18214 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_969),
-	.A2_N(n_16507),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_969),
-	.B2(n_16507),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18215 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_967),
-	.A2_N(n_18339),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_967),
-	.B2(n_18339),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18216 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_968),
-	.A2_N(n_18345),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_968),
-	.B2(n_18345),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18217 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_302),
-	.B(n_17554),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18219 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_855),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_839),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_918),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_991),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18220 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_850),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_845),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_934),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_990),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18221 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_854),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_840),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_940),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_989),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18222 (
-	.A(n_16575),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_184),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_929),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_988),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18223 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_852),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_816),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_939),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_987),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18224 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_181),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_802),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_928),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_986),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18225 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_856),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_817),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_936),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_985),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18226 (
-	.A1(n_16607),
-	.A2(n_16606),
-	.B1(n_17554),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18227 (
-	.A(n_18336),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_971),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18228 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_971),
-	.B(n_18336),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18230 (
-	.A(n_16578),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_950),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18231 (
-	.A(n_16575),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_946),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18232 (
-	.A(n_16590),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_955),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18233 (
-	.A(n_16581),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_947),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18234 (
-	.A1_N(n_16587),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_954),
-	.B1(n_16587),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_954),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18235 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_949),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18236 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_930),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_960),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_961),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18237 (
-	.A(n_16593),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_948),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18238 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_942),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_958),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_962),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18239 (
-	.A1_N(n_16596),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_953),
-	.B1(n_16596),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_953),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18240 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_851),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_846),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_923),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_972),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18241 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_849),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_844),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_921),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_970),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18242 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_942),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_944),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18243 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_930),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_945),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18244 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_941),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_922),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_941),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_922),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18245 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_956),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_915),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18246 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_941),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_932),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_931),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18247 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_919),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_920),
-	.X(n_16420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18248 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_916),
-	.B(n_16498),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18249 (
-	.A(n_16507),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_944),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18250 (
-	.A(n_18345),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_945),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18251 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_945),
-	.B(n_18345),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18253 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_944),
-	.B(n_16507),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18254 (
-	.A(n_16498),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_916),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18255 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_920),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_24),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18257 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_385),
-	.A2(n_16605),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_907),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_21),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_653),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18258 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_460),
-	.A2(n_16603),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_907),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_399),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_596),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18259 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_16),
-	.A2(n_16605),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_907),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_369),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_430),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18260 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_406),
-	.A2(n_16605),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_907),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_19),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_552),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18261 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_408),
-	.A2(n_16605),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_907),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_383),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_542),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18262 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_15),
-	.A2(n_16605),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_907),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_367),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_493),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18263 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_17),
-	.A2(n_16605),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_907),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_395),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_644),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18264 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_405),
-	.A2(n_16605),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_907),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_20),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_469),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18266 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_298),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_908),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18268 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_799),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_790),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_899),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_940),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18269 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_801),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_762),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_876),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_939),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18270 (
-	.A(n_16575),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_185),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_889),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_943),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18271 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_807),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_761),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_879),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_936),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18272 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_808),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_795),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_896),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_934),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18274 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_922),
-	.B(n_18339),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18275 (
-	.A(n_18339),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_922),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18276 (
-	.A(n_16581),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_903),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18277 (
-	.A(n_16578),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_906),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18278 (
-	.A(n_16596),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_902),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18279 (
-	.A(n_16587),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_905),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18280 (
-	.A1_N(n_16593),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_909),
-	.B1(n_16593),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_909),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18281 (
-	.A(n_16575),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_910),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18282 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_904),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18283 (
-	.A(n_16590),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_911),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18284 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_800),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_789),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_882),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_923),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18285 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_806),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_794),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_860),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_921),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18286 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_913),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_870),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18287 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_877),
-	.B(n_16497),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18288 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_805),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_792),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_914),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18289 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_880),
-	.B(n_18485),
-	.X(n_16419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18290 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_847),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_878),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18291 (
-	.A(n_16497),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_877),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18292 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_878),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_832),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18294 (
-	.A(n_18485),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_23),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18296 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_385),
-	.A2(n_16604),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_863),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_21),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_636),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18297 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_405),
-	.A2(n_16604),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_863),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_20),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_506),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18298 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_460),
-	.A2(n_16602),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_863),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_399),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_617),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18299 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_16),
-	.A2(n_16604),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_863),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_369),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_421),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18300 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_406),
-	.A2(n_16604),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_863),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_19),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_591),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18301 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_408),
-	.A2(n_16604),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_863),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_383),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_565),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18302 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_15),
-	.A2(n_16604),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_863),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_367),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_510),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18303 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_395),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_863),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_17),
-	.B2(n_16604),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_643),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18304 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_117),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_153),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_893),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18305 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_288),
-	.B(n_17556),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18307 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_728),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_760),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_829),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_899),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18308 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_180),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_758),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_827),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_901),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18309 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_748),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_828),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_729),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_896),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18310 (
-	.A(n_16575),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_188),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_853),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_894),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18311 (
-	.A1(n_16604),
-	.A2(n_16605),
-	.B1(n_17556),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18312 (
-	.A1_N(n_16581),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_872),
-	.B1(n_16581),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_872),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18313 (
-	.A1_N(n_16578),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_866),
-	.B1(n_16578),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_866),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18314 (
-	.A1_N(n_16575),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_873),
-	.B1(n_16575),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_873),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18315 (
-	.A1_N(n_16596),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_865),
-	.B1(n_16596),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_865),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18316 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_867),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18317 (
-	.A(n_16590),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_869),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18318 (
-	.A(n_16587),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_868),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18319 (
-	.A(n_16593),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_861),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18320 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_759),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_714),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_809),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_882),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18322 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_843),
-	.B(n_16496),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18324 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_745),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_696),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_862),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18325 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_812),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_836),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_746),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_717),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18326 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_834),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_837),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_766),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_695),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18327 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_835),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_798),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_835),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_798),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18328 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_815),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_836),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_815),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_836),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18329 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_838),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_837),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_838),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_837),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18331 (
-	.A(n_16496),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_843),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18333 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_385),
-	.A2(n_16603),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_822),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_21),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_649),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18334 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_405),
-	.A2(n_16603),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_822),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_20),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_477),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18335 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_15),
-	.A2(n_16603),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_822),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_367),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_470),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18336 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_16),
-	.A2(n_16603),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_822),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_369),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_425),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18337 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_406),
-	.A2(n_16603),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_822),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_19),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_547),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18338 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_696),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_745),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_835),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18339 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_460),
-	.A2(n_16601),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_822),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_399),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_594),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18340 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_408),
-	.A2(n_16603),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_822),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_383),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_553),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18341 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_395),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_822),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_17),
-	.B2(n_16603),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_630),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18343 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_811),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_813),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_754),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_719),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18344 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_813),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_814),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_813),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_814),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18345 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_286),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_823),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18348 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_848),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_776),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18349 (
-	.A1_N(n_16578),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_826),
-	.B1(n_16578),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_826),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18350 (
-	.A(n_16575),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_830),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18351 (
-	.A(n_16590),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_831),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18352 (
-	.A1_N(n_16596),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_824),
-	.B1(n_16596),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_824),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18353 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_819),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18354 (
-	.A(n_16587),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_820),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18355 (
-	.A(n_16593),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_818),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18356 (
-	.A1_N(n_16581),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_825),
-	.B1(n_16581),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_825),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18357 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_inject_carry_in ),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_821),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18358 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_805),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_792),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18359 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_768),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_781),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_768),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_781),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18360 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_769),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_785),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_769),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_785),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18361 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_725),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_782),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_725),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_782),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18362 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_791),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_12),
-	.X(n_16417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18364 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_721),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_786),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_721),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_786),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18365 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_724),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_784),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_724),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_784),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18366 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_722),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_783),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_722),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_783),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18367 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_766),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_695),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_834),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18369 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_792),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_805),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18371 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_385),
-	.A2(n_16602),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_765),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_21),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_647),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18372 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_765),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_20),
-	.B1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_608),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18373 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_781),
-	.B_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_768),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18374 (
-	.A_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_725),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_782),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18375 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_721),
-	.B_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_786),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18376 (
-	.A_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_722),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_783),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18377 (
-	.A_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_724),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_784),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18378 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_769),
-	.B_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_785),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18379 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_148),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_757),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_273),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18380 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_460),
-	.A2(n_16600),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_765),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_399),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_593),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18381 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_16),
-	.A2(n_16602),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_765),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_369),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_424),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18382 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_406),
-	.A2(n_16602),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_765),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_19),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_546),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18383 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_408),
-	.A2(n_16602),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_765),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_383),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_586),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18384 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_15),
-	.A2(n_16602),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_765),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_367),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_508),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18385 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_395),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_765),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_17),
-	.B2(n_16602),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_648),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18386 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_151),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_119),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_810),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18387 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_727),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_756),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_727),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_756),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18388 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_726),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_755),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_726),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_755),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18389 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_289),
-	.B(n_17557),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18391 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_746),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_717),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_812),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18392 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_754),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_719),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_811),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18393 (
-	.A1(n_16603),
-	.A2(n_16602),
-	.B1(n_17557),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18394 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_726),
-	.B_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_755),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18395 (
-	.A_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_727),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_756),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18396 (
-	.A(n_16593),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_764),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18397 (
-	.A1_N(n_16578),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_770),
-	.B1(n_16578),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_770),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18398 (
-	.A(n_16581),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_774),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18399 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_747),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_720),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18400 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_676),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_787),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18401 (
-	.A(n_16596),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_763),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18402 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_772),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18403 (
-	.A(n_16587),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_775),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18404 (
-	.A(n_16575),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_773),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18405 (
-	.A(n_16590),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_771),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18406 (
-	.A_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_676),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_787),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18407 (
-	.A_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_787),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_676),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18408 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_745),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_696),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18409 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_738),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_708),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_738),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_708),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18410 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_723),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_711),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_723),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_711),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18411 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_757),
-	.A2_N(n_16494),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_757),
-	.B2(n_16494),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18412 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_697),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_710),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_697),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_710),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18413 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_699),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_712),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_699),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_712),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18414 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_739),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_709),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_739),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_709),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18415 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_698),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_707),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_698),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_707),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18416 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_183),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_522),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_527),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_779),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18417 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_186),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_415),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_413),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_777),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18418 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_187),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_524),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_521),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_787),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18419 (
-	.A(n_16494),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_718),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18420 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_369),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_694),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_16),
-	.B2(n_16601),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_423),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18421 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_15),
-	.A2(n_16601),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_694),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_367),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_518),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18422 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_398),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_741),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_607),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18423 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_19),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_694),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_406),
-	.B2(n_16601),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_545),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18424 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_21),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_694),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_385),
-	.B2(n_16601),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_646),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18425 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_712),
-	.B_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_699),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18426 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_708),
-	.B_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_738),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18427 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_709),
-	.B_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_739),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18428 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_697),
-	.B_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_710),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18429 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_711),
-	.B_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_723),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18430 (
-	.A_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_698),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_707),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18431 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_408),
-	.A2(n_16601),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_694),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_383),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_571),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18432 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_395),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_694),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_17),
-	.B2(n_16601),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_651),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18433 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_460),
-	.A2(n_16599),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_694),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_399),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_584),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18434 (
-	.A(n_16596),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_732),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18435 (
-	.A(n_16590),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_731),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18437 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_680),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_683),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_680),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_683),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18438 (
-	.A(n_18186),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_734),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18439 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_681),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_682),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_681),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_682),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18440 (
-	.A(n_16590),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_730),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18441 (
-	.A(n_16587),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_733),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18442 (
-	.A1_N(n_16596),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_740),
-	.B1(n_16596),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_740),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18443 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_297),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_700),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18444 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_718),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18445 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_182),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_134),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_526),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_752),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18446 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_179),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_136),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_416),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_750),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18448 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_681),
-	.B_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_682),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18449 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_683),
-	.B_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_680),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18450 (
-	.A(n_16593),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_704),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18451 (
-	.A(n_16581),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_703),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18452 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_339),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_621),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18453 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_432),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_657),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_432),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_657),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18454 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_434),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_599),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_616),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18455 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_434),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_689),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_434),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_689),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18456 (
-	.A(n_16575),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_702),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18457 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_73),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_701),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18458 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_694),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18459 (
-	.A_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_621),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_339),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18460 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_657),
-	.B_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_432),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18461 (
-	.A_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_339),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_621),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18462 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_19),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_495),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_406),
-	.B2(n_16600),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_538),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18463 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_369),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_495),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_16),
-	.B2(n_16600),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_443),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18464 (
-	.A1(n_17559),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_396),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_692),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18465 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_17),
-	.A2(n_16600),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_395),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_495),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_633),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18466 (
-	.A1(n_17559),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_381),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_693),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18467 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_21),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_495),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_385),
-	.B2(n_16600),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_645),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18468 (
-	.A(n_16578),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_659),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18469 (
-	.A1_N(n_16596),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_687),
-	.B1(n_16596),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_687),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18470 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_76),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_677),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_76),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_677),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18471 (
-	.A(n_16593),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_679),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18472 (
-	.A(n_16581),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_660),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18473 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_70),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_662),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18474 (
-	.A(n_18186),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_664),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18475 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_73),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_665),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18476 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_658),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18477 (
-	.A(n_16575),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_661),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18478 (
-	.A(n_16593),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_663),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18479 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_222),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_690),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_222),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_690),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18480 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_678),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18481 (
-	.A(n_16575),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_685),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18482 (
-	.A(n_16578),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_686),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18483 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_537),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_688),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_688),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_537),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18484 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_217),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_389),
-	.C(n_16527),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18485 (
-	.A1_N(n_16590),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_684),
-	.B1(n_16590),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_684),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18486 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_657),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18487 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_219),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_431),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_705),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18488 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_383),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_495),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_408),
-	.B2(n_16600),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_585),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18489 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_366),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_624),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_613),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18490 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_398),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_624),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_606),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18491 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_460),
-	.A2(n_16598),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_495),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_399),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_575),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18492 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_679),
-	.B(n_16593),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18493 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_678),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18494 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_685),
-	.B(n_16575),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18495 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_686),
-	.B(n_16578),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18496 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_677),
-	.B(n_16596),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18497 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_77),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_684),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18498 (
-	.A1(n_18416),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_531),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_361),
-	.B2(n_16598),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_385),
-	.C2(n_16599),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18499 (
-	.A1(n_18416),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_528),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_464),
-	.B2(n_16598),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_17),
-	.C2(n_16599),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18500 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_123),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_157),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_674),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18501 (
-	.A(n_16593),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_581),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18502 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_77),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_583),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18503 (
-	.A(n_16575),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_582),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18504 (
-	.A(n_16581),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_622),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18505 (
-	.A(n_16587),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_623),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18506 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_388),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_618),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_619),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18507 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_303),
-	.B(n_17558),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18508 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_159),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_529),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_116),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_465),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18509 (
-	.A1(n_16601),
-	.A2(n_16600),
-	.B1(n_17558),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18510 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_124),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_529),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_122),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_465),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18511 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_154),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_530),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_120),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_362),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18512 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_153),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_530),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_121),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_362),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18513 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_154),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_529),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_120),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_465),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18514 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_120),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_530),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_156),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_362),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18515 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_152),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_529),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_115),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_465),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18516 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_118),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_529),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_159),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_465),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18517 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_121),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_529),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_152),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_465),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18518 (
-	.A1_N(n_16533),
-	.A2_N(n_17560),
-	.B1(n_16533),
-	.B2(n_17560),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18519 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_403),
-	.A2(n_16599),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_458),
-	.B2(n_16598),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_602),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18520 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_225),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_433),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_225),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_433),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18521 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_223),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_388),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18522 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_454),
-	.A2(n_18416),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_462),
-	.B2(n_16598),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_600),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18523 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_456),
-	.A2(n_18416),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_355),
-	.B2(n_16598),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_601),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18524 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_371),
-	.A2(n_18416),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_357),
-	.B2(n_16598),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_620),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18525 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_398),
-	.A2(n_17559),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_605),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18526 (
-	.A1(n_17559),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_366),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_612),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18527 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_399),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_337),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_548),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18528 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_19),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_337),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_544),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18529 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_395),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_337),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_572),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18530 (
-	.A1_N(n_16578),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_532),
-	.B1(n_16578),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_532),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18531 (
-	.A(n_16575),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_499),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18532 (
-	.A(n_16590),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_500),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18533 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_623),
-	.B(n_16587),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18534 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_622),
-	.B(n_16581),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18535 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_81),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_501),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18536 (
-	.A(n_16587),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_498),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18537 (
-	.A1_N(n_16593),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_534),
-	.B1(n_16593),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_534),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18538 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_533),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_533),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18539 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_76),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_497),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18540 (
-	.A(n_16542),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_535),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18541 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_116),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_529),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_125),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_465),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18542 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_117),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_530),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_153),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_362),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18543 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_117),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_529),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_153),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_465),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18544 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_151),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_530),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_117),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_362),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18545 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_115),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_529),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_154),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_465),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18546 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_160),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_529),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_157),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_465),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18547 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_159),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_530),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_116),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_362),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18548 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_123),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_530),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_119),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_362),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18549 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_157),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_529),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_123),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_465),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18550 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_157),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_530),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_123),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_362),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18551 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_160),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_530),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_157),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_362),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18552 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_126),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_530),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_160),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_362),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18553 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_151),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_529),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_117),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_465),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18554 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_119),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_529),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_151),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_465),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18555 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_118),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_530),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_159),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_362),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18556 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_155),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_529),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_118),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_465),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18557 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_125),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_529),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_124),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_465),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18558 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_153),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_529),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_121),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_465),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18559 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_155),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_530),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_118),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_362),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18560 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_156),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_529),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_155),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_465),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18561 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_119),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_530),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_151),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_362),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18562 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_156),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_530),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_155),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_362),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18563 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_120),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_529),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_156),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_465),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18564 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_126),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_529),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_160),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_465),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18565 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_152),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_530),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_115),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_362),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18566 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_122),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_529),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_158),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_465),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18567 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_123),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_529),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_119),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_465),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18568 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_121),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_530),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_152),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_362),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18569 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_115),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_530),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_154),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_362),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18570 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_365),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_536),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_365),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_536),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18571 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_222),
-	.A2(n_16533),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_614),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18572 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_220),
-	.A2(n_16530),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_615),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18573 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_389),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_335),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_336),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18574 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_495),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18575 (
-	.A1(n_17559),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_368),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_160),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_373),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18576 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_537),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_224),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18577 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_224),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_537),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18578 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_117),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_18),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_151),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_459),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18579 (
-	.A_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_225),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_433),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18580 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_536),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_330),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18581 (
-	.A(n_17560),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_334),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18582 (
-	.A1(n_16598),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_386),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_359),
-	.B2(n_16599),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_15),
-	.C2(n_16600),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18583 (
-	.A1(n_18416),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_386),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_359),
-	.B2(n_16598),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_15),
-	.C2(n_16599),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18584 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_120),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_457),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_156),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_356),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18585 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_156),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_455),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_155),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_463),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18586 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_159),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_455),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_116),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_463),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18587 (
-	.A1(n_16600),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_402),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_276),
-	.B2(n_16601),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_405),
-	.C2(n_16602),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18588 (
-	.A1(n_16599),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_402),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_276),
-	.B2(n_16600),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_405),
-	.C2(n_16601),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18589 (
-	.A1(n_16598),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_402),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_276),
-	.B2(n_16599),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_405),
-	.C2(n_16600),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18590 (
-	.A1(n_18416),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_402),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_276),
-	.B2(n_16598),
-	.C1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_405),
-	.C2(n_16599),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18591 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_155),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_455),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_118),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_463),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18592 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_122),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_457),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_158),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_356),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18593 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_400),
-	.A2(n_17559),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_127),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_461),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18594 (
-	.A1(n_17559),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_382),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_160),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_409),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18595 (
-	.A1(n_17559),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_397),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_160),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_407),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18596 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_433),
-	.B_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_225),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18597 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_115),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_18),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_152),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_459),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18598 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_121),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_18),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_153),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_459),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18599 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_153),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_18),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_117),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_459),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18600 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_118),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_455),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_159),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_463),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18601 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_151),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_18),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_119),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_459),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18602 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_119),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_18),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_123),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_459),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18603 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_155),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_457),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_118),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_356),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18604 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_119),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_455),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_151),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_463),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18605 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_116),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_455),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_125),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_463),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18606 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_154),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_457),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_120),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_356),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18607 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_125),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_455),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_124),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_463),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18608 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_156),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_457),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_155),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_356),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18609 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_157),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_457),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_123),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_356),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18610 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_126),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_457),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_160),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_356),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18611 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_123),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_18),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_157),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_459),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18612 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_21),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_337),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_489),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18613 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_20),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_337),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_479),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18614 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_383),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_337),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_472),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18615 (
-	.A(n_16587),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_436),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18616 (
-	.A(n_16581),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_437),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18617 (
-	.A(n_16542),
-	.B_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_535),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18618 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_156),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_18),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_120),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_459),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18619 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_124),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_457),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_122),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_356),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18620 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_120),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_455),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_156),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_463),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18621 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_154),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_455),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_120),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_463),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18622 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_158),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_18),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_122),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_459),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18623 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_157),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_18),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_160),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_459),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18624 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_115),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_457),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_154),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_356),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18625 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_122),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_461),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_158),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_459),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18626 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_126),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_401),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_127),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_465),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18627 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_160),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_457),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_157),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_356),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18628 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_115),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_455),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_154),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_463),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18629 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_124),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_18),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_125),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_459),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18630 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_122),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_455),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_158),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_463),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18631 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_152),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_455),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_115),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_463),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18632 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_121),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_455),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_152),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_463),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18633 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_119),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_457),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_151),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_356),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18634 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_125),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_18),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_116),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_459),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18635 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_125),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_457),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_124),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_356),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18636 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_124),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_455),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_122),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_463),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18637 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_153),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_455),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_121),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_463),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18638 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_152),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_457),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_115),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_356),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18639 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_152),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_18),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_121),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_459),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18640 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_121),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_457),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_152),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_356),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18641 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_116),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_18),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_159),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_459),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18642 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_122),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_18),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_124),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_459),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18643 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_153),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_457),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_121),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_356),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18644 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_159),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_18),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_118),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_459),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18645 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_123),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_457),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_119),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_356),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18646 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_151),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_455),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_117),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_463),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18647 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_117),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_455),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_153),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_463),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18648 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_118),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_18),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_155),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_459),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18649 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_117),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_457),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_153),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_356),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18650 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_126),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_18),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_127),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_459),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18651 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_123),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_455),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_119),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_463),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18652 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_157),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_455),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_123),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_463),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18653 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_160),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_455),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_157),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_463),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18654 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_126),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_407),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_127),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_463),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18655 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_155),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_18),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_156),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_459),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18656 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_151),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_457),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_117),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_356),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18657 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_120),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_18),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_154),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_459),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18658 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_116),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_457),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_124),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_409),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18659 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_154),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_18),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_115),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_459),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18660 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_126),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_455),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_160),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_463),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18661 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_531),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18662 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_529),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18663 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_114),
-	.B(n_16538),
-	.CIN(n_16537),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_526),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18664 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_190),
-	.B(n_16539),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_143),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_524),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18665 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_189),
-	.B(n_16536),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_145),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_522),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18666 (
-	.A(n_18186),
-	.B(n_16528),
-	.CIN(n_16529),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_536),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18667 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_76),
-	.B(n_16541),
-	.CIN(n_16540),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_535),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18668 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_115),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_14),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_277),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_154),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18669 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_120),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_14),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_277),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_156),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18670 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_160),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_387),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_157),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_360),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18671 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_125),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_387),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_124),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_360),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18672 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_124),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_387),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_122),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_360),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18673 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_159),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_14),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_116),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_277),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18674 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_124),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_384),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_125),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_362),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18675 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_121),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_387),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_152),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_360),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18676 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_384),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_161),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_158),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_362),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18677 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_152),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_14),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_277),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_115),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18678 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_119),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_387),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_151),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_360),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18679 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_116),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_409),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_159),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_356),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18680 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_157),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_387),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_123),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_360),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18681 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_122),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_384),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_124),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_362),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18682 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_119),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_14),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_151),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_277),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18683 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_115),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_387),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_154),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_360),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18684 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_158),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_384),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_122),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_362),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18685 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_116),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_14),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_125),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_277),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18686 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_117),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_14),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_277),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_153),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18687 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_383),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_408),
-	.B1(n_18416),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18688 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_367),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_337),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_427),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18689 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_21),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_385),
-	.B1(n_18416),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18690 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_405),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_20),
-	.B1(n_18416),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18691 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_369),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_337),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_429),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18692 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_19),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_406),
-	.B1(n_18416),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18693 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_395),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_17),
-	.B1(n_18416),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18694 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_399),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_403),
-	.B1(n_18416),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18695 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_444),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_452),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32a_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18696 (
-	.A1(n_16595),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_279),
-	.A3(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_76),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_380),
-	.B2(n_16596),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18697 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_120),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_387),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_156),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_360),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18698 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_151),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_387),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_117),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_360),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18699 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_156),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_14),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_155),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_277),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18700 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_14),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_154),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_277),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_120),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18701 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_117),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_387),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_153),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_360),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18702 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_126),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_384),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_127),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_362),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18703 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_159),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_387),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_116),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_360),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18704 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_124),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_14),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_122),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_277),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18705 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_118),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_387),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_159),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_360),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18706 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_155),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_387),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_118),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_360),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18707 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_122),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_387),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_158),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_360),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18708 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_122),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_14),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_158),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_277),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18709 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_156),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_387),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_155),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_360),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18710 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_125),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_409),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_116),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_356),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18711 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_116),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_387),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_125),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_360),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18712 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_126),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_404),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_127),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_277),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18713 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_118),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_14),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_159),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_277),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18714 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_123),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_14),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_119),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_277),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18715 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_153),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_14),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_121),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_277),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18716 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_154),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_387),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_120),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_360),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18717 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_121),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_14),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_277),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_152),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18718 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_125),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_14),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_124),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_277),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18719 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_126),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_409),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_127),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_356),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18720 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_152),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_387),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_115),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_360),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18721 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_123),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_387),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_119),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_360),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18722 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_151),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_14),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_117),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_277),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18723 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_153),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_387),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_121),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_360),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18724 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_155),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_14),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_118),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_277),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18726 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_309),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_391),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_442),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18727 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_465),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18728 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_463),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18729 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_461),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18730 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_459),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18731 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_457),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18732 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_455),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18733 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_155),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_372),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_118),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_358),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18734 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_377),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_70),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18735 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_373),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_161),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_158),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_358),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18736 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_12),
-	.B_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_394),
-	.Y(n_16416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18738 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_121),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_372),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_152),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_358),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18739 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_158),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_373),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_122),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_358),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18740 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_154),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_372),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_120),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_358),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18741 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_115),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_372),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_154),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_358),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18742 (
-	.A(n_16587),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_77),
-	.C(n_16589),
-	.D(n_16588),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18743 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_126),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_372),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_160),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_358),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18744 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_391),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_309),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18745 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_120),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_372),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_156),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_358),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18746 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_152),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_372),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_115),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_358),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18747 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_116),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_372),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_125),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_358),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18748 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_3),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_338),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18749 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_15),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_367),
-	.B1(n_18416),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18750 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_369),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_16),
-	.B1(n_18416),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18752 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_172),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_279),
-	.B1(n_16595),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_233),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2a_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18753 (
-	.A1_N(n_16583),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_281),
-	.B1(n_16583),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_232),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2a_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18754 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_283),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_280),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_0),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_285),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18755 (
-	.A0(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_0),
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_374),
-	.S(n_16577),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32a_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18756 (
-	.A1(n_16591),
-	.A2(n_16590),
-	.A3(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_284),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_282),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_211),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32a_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18757 (
-	.A1(n_16583),
-	.A2(n_18186),
-	.A3(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_375),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_232),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_210),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18758 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_151),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_372),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_117),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_358),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18759 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_126),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_373),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_127),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_358),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18760 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_118),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_372),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_159),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_358),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18761 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_126),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_370),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_127),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_360),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18762 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_156),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_372),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_155),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_358),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18763 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_123),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_372),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_119),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_358),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18764 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_157),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_372),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_123),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_358),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18765 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_160),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_372),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_157),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_358),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18766 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_125),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_372),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_124),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_358),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18767 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_119),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_372),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_151),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_358),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18768 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_153),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_372),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_121),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_358),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18769 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_117),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_372),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_153),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_358),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18770 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_159),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_372),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_116),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_358),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18771 (
-	.A(n_16545),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_278),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18772 (
-	.A(n_18520),
-	.B(n_16535),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_77),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18774 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_226),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_266),
-	.B1(n_18520),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_132),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18775 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_141),
-	.A2(n_16530),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_376),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18776 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_226),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_324),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18777 (
-	.A(n_18520),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_307),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18778 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_363),
-	.A2_N(n_16528),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_363),
-	.B2(n_16528),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18779 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_308),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_229),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_308),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_229),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18780 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_141),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_351),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_141),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_351),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18781 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_227),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_10),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18782 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_218),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_364),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_218),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_364),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18783 (
-	.A(n_16578),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_229),
-	.C(n_16575),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18784 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_409),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18785 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_407),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18786 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_404),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18787 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_18),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18788 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_14),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18789 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_17),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18790 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_400),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18791 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_20),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18792 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_19),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18793 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_396),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18794 (
-	.A_N(n_16493),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_231),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18795 (
-	.A(n_16545),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_278),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18797 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_346),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_315),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18798 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_311),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_8),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18799 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_354),
-	.B(n_16573),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18803 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_353),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_317),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18806 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_5),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_312),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18807 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_390),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18809 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_387),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18810 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_385),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18811 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_383),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18812 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_21),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18813 (
-	.A_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_233),
-	.B(n_16595),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18815 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_278),
-	.B(n_16545),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18816 (
-	.A(n_16589),
-	.B(n_16588),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_77),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18817 (
-	.A1(n_16530),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_141),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_227),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18818 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_126),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_160),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_221),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18819 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_218),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_228),
-	.C(n_16581),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18820 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_333),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_230),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_332),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o41a_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18822 (
-	.A1(n_16580),
-	.A2(n_16579),
-	.A3(n_16578),
-	.A4(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_81),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_7),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18823 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_4),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_6),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18824 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_346),
-	.B_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_315),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18827 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_281),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18828 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_283),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18831 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_16),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18832 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_372),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18833 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_15),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18834 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_369),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18835 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_367),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32a_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18838 (
-	.A1(n_16586),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.A3(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_268),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_267),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_269),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18840 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_314),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_316),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18841 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_11),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_313),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18842 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_362),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18843 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_360),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18844 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_358),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18845 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_356),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18846 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_220),
-	.B(n_16530),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18847 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_81),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_228),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_81),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_228),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18848 (
-	.A1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_230),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_217),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_230),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_217),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31a_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18849 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_177),
-	.A2(n_16588),
-	.A3(n_16587),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_331),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31a_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18850 (
-	.A1(n_16580),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_176),
-	.A3(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_73),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_327),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18851 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_329),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_328),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32a_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18852 (
-	.A1(n_16592),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_174),
-	.A3(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_77),
-	.B1(n_16590),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_234),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18854 (
-	.A(n_16527),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_217),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18855 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_217),
-	.B(n_16527),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18856 (
-	.A(n_16533),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_222),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18857 (
-	.A(n_16528),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_217),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18858 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_217),
-	.B(n_16528),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18859 (
-	.A(n_16587),
-	.B(n_16588),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_177),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18861 (
-	.A(n_16530),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_220),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18862 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_175),
-	.C(n_16585),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18863 (
-	.A(n_18186),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_146),
-	.C(n_16586),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18864 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_73),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_176),
-	.C(n_16580),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18865 (
-	.A(n_16547),
-	.B(n_16548),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18866 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_68),
-	.A2(n_16574),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18867 (
-	.A_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_280),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_285),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18868 (
-	.A(n_16563),
-	.B(n_16564),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18869 (
-	.A(n_16552),
-	.B(n_16551),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18871 (
-	.A1_N(n_16587),
-	.A2_N(n_16532),
-	.B1(n_16587),
-	.B2(n_16532),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18872 (
-	.A(n_16562),
-	.B(n_16563),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18873 (
-	.A1_N(n_16555),
-	.A2_N(n_16554),
-	.B1(n_16555),
-	.B2(n_16554),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18874 (
-	.A1_N(n_16556),
-	.A2_N(n_16555),
-	.B1(n_16556),
-	.B2(n_16555),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18875 (
-	.A(n_16557),
-	.B(n_16558),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18876 (
-	.A1(n_18520),
-	.A2(n_16533),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_144),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_132),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18877 (
-	.A(n_16548),
-	.B(n_16549),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18878 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_284),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_282),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18879 (
-	.A(n_16550),
-	.B(n_16551),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18880 (
-	.A1_N(n_16566),
-	.A2_N(n_16565),
-	.B1(n_16566),
-	.B2(n_16565),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18881 (
-	.A(n_16560),
-	.B(n_16559),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18882 (
-	.A(n_16549),
-	.B(n_16550),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18883 (
-	.A(n_16565),
-	.B(n_16564),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18884 (
-	.A(n_16559),
-	.B(n_16558),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18885 (
-	.A(n_16557),
-	.B(n_16556),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18886 (
-	.A1_N(n_16562),
-	.A2_N(n_16561),
-	.B1(n_16562),
-	.B2(n_16561),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18887 (
-	.A(n_16547),
-	.B(n_16546),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18888 (
-	.A1_N(n_16554),
-	.A2_N(n_16553),
-	.B1(n_16554),
-	.B2(n_16553),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18889 (
-	.A(n_16542),
-	.B(n_16543),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18890 (
-	.A1(n_16543),
-	.A2(n_16544),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_278),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18891 (
-	.A1(n_16598),
-	.A2(n_18416),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_221),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18895 (
-	.A1_N(n_16590),
-	.A2_N(n_16535),
-	.B1(n_16590),
-	.B2(n_16535),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18896 (
-	.A(n_16560),
-	.B(n_16561),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18897 (
-	.A(n_16546),
-	.B(n_16545),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18898 (
-	.A(n_16552),
-	.B(n_16553),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18900 (
-	.A1_N(n_16576),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_68),
-	.B1(n_16576),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_68),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18901 (
-	.A1_N(n_16587),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_175),
-	.B1(n_16587),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_175),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18902 (
-	.A1(n_16590),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_174),
-	.B1(n_16591),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_77),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18904 (
-	.A1_N(n_16585),
-	.A2_N(n_18186),
-	.B1(n_16585),
-	.B2(n_18186),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18907 (
-	.A1(n_16578),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_176),
-	.B1(n_16579),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_73),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18908 (
-	.A1_N(n_16594),
-	.A2_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_114),
-	.B1(n_16594),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_114),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18910 (
-	.A(n_16583),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18911 (
-	.A1(n_16598),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_160),
-	.B1(n_16599),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_126),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18912 (
-	.A1(n_16599),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_157),
-	.B1(n_16600),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_160),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18913 (
-	.A1(n_16575),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_73),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_68),
-	.B2(n_16578),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18914 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_155),
-	.A2(n_16611),
-	.B1(n_16612),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_156),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18915 (
-	.A1(n_16600),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_123),
-	.B1(n_16601),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_157),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18916 (
-	.A1(n_16606),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_152),
-	.B1(n_16607),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_121),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18917 (
-	.A1(n_16610),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_156),
-	.B1(n_16611),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_120),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18918 (
-	.A1(n_16613),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_159),
-	.B1(n_16614),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_118),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18919 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_120),
-	.A2(n_16609),
-	.B1(n_16610),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_154),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18920 (
-	.A1(n_16605),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_121),
-	.B1(n_16606),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_153),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18921 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_119),
-	.A2(n_16601),
-	.B1(n_16602),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_123),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18922 (
-	.A1(n_16614),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_116),
-	.B1(n_16615),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_159),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18923 (
-	.A1(n_16607),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_115),
-	.B1(n_16608),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_152),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18924 (
-	.A1(n_16618),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_158),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_122),
-	.B2(n_16619),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18925 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_125),
-	.A2(n_16615),
-	.B1(n_16616),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_116),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18926 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_118),
-	.A2(n_16612),
-	.B1(n_16613),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_155),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18927 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_122),
-	.A2(n_16617),
-	.B1(n_16618),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_124),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18928 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_124),
-	.A2(n_16616),
-	.B1(n_16617),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_125),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18929 (
-	.A1(n_16602),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_151),
-	.B1(n_16603),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_119),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18930 (
-	.A1(n_16604),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_153),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_117),
-	.B2(n_16605),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18931 (
-	.A1(n_16608),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_154),
-	.B1(n_16609),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_115),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18932 (
-	.A1(n_16603),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_117),
-	.B1(n_16604),
-	.B2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_151),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18934 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_277),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18935 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_167),
-	.B(n_16548),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18937 (
-	.A(n_16492),
-	.B(n_16493),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18938 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_169),
-	.B(n_16552),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18939 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_135),
-	.B(n_16560),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18940 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_129),
-	.B(n_16559),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18941 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80),
-	.B(n_16586),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18942 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_146),
-	.B(n_16587),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18943 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_70),
-	.B(n_16585),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18944 (
-	.A(n_16533),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_144),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18945 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_164),
-	.B(n_16555),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18946 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_140),
-	.B(n_16558),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18947 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_165),
-	.B(n_16564),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18949 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_131),
-	.B(n_16551),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18950 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_169),
-	.B(n_16554),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18951 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_164),
-	.B(n_16557),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18952 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_168),
-	.B(n_16551),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18953 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_162),
-	.B(n_16563),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18954 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_137),
-	.B(n_16546),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18955 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_166),
-	.B(n_16564),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18956 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_140),
-	.B(n_16560),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18957 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_129),
-	.B(n_16557),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18958 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_138),
-	.B(n_16552),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18959 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_166),
-	.B(n_16562),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18961 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_162),
-	.B(n_16565),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18962 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_163),
-	.B(n_16547),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18963 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_130),
-	.B(n_16556),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18964 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_128),
-	.B(n_16561),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18965 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_133),
-	.B(n_16563),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18966 (
-	.A(n_16548),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_137),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18967 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_170),
-	.B(n_16547),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18968 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_171),
-	.B(n_16555),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18969 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_171),
-	.B(n_16553),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18970 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_135),
-	.B(n_16562),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18971 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_170),
-	.B(n_16549),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18972 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_163),
-	.B(n_16545),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18973 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_130),
-	.B(n_16554),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18974 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_139),
-	.B(n_16556),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18975 (
-	.A(n_16561),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_133),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18976 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_165),
-	.B(n_16566),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18977 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_167),
-	.B(n_16550),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18978 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_174),
-	.B(n_16592),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18979 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_73),
-	.B(n_16577),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18980 (
-	.A_N(n_16592),
-	.B(n_16593),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18981 (
-	.A(n_16576),
-	.B(n_16575),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18982 (
-	.A(n_16592),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_114),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18983 (
-	.A(n_16582),
-	.B(n_16581),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18984 (
-	.A(n_16577),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_73),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18985 (
-	.A_N(n_16594),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_114),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18988 (
-	.A(n_16544),
-	.B(n_16543),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18989 (
-	.A_N(n_16573),
-	.B(n_16574),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18991 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_223),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18992 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_131),
-	.B(n_16553),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18993 (
-	.A(n_16559),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_128),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18994 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_139),
-	.B(n_16558),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18995 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_138),
-	.B(n_16550),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18996 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_168),
-	.B(n_16549),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18997 (
-	.A(n_16590),
-	.B(n_16591),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g18998 (
-	.A(n_18186),
-	.B(n_16583),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19001 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_154),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_120),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19003 (
-	.A(n_16611),
-	.B(n_16612),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19004 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_155),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_118),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19005 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_152),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_115),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19006 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_159),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_116),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19007 (
-	.A(n_16614),
-	.B(n_16615),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19012 (
-	.A(n_16609),
-	.B(n_16610),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19014 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_156),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_155),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19015 (
-	.A(n_16608),
-	.B(n_16607),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19016 (
-	.A(n_16612),
-	.B(n_16613),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19018 (
-	.A(n_16593),
-	.B(n_16594),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19019 (
-	.A(n_16581),
-	.B(n_16582),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19021 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_158),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_76),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19022 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_inject_carry_in ),
-	.B(n_16492),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19023 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_125),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_76),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19024 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_152),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_76),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19025 (
-	.A(n_16596),
-	.B(n_16602),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19026 (
-	.A(n_16596),
-	.B(n_16605),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19027 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_120),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_76),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19028 (
-	.A(n_16596),
-	.B(n_16613),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19029 (
-	.A(n_16596),
-	.B(n_16611),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19030 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_160),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_76),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19031 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_161),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_76),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19032 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_159),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_76),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19033 (
-	.A(n_16596),
-	.B(n_16608),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19034 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_157),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_76),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19035 (
-	.A(n_16596),
-	.B(n_16612),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19036 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_123),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_76),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19037 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_124),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_76),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19038 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_122),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_76),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19039 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_126),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_76),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19040 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_127),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_76),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19041 (
-	.A(n_18416),
-	.B(n_16598),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19042 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_116),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_76),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19043 (
-	.A(n_16596),
-	.B(n_16609),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19044 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_151),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_76),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19045 (
-	.A(n_16596),
-	.B(n_16604),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19046 (
-	.A(n_16596),
-	.B(n_16606),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19055 (
-	.A(n_16589),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19056 (
-	.A(n_16579),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19058 (
-	.A(n_16586),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19059 (
-	.A(n_16591),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19060 (
-	.A(n_16567),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19061 (
-	.A(n_16595),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19062 (
-	.A(n_16554),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19063 (
-	.A(n_16548),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19064 (
-	.A(n_16553),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19065 (
-	.A(n_16550),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19066 (
-	.A(n_16549),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19067 (
-	.A(n_16563),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19068 (
-	.A(n_16565),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19069 (
-	.A(n_16556),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19070 (
-	.A(n_16546),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19071 (
-	.A(n_16564),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19074 (
-	.A(n_16620),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19075 (
-	.A(n_16599),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19076 (
-	.A(n_16614),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19077 (
-	.A(n_16619),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19078 (
-	.A(n_16600),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19079 (
-	.A(n_16611),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19080 (
-	.A(n_16612),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19081 (
-	.A(n_16609),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19082 (
-	.A(n_16605),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19083 (
-	.A(n_16607),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19084 (
-	.A(n_16603),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19143 (
-	.A(n_16581),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_81), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19189 (
-	.A(n_18186),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_80), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19245 (
-	.A(n_16590),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_77), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19320 (
-	.A(n_16596),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_76), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19324 (
-	.A(n_16494),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19325 (
-	.A(n_18343),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19328 (
-	.A(n_16585),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19329 (
-	.A(n_16537),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19330 (
-	.A(n_18520),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19331 (
-	.A(n_16540),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19333 (
-	.A(n_16531),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19334 (
-	.A(n_16559),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19335 (
-	.A(n_16557),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19336 (
-	.A(n_16551),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19337 (
-	.A(n_16547),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19338 (
-	.A(n_16536),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19339 (
-	.A(n_16561),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19340 (
-	.A(n_16539),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19341 (
-	.A(n_16562),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19342 (
-	.A(n_16533),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19343 (
-	.A(n_16552),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19344 (
-	.A(n_16555),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19346 (
-	.A(n_16558),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19347 (
-	.A(n_16560),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19348 (
-	.A(n_18416),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19349 (
-	.A(n_16598),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19350 (
-	.A(n_16616),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19351 (
-	.A(n_16617),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19352 (
-	.A(n_16601),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19353 (
-	.A(n_16618),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19354 (
-	.A(n_16606),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19355 (
-	.A(n_16610),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19356 (
-	.A(n_16602),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19357 (
-	.A(n_16613),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19358 (
-	.A(n_16604),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19359 (
-	.A(n_16615),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19360 (
-	.A(n_16608),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19415 (
-	.A(n_16578),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_73), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19460 (
-	.A(n_16587),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_70), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19514 (
-	.A(n_16575),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_68), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g2 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_321),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2247),
-	.X(n_16489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19518 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2041),
-	.B(n_17490),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_66), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19519 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1984),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2040),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_65), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19520 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2002),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1988),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_64), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19521 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1981),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2007),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_63), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19522 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1987),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1998),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_62), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19523 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1983),
-	.B(n_17489),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_61), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19524 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2039),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1992),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_60), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19525 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1982),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2038),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_59), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19526 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1986),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2000),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_58), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19527 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1980),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2005),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_57), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19528 (
-	.A_N(n_17492),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_106),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_56), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19529 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1994),
-	.B(n_17493),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_55), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19530 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1993),
-	.B(n_17496),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_54), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19531 (
-	.A_N(n_17495),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_107),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_53), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19532 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1997),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1951),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_52), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19533 (
-	.A_N(n_17500),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_104),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_51), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19534 (
-	.A_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1820),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1886),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_50), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19535 (
-	.A_N(n_17506),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_99),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_49), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19536 (
-	.A_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1718),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1778),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_48), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19537 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1652),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1613),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_47), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19538 (
-	.A_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1653),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_96),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_46), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19539 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1511),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1585),
-	.B1_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1671),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_45), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19540 (
-	.A_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1566),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_93),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_44), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19541 (
-	.A_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1509),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_39),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_43), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19542 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1446),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1377),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_42), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19543 (
-	.A_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1453),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_89),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_41), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19544 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1370),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1306),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_40), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19546 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1304),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1238),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_38), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19547 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1280),
-	.B(n_18475),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19549 (
-	.A_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1246),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1110),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_35), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19550 (
-	.A(n_18474),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1114),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_34), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19552 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1147),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1051),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_32), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19553 (
-	.A(n_16501),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1132),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19554 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1080),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1131),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19556 (
-	.A_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1115),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_147),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19557 (
-	.A(n_16500),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1071),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19558 (
-	.A(n_16499),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1001),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19559 (
-	.A(n_16498),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_916),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19560 (
-	.A(n_16497),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_877),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19561 (
-	.A(n_16496),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_843),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19563 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_6),
-	.B_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_4),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19564 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_354),
-	.B_N(n_16573),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19565 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_311),
-	.B_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_8),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19566 (
-	.A_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_353),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_317),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19567 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_5),
-	.B_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_312),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19568 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_316),
-	.B_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_314),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19569 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_11),
-	.B_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_313),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19570 (
-	.A_N(n_16573),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19572 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_231),
-	.B_N(n_16493),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19573 (
-	.A(n_16580),
-	.B(n_16581),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2i_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19574 (
-	.A0(n_16531),
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_141),
-	.S(n_16530),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19575 (
-	.A_N(n_16566),
-	.B(n_16565),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2i_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19576 (
-	.A0(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_81),
-	.A1(n_16581),
-	.S(n_16582),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19577 (
-	.A_N(n_16581),
-	.B(n_16578),
-	.C(n_16580),
-	.D(n_16579),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2i_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19578 (
-	.A0(n_16589),
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_177),
-	.S(n_16590),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2i_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19579 (
-	.A0(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_172),
-	.A1(n_16595),
-	.S(n_16596),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2i_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19580 (
-	.A0(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_70),
-	.A1(n_16587),
-	.S(n_16588),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19581 (
-	.A(n_16543),
-	.B_N(n_16542),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19582 (
-	.A_N(n_16545),
-	.B(n_16546),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19583 (
-	.A(n_16574),
-	.B_N(n_16575),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19584 (
-	.A_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_68),
-	.B(n_16576),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19586 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1965),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1843),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1821),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19588 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1969),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1845),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1850),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19590 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1899),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1716),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1792),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19592 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1573),
-	.B(n_18461),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1447),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_93), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19594 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1786),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1626),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1650),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_99), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19596 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1515),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_86),
-	.CIN(n_18460),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_39), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19598 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1467),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1312),
-	.CIN(n_17536),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_89), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_g19600 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1661),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1510),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1584),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_96), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g491 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_1),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_45),
-	.Y(n_15661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g492 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_2),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_7),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_43),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_45),
-	.SUM(n_15660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g493 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_3),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_8),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_41),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_43),
-	.SUM(n_15659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g494 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_9),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_4),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_39),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_41),
-	.SUM(n_15658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g495 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_10),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_19),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_37),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_39),
-	.SUM(n_15657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g496 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_5),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_20),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_35),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_37),
-	.SUM(n_15656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g497 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_6),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_21),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_33),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_35),
-	.SUM(n_15655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g498 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_23),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_22),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_31),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_33),
-	.SUM(n_15654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g499 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_15),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_24),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_29),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_31),
-	.SUM(n_15653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g500 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_17),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_16),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_27),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_29),
-	.SUM(n_15652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g501 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_11),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_18),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_25),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_27),
-	.SUM(n_15651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g502 (
-	.A(n_15675),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_12),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_13),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_25),
-	.SUM(n_15650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g503 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_0),
-	.B(n_15678),
-	.CIN(n_15666),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_23),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g504 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_0),
-	.B(n_15679),
-	.CIN(n_15667),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_21),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g505 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Div_start_dly_S_8434 ),
-	.B(n_15681),
-	.CIN(n_15669),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_19),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g506 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_0),
-	.B(n_15676),
-	.CIN(n_15664),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_17),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g507 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_0),
-	.B(n_15677),
-	.CIN(n_15665),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_15),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g508 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_0),
-	.B(n_15674),
-	.CIN(n_15662),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_13),
-	.SUM(n_15649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g509 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_0),
-	.B(n_15663),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_11),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g510 (
-	.A(n_15670),
-	.B(n_15682),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_9),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g511 (
-	.A(n_15672),
-	.B(n_15684),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_7),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g512 (
-	.A(n_15668),
-	.B(n_15680),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_5),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g513 (
-	.A(n_15671),
-	.B(n_15683),
-	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_3),
-	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g514 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [11]),
-	.B(n_15673),
-	.X(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g515 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [11]),
-	.B(n_15673),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g516 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Div_start_dly_S_8434 ),
-	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g505  (
-	.A1_N(n_16721),
-	.A2_N(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_60 ),
-	.B1(n_16721),
-	.B2(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_60 ),
-	.Y(n_16688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g506  (
-	.A1(n_16720),
-	.A2(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_59 ),
-	.B1(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_60 ),
-	.X(n_16687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g507  (
-	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_59 ),
-	.B(n_16720),
-	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_60 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g508  (
-	.A(n_16719),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_56 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_59 ),
-	.SUM(n_16686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g509  (
-	.A(n_16718),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_54 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_56 ),
-	.SUM(n_16685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g510  (
-	.A(n_16717),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_52 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_54 ),
-	.SUM(n_16684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g511  (
-	.A(n_16716),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_50 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_52 ),
-	.SUM(n_16683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g512  (
-	.A(n_16715),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_48 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_50 ),
-	.SUM(n_16682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g513  (
-	.A(n_16714),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_46 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_48 ),
-	.SUM(n_16681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g514  (
-	.A(n_16713),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_44 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_46 ),
-	.SUM(n_16680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g515  (
-	.A(n_16712),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_42 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_44 ),
-	.SUM(n_16679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g516  (
-	.A(n_16711),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_40 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_42 ),
-	.SUM(n_16678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g517  (
-	.A(n_16710),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_38 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_40 ),
-	.SUM(n_16677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g518  (
-	.A(n_16709),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_36 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_38 ),
-	.SUM(n_16676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g519  (
-	.A(n_16708),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_34 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_36 ),
-	.SUM(n_16675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g520  (
-	.A(n_16707),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_32 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_34 ),
-	.SUM(n_16674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g521  (
-	.A(n_16706),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_30 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_32 ),
-	.SUM(n_16673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g522  (
-	.A(n_16705),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_28 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_30 ),
-	.SUM(n_16672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g523  (
-	.A(n_16704),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_26 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_28 ),
-	.SUM(n_16671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g524  (
-	.A(n_16703),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_24 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_26 ),
-	.SUM(n_16670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g525  (
-	.A(n_16702),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_22 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_24 ),
-	.SUM(n_16669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g526  (
-	.A(n_16701),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_20 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_22 ),
-	.SUM(n_16668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g527  (
-	.A(n_16700),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_18 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_20 ),
-	.SUM(n_16667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g528  (
-	.A(n_16699),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_16 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_18 ),
-	.SUM(n_16666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g529  (
-	.A(n_16698),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_14 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_16 ),
-	.SUM(n_16665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g530  (
-	.A(n_16697),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_12 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_14 ),
-	.SUM(n_16664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g531  (
-	.A(n_16696),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_10 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_12 ),
-	.SUM(n_16663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g532  (
-	.A(n_16695),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_8 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_10 ),
-	.SUM(n_16662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g533  (
-	.A(n_16694),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_6 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_8 ),
-	.SUM(n_16661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g534  (
-	.A(n_16693),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_4 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_6 ),
-	.SUM(n_16660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g535  (
-	.A(n_16692),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_2 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_4 ),
-	.SUM(n_16659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g536  (
-	.A(n_16691),
-	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_0 ),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_2 ),
-	.SUM(n_16658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_g537  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_input_sign ),
-	.B(n_16690),
-	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_581_33_n_0 ),
-	.SUM(n_16657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 inc_ADD_UNS_OP_g850 (
-	.A1_N(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]),
-	.A2_N(inc_ADD_UNS_OP_n_124),
-	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]),
-	.B2(inc_ADD_UNS_OP_n_124),
-	.Y(n_17125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 inc_ADD_UNS_OP_g851 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]),
-	.A2(inc_ADD_UNS_OP_n_123),
-	.B1(inc_ADD_UNS_OP_n_124),
-	.X(n_17124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 inc_ADD_UNS_OP_g852 (
-	.A(inc_ADD_UNS_OP_n_123),
-	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]),
-	.Y(inc_ADD_UNS_OP_n_124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g853 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]),
-	.B(inc_ADD_UNS_OP_n_120),
-	.COUT(inc_ADD_UNS_OP_n_123),
-	.SUM(n_17123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g854 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [60]),
-	.B(inc_ADD_UNS_OP_n_118),
-	.COUT(inc_ADD_UNS_OP_n_120),
-	.SUM(n_17122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g855 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]),
-	.B(inc_ADD_UNS_OP_n_116),
-	.COUT(inc_ADD_UNS_OP_n_118),
-	.SUM(n_17121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g856 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]),
-	.B(inc_ADD_UNS_OP_n_114),
-	.COUT(inc_ADD_UNS_OP_n_116),
-	.SUM(n_17120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g857 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [57]),
-	.B(inc_ADD_UNS_OP_n_112),
-	.COUT(inc_ADD_UNS_OP_n_114),
-	.SUM(n_17119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g858 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [56]),
-	.B(inc_ADD_UNS_OP_n_110),
-	.COUT(inc_ADD_UNS_OP_n_112),
-	.SUM(n_17118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g859 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]),
-	.B(inc_ADD_UNS_OP_n_108),
-	.COUT(inc_ADD_UNS_OP_n_110),
-	.SUM(n_17117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g860 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]),
-	.B(inc_ADD_UNS_OP_n_106),
-	.COUT(inc_ADD_UNS_OP_n_108),
-	.SUM(n_17116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g861 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]),
-	.B(inc_ADD_UNS_OP_n_104),
-	.COUT(inc_ADD_UNS_OP_n_106),
-	.SUM(n_17115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g862 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]),
-	.B(inc_ADD_UNS_OP_n_102),
-	.COUT(inc_ADD_UNS_OP_n_104),
-	.SUM(n_17114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g863 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]),
-	.B(inc_ADD_UNS_OP_n_100),
-	.COUT(inc_ADD_UNS_OP_n_102),
-	.SUM(n_17113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g864 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]),
-	.B(inc_ADD_UNS_OP_n_98),
-	.COUT(inc_ADD_UNS_OP_n_100),
-	.SUM(n_17112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g865 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]),
-	.B(inc_ADD_UNS_OP_n_96),
-	.COUT(inc_ADD_UNS_OP_n_98),
-	.SUM(n_17111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g866 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]),
-	.B(inc_ADD_UNS_OP_n_94),
-	.COUT(inc_ADD_UNS_OP_n_96),
-	.SUM(n_17110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g867 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]),
-	.B(inc_ADD_UNS_OP_n_92),
-	.COUT(inc_ADD_UNS_OP_n_94),
-	.SUM(n_17109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g868 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]),
-	.B(inc_ADD_UNS_OP_n_90),
-	.COUT(inc_ADD_UNS_OP_n_92),
-	.SUM(n_17108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g869 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]),
-	.B(inc_ADD_UNS_OP_n_88),
-	.COUT(inc_ADD_UNS_OP_n_90),
-	.SUM(n_17107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g870 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]),
-	.B(inc_ADD_UNS_OP_n_86),
-	.COUT(inc_ADD_UNS_OP_n_88),
-	.SUM(n_17106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g871 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]),
-	.B(inc_ADD_UNS_OP_n_84),
-	.COUT(inc_ADD_UNS_OP_n_86),
-	.SUM(n_17105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g872 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]),
-	.B(inc_ADD_UNS_OP_n_82),
-	.COUT(inc_ADD_UNS_OP_n_84),
-	.SUM(n_17104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g873 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]),
-	.B(inc_ADD_UNS_OP_n_80),
-	.COUT(inc_ADD_UNS_OP_n_82),
-	.SUM(n_17103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g874 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]),
-	.B(inc_ADD_UNS_OP_n_78),
-	.COUT(inc_ADD_UNS_OP_n_80),
-	.SUM(n_17102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g875 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]),
-	.B(inc_ADD_UNS_OP_n_76),
-	.COUT(inc_ADD_UNS_OP_n_78),
-	.SUM(n_17101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g876 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]),
-	.B(inc_ADD_UNS_OP_n_74),
-	.COUT(inc_ADD_UNS_OP_n_76),
-	.SUM(n_17100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g877 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]),
-	.B(inc_ADD_UNS_OP_n_72),
-	.COUT(inc_ADD_UNS_OP_n_74),
-	.SUM(n_17099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g878 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]),
-	.B(inc_ADD_UNS_OP_n_70),
-	.COUT(inc_ADD_UNS_OP_n_72),
-	.SUM(n_17098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g879 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]),
-	.B(inc_ADD_UNS_OP_n_68),
-	.COUT(inc_ADD_UNS_OP_n_70),
-	.SUM(n_17097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g880 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]),
-	.B(inc_ADD_UNS_OP_n_66),
-	.COUT(inc_ADD_UNS_OP_n_68),
-	.SUM(n_17096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g881 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]),
-	.B(inc_ADD_UNS_OP_n_64),
-	.COUT(inc_ADD_UNS_OP_n_66),
-	.SUM(n_17095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g882 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]),
-	.B(inc_ADD_UNS_OP_n_62),
-	.COUT(inc_ADD_UNS_OP_n_64),
-	.SUM(n_17094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g883 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]),
-	.B(inc_ADD_UNS_OP_n_60),
-	.COUT(inc_ADD_UNS_OP_n_62),
-	.SUM(n_17093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g884 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]),
-	.B(inc_ADD_UNS_OP_n_58),
-	.COUT(inc_ADD_UNS_OP_n_60),
-	.SUM(n_17092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g885 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [29]),
-	.B(inc_ADD_UNS_OP_n_56),
-	.COUT(inc_ADD_UNS_OP_n_58),
-	.SUM(n_17091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g886 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [28]),
-	.B(inc_ADD_UNS_OP_n_54),
-	.COUT(inc_ADD_UNS_OP_n_56),
-	.SUM(n_17090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g887 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]),
-	.B(inc_ADD_UNS_OP_n_52),
-	.COUT(inc_ADD_UNS_OP_n_54),
-	.SUM(n_17089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g888 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]),
-	.B(inc_ADD_UNS_OP_n_50),
-	.COUT(inc_ADD_UNS_OP_n_52),
-	.SUM(n_17088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g889 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]),
-	.B(inc_ADD_UNS_OP_n_48),
-	.COUT(inc_ADD_UNS_OP_n_50),
-	.SUM(n_17087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g890 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [24]),
-	.B(inc_ADD_UNS_OP_n_46),
-	.COUT(inc_ADD_UNS_OP_n_48),
-	.SUM(n_17086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g891 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]),
-	.B(inc_ADD_UNS_OP_n_44),
-	.COUT(inc_ADD_UNS_OP_n_46),
-	.SUM(n_17085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g892 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]),
-	.B(inc_ADD_UNS_OP_n_42),
-	.COUT(inc_ADD_UNS_OP_n_44),
-	.SUM(n_17084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g893 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]),
-	.B(inc_ADD_UNS_OP_n_40),
-	.COUT(inc_ADD_UNS_OP_n_42),
-	.SUM(n_17083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g894 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]),
-	.B(inc_ADD_UNS_OP_n_38),
-	.COUT(inc_ADD_UNS_OP_n_40),
-	.SUM(n_17082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g895 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]),
-	.B(inc_ADD_UNS_OP_n_36),
-	.COUT(inc_ADD_UNS_OP_n_38),
-	.SUM(n_17081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g896 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]),
-	.B(inc_ADD_UNS_OP_n_34),
-	.COUT(inc_ADD_UNS_OP_n_36),
-	.SUM(n_17080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g897 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]),
-	.B(inc_ADD_UNS_OP_n_32),
-	.COUT(inc_ADD_UNS_OP_n_34),
-	.SUM(n_17079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g898 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]),
-	.B(inc_ADD_UNS_OP_n_30),
-	.COUT(inc_ADD_UNS_OP_n_32),
-	.SUM(n_17078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g899 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]),
-	.B(inc_ADD_UNS_OP_n_28),
-	.COUT(inc_ADD_UNS_OP_n_30),
-	.SUM(n_17077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g900 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]),
-	.B(inc_ADD_UNS_OP_n_26),
-	.COUT(inc_ADD_UNS_OP_n_28),
-	.SUM(n_17076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g901 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [13]),
-	.B(inc_ADD_UNS_OP_n_24),
-	.COUT(inc_ADD_UNS_OP_n_26),
-	.SUM(n_17075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g902 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [12]),
-	.B(inc_ADD_UNS_OP_n_22),
-	.COUT(inc_ADD_UNS_OP_n_24),
-	.SUM(n_17074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g903 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]),
-	.B(inc_ADD_UNS_OP_n_20),
-	.COUT(inc_ADD_UNS_OP_n_22),
-	.SUM(n_17073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g904 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]),
-	.B(inc_ADD_UNS_OP_n_18),
-	.COUT(inc_ADD_UNS_OP_n_20),
-	.SUM(n_17072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g905 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]),
-	.B(inc_ADD_UNS_OP_n_16),
-	.COUT(inc_ADD_UNS_OP_n_18),
-	.SUM(n_17071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g906 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]),
-	.B(inc_ADD_UNS_OP_n_14),
-	.COUT(inc_ADD_UNS_OP_n_16),
-	.SUM(n_17070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g907 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]),
-	.B(inc_ADD_UNS_OP_n_12),
-	.COUT(inc_ADD_UNS_OP_n_14),
-	.SUM(n_17069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g908 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]),
-	.B(inc_ADD_UNS_OP_n_10),
-	.COUT(inc_ADD_UNS_OP_n_12),
-	.SUM(n_17068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g909 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [5]),
-	.B(inc_ADD_UNS_OP_n_8),
-	.COUT(inc_ADD_UNS_OP_n_10),
-	.SUM(n_17067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g910 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [4]),
-	.B(inc_ADD_UNS_OP_n_6),
-	.COUT(inc_ADD_UNS_OP_n_8),
-	.SUM(n_17066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g911 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]),
-	.B(inc_ADD_UNS_OP_n_4),
-	.COUT(inc_ADD_UNS_OP_n_6),
-	.SUM(n_17065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g912 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]),
-	.B(inc_ADD_UNS_OP_n_2),
-	.COUT(inc_ADD_UNS_OP_n_4),
-	.SUM(n_17064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g913 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [1]),
-	.B(inc_ADD_UNS_OP_n_0),
-	.COUT(inc_ADD_UNS_OP_n_2),
-	.SUM(n_17063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g914 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [0]),
-	.B(n_17126),
-	.COUT(inc_ADD_UNS_OP_n_0),
-	.SUM(n_17062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 inc_ADD_UNS_OP8_g850 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]),
-	.B(inc_ADD_UNS_OP8_n_124),
-	.Y(n_17033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 inc_ADD_UNS_OP8_g851 (
-	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]),
-	.A2(inc_ADD_UNS_OP8_n_123),
-	.B1(inc_ADD_UNS_OP8_n_124),
-	.X(n_17032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 inc_ADD_UNS_OP8_g852 (
-	.A(inc_ADD_UNS_OP8_n_123),
-	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]),
-	.Y(inc_ADD_UNS_OP8_n_124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g853 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]),
-	.B(inc_ADD_UNS_OP8_n_120),
-	.COUT(inc_ADD_UNS_OP8_n_123),
-	.SUM(n_17031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g854 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [60]),
-	.B(inc_ADD_UNS_OP8_n_118),
-	.COUT(inc_ADD_UNS_OP8_n_120),
-	.SUM(n_17030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g855 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]),
-	.B(inc_ADD_UNS_OP8_n_116),
-	.COUT(inc_ADD_UNS_OP8_n_118),
-	.SUM(n_17029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g856 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]),
-	.B(inc_ADD_UNS_OP8_n_114),
-	.COUT(inc_ADD_UNS_OP8_n_116),
-	.SUM(n_17028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g857 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [57]),
-	.B(inc_ADD_UNS_OP8_n_112),
-	.COUT(inc_ADD_UNS_OP8_n_114),
-	.SUM(n_17027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g858 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [56]),
-	.B(inc_ADD_UNS_OP8_n_110),
-	.COUT(inc_ADD_UNS_OP8_n_112),
-	.SUM(n_17026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g859 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]),
-	.B(inc_ADD_UNS_OP8_n_108),
-	.COUT(inc_ADD_UNS_OP8_n_110),
-	.SUM(n_17025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g860 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]),
-	.B(inc_ADD_UNS_OP8_n_106),
-	.COUT(inc_ADD_UNS_OP8_n_108),
-	.SUM(n_17024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g861 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]),
-	.B(inc_ADD_UNS_OP8_n_104),
-	.COUT(inc_ADD_UNS_OP8_n_106),
-	.SUM(n_17023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g862 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]),
-	.B(inc_ADD_UNS_OP8_n_102),
-	.COUT(inc_ADD_UNS_OP8_n_104),
-	.SUM(n_17022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g863 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]),
-	.B(inc_ADD_UNS_OP8_n_100),
-	.COUT(inc_ADD_UNS_OP8_n_102),
-	.SUM(n_17021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g864 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]),
-	.B(inc_ADD_UNS_OP8_n_98),
-	.COUT(inc_ADD_UNS_OP8_n_100),
-	.SUM(n_17020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g865 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]),
-	.B(inc_ADD_UNS_OP8_n_96),
-	.COUT(inc_ADD_UNS_OP8_n_98),
-	.SUM(n_17019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g866 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]),
-	.B(inc_ADD_UNS_OP8_n_94),
-	.COUT(inc_ADD_UNS_OP8_n_96),
-	.SUM(n_17018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g867 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]),
-	.B(inc_ADD_UNS_OP8_n_92),
-	.COUT(inc_ADD_UNS_OP8_n_94),
-	.SUM(n_17017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g868 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]),
-	.B(inc_ADD_UNS_OP8_n_90),
-	.COUT(inc_ADD_UNS_OP8_n_92),
-	.SUM(n_17016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g869 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]),
-	.B(inc_ADD_UNS_OP8_n_88),
-	.COUT(inc_ADD_UNS_OP8_n_90),
-	.SUM(n_17015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g870 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]),
-	.B(inc_ADD_UNS_OP8_n_86),
-	.COUT(inc_ADD_UNS_OP8_n_88),
-	.SUM(n_17014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g871 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]),
-	.B(inc_ADD_UNS_OP8_n_84),
-	.COUT(inc_ADD_UNS_OP8_n_86),
-	.SUM(n_17013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g872 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]),
-	.B(inc_ADD_UNS_OP8_n_82),
-	.COUT(inc_ADD_UNS_OP8_n_84),
-	.SUM(n_17012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g873 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]),
-	.B(inc_ADD_UNS_OP8_n_80),
-	.COUT(inc_ADD_UNS_OP8_n_82),
-	.SUM(n_17011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g874 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]),
-	.B(inc_ADD_UNS_OP8_n_78),
-	.COUT(inc_ADD_UNS_OP8_n_80),
-	.SUM(n_17010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g875 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]),
-	.B(inc_ADD_UNS_OP8_n_76),
-	.COUT(inc_ADD_UNS_OP8_n_78),
-	.SUM(n_17009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g876 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]),
-	.B(inc_ADD_UNS_OP8_n_74),
-	.COUT(inc_ADD_UNS_OP8_n_76),
-	.SUM(n_17008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g877 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]),
-	.B(inc_ADD_UNS_OP8_n_72),
-	.COUT(inc_ADD_UNS_OP8_n_74),
-	.SUM(n_17007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g878 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]),
-	.B(inc_ADD_UNS_OP8_n_70),
-	.COUT(inc_ADD_UNS_OP8_n_72),
-	.SUM(n_17006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g879 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]),
-	.B(inc_ADD_UNS_OP8_n_68),
-	.COUT(inc_ADD_UNS_OP8_n_70),
-	.SUM(n_17005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g880 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]),
-	.B(inc_ADD_UNS_OP8_n_66),
-	.COUT(inc_ADD_UNS_OP8_n_68),
-	.SUM(n_17004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g881 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]),
-	.B(inc_ADD_UNS_OP8_n_64),
-	.COUT(inc_ADD_UNS_OP8_n_66),
-	.SUM(n_17003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g882 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]),
-	.B(inc_ADD_UNS_OP8_n_62),
-	.COUT(inc_ADD_UNS_OP8_n_64),
-	.SUM(n_17002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g883 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]),
-	.B(inc_ADD_UNS_OP8_n_60),
-	.COUT(inc_ADD_UNS_OP8_n_62),
-	.SUM(n_17001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g884 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]),
-	.B(inc_ADD_UNS_OP8_n_58),
-	.COUT(inc_ADD_UNS_OP8_n_60),
-	.SUM(n_17000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g885 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [29]),
-	.B(inc_ADD_UNS_OP8_n_56),
-	.COUT(inc_ADD_UNS_OP8_n_58),
-	.SUM(n_16999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g886 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [28]),
-	.B(inc_ADD_UNS_OP8_n_54),
-	.COUT(inc_ADD_UNS_OP8_n_56),
-	.SUM(n_16998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g887 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]),
-	.B(inc_ADD_UNS_OP8_n_52),
-	.COUT(inc_ADD_UNS_OP8_n_54),
-	.SUM(n_16997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g888 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]),
-	.B(inc_ADD_UNS_OP8_n_50),
-	.COUT(inc_ADD_UNS_OP8_n_52),
-	.SUM(n_16996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g889 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]),
-	.B(inc_ADD_UNS_OP8_n_48),
-	.COUT(inc_ADD_UNS_OP8_n_50),
-	.SUM(n_16995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g890 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [24]),
-	.B(inc_ADD_UNS_OP8_n_46),
-	.COUT(inc_ADD_UNS_OP8_n_48),
-	.SUM(n_16994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g891 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]),
-	.B(inc_ADD_UNS_OP8_n_44),
-	.COUT(inc_ADD_UNS_OP8_n_46),
-	.SUM(n_16993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g892 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]),
-	.B(inc_ADD_UNS_OP8_n_42),
-	.COUT(inc_ADD_UNS_OP8_n_44),
-	.SUM(n_16992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g893 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]),
-	.B(inc_ADD_UNS_OP8_n_40),
-	.COUT(inc_ADD_UNS_OP8_n_42),
-	.SUM(n_16991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g894 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]),
-	.B(inc_ADD_UNS_OP8_n_38),
-	.COUT(inc_ADD_UNS_OP8_n_40),
-	.SUM(n_16990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g895 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]),
-	.B(inc_ADD_UNS_OP8_n_36),
-	.COUT(inc_ADD_UNS_OP8_n_38),
-	.SUM(n_16989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g896 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]),
-	.B(inc_ADD_UNS_OP8_n_34),
-	.COUT(inc_ADD_UNS_OP8_n_36),
-	.SUM(n_16988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g897 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]),
-	.B(inc_ADD_UNS_OP8_n_32),
-	.COUT(inc_ADD_UNS_OP8_n_34),
-	.SUM(n_16987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g898 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]),
-	.B(inc_ADD_UNS_OP8_n_30),
-	.COUT(inc_ADD_UNS_OP8_n_32),
-	.SUM(n_16986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g899 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]),
-	.B(inc_ADD_UNS_OP8_n_28),
-	.COUT(inc_ADD_UNS_OP8_n_30),
-	.SUM(n_16985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g900 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]),
-	.B(inc_ADD_UNS_OP8_n_26),
-	.COUT(inc_ADD_UNS_OP8_n_28),
-	.SUM(n_16984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g901 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [13]),
-	.B(inc_ADD_UNS_OP8_n_24),
-	.COUT(inc_ADD_UNS_OP8_n_26),
-	.SUM(n_16983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g902 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [12]),
-	.B(inc_ADD_UNS_OP8_n_22),
-	.COUT(inc_ADD_UNS_OP8_n_24),
-	.SUM(n_16982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g903 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]),
-	.B(inc_ADD_UNS_OP8_n_20),
-	.COUT(inc_ADD_UNS_OP8_n_22),
-	.SUM(n_16981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g904 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]),
-	.B(inc_ADD_UNS_OP8_n_18),
-	.COUT(inc_ADD_UNS_OP8_n_20),
-	.SUM(n_16980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g905 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]),
-	.B(inc_ADD_UNS_OP8_n_16),
-	.COUT(inc_ADD_UNS_OP8_n_18),
-	.SUM(n_16979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g906 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]),
-	.B(inc_ADD_UNS_OP8_n_14),
-	.COUT(inc_ADD_UNS_OP8_n_16),
-	.SUM(n_16978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g907 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]),
-	.B(inc_ADD_UNS_OP8_n_12),
-	.COUT(inc_ADD_UNS_OP8_n_14),
-	.SUM(n_16977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g908 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]),
-	.B(inc_ADD_UNS_OP8_n_10),
-	.COUT(inc_ADD_UNS_OP8_n_12),
-	.SUM(n_16976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g909 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [5]),
-	.B(inc_ADD_UNS_OP8_n_8),
-	.COUT(inc_ADD_UNS_OP8_n_10),
-	.SUM(n_16975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g910 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [4]),
-	.B(inc_ADD_UNS_OP8_n_6),
-	.COUT(inc_ADD_UNS_OP8_n_8),
-	.SUM(n_16974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g911 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]),
-	.B(inc_ADD_UNS_OP8_n_4),
-	.COUT(inc_ADD_UNS_OP8_n_6),
-	.SUM(n_16973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g912 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]),
-	.B(inc_ADD_UNS_OP8_n_2),
-	.COUT(inc_ADD_UNS_OP8_n_4),
-	.SUM(n_16972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g913 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [1]),
-	.B(inc_ADD_UNS_OP8_n_0),
-	.COUT(inc_ADD_UNS_OP8_n_2),
-	.SUM(n_16971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP8_g914 (
-	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [0]),
-	.B(n_17034),
-	.COUT(inc_ADD_UNS_OP8_n_0),
-	.SUM(n_16970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 inc_soc_top_main_swith_host_lsu_add_105_52_g212 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[16]),
-	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_28),
-	.X(n_15441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g213 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[15]),
-	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_26),
-	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_28),
-	.SUM(n_15440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g214 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[14]),
-	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_24),
-	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_26),
-	.SUM(n_15439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g215 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[13]),
-	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_22),
-	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_24),
-	.SUM(n_15438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g216 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[12]),
-	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_20),
-	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_22),
-	.SUM(n_15437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g217 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[11]),
-	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_18),
-	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_20),
-	.SUM(n_15436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g218 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[10]),
-	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_16),
-	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_18),
-	.SUM(n_15435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g219 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[9]),
-	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_14),
-	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_16),
-	.SUM(n_15434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g220 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[8]),
-	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_12),
-	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_14),
-	.SUM(n_15433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g221 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[7]),
-	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_10),
-	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_12),
-	.SUM(n_15432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g222 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[6]),
-	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_8),
-	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_10),
-	.SUM(n_15431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g223 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[5]),
-	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_6),
-	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_8),
-	.SUM(n_15430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g224 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[4]),
-	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_4),
-	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_6),
-	.SUM(n_15429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g225 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[3]),
-	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_2),
-	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_4),
-	.SUM(n_15428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g226 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[2]),
-	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_0),
-	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_2),
-	.SUM(n_15427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g227 (
-	.A(soc_top_main_swith_host_lsu_num_req_outstanding[0]),
-	.B(soc_top_main_swith_host_lsu_num_req_outstanding[1]),
-	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_0),
-	.SUM(n_15426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g392  (
-	.A(n_16265),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_58 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g393  (
-	.A(n_16264),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_56 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_58 ),
-	.SUM(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g394  (
-	.A(n_16263),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_54 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_56 ),
-	.SUM(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g395  (
-	.A(n_16262),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_52 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_54 ),
-	.SUM(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g396  (
-	.A(n_16261),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_50 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_52 ),
-	.SUM(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g397  (
-	.A(n_16260),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_48 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_50 ),
-	.SUM(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g398  (
-	.A(n_16259),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_46 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_48 ),
-	.SUM(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g399  (
-	.A(n_14853),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_44 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_46 ),
-	.SUM(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g400  (
-	.A(n_16258),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_42 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_44 ),
-	.SUM(n_16235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g401  (
-	.A(n_16257),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_40 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_42 ),
-	.SUM(n_16234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g402  (
-	.A(n_16256),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_38 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_40 ),
-	.SUM(n_16233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g403  (
-	.A(n_16255),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_36 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_38 ),
-	.SUM(n_16232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g404  (
-	.A(n_16254),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_34 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_36 ),
-	.SUM(n_16231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g405  (
-	.A(n_16253),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_32 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_34 ),
-	.SUM(n_16230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g406  (
-	.A(n_16252),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_30 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_32 ),
-	.SUM(n_16229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g407  (
-	.A(n_16251),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_28 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_30 ),
-	.SUM(n_16228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g408  (
-	.A(n_16250),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_26 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_28 ),
-	.SUM(n_16227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g409  (
-	.A(n_16249),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_24 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_26 ),
-	.SUM(n_16226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g410  (
-	.A(n_16248),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_22 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_24 ),
-	.SUM(n_16225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g411  (
-	.A(n_16247),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_20 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_22 ),
-	.SUM(n_16224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g412  (
-	.A(n_16246),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_18 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_20 ),
-	.SUM(n_16223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g413  (
-	.A(n_16245),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_16 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_18 ),
-	.SUM(n_16222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g414  (
-	.A(n_16244),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_14 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_16 ),
-	.SUM(n_16221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g415  (
-	.A(n_16243),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_12 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_14 ),
-	.SUM(n_16220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g416  (
-	.A(n_16242),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_10 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_12 ),
-	.SUM(n_16219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g417  (
-	.A(n_16241),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_8 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_10 ),
-	.SUM(n_16218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g418  (
-	.A(n_16240),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_6 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_8 ),
-	.SUM(n_16217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g419  (
-	.A(n_16239),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_4 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_6 ),
-	.SUM(n_16216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g420  (
-	.A(n_16268),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_2 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_4 ),
-	.SUM(n_16215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g421  (
-	.A(n_16267),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_0 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_2 ),
-	.SUM(n_16214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_g422  (
-	.A(n_16266),
-	.B(n_16236),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_61_38_n_0 ),
-	.SUM(n_16213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g409  (
-	.A1_N(n_18237),
-	.A2_N(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_60 ),
-	.B1(n_18237),
-	.B2(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_60 ),
-	.Y(n_16745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g410  (
-	.A1(n_16811),
-	.A2(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_59 ),
-	.B1(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_60 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g411  (
-	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_59 ),
-	.B(n_16811),
-	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_60 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g412  (
-	.A(n_16810),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_56 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_59 ),
-	.SUM(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g413  (
-	.A(n_16809),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_54 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_56 ),
-	.SUM(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g414  (
-	.A(n_16808),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_52 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_54 ),
-	.SUM(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g415  (
-	.A(n_16807),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_50 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_52 ),
-	.SUM(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g416  (
-	.A(n_16806),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_48 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_50 ),
-	.SUM(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g417  (
-	.A(n_16805),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_46 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_48 ),
-	.SUM(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g418  (
-	.A(n_16804),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_44 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_46 ),
-	.SUM(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g419  (
-	.A(n_16803),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_42 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_44 ),
-	.SUM(n_16744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g420  (
-	.A(n_16802),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_40 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_42 ),
-	.SUM(n_16743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g421  (
-	.A(n_16801),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_38 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_40 ),
-	.SUM(n_16742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g422  (
-	.A(n_16800),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_36 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_38 ),
-	.SUM(n_16741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g423  (
-	.A(n_16799),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_34 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_36 ),
-	.SUM(n_16740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g424  (
-	.A(n_16798),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_32 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_34 ),
-	.SUM(n_16739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g425  (
-	.A(n_16797),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_30 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_32 ),
-	.SUM(n_16738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g426  (
-	.A(n_16796),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_28 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_30 ),
-	.SUM(n_16737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g427  (
-	.A(n_16795),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_26 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_28 ),
-	.SUM(n_16736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g428  (
-	.A(n_16794),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_24 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_26 ),
-	.SUM(n_16735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g429  (
-	.A(n_16793),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_22 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_24 ),
-	.SUM(n_16734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g430  (
-	.A(n_16792),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_20 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_22 ),
-	.SUM(n_16733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g431  (
-	.A(n_16791),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_18 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_20 ),
-	.SUM(n_16732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g432  (
-	.A(n_16790),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_16 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_18 ),
-	.SUM(n_16731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g433  (
-	.A(n_16789),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_14 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_16 ),
-	.SUM(n_16730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g434  (
-	.A(n_16788),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_12 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_14 ),
-	.SUM(n_16729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g435  (
-	.A(n_16787),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_10 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_12 ),
-	.SUM(n_16728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g436  (
-	.A(n_16786),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_8 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_10 ),
-	.SUM(n_16727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g437  (
-	.A(n_16785),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_6 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_8 ),
-	.SUM(n_16726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g438  (
-	.A(n_16784),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_4 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_6 ),
-	.SUM(n_16725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g439  (
-	.A(n_16783),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_2 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_4 ),
-	.SUM(n_16724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g440  (
-	.A(n_16782),
-	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_0 ),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_2 ),
-	.SUM(n_16723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_g441  (
-	.A(n_16781),
-	.B(n_16746),
-	.COUT(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_61_38_n_0 ),
-	.SUM(n_16722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g802  (
-	.A1_N(\soc_top_timer0_reg2hw[timer_v_upper0][q] [31]),
-	.A2_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_124 ),
-	.B1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [31]),
-	.B2(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_124 ),
-	.Y(n_17165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g803  (
-	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [30]),
-	.A2(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_123 ),
-	.B1(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_124 ),
-	.X(n_17164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g804  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_123 ),
-	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [30]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_124 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g805  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [29]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_120 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_123 ),
-	.SUM(n_17163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g806  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [28]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_118 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_120 ),
-	.SUM(n_17162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g807  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [27]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_116 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_118 ),
-	.SUM(n_17161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g808  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [26]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_114 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_116 ),
-	.SUM(n_17160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g809  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [25]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_112 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_114 ),
-	.SUM(n_17159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g810  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [24]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_110 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_112 ),
-	.SUM(n_17158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g811  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [23]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_108 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_110 ),
-	.SUM(n_17157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g812  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [22]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_106 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_108 ),
-	.SUM(n_17156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g813  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [21]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_104 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_106 ),
-	.SUM(n_17155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g814  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [20]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_102 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_104 ),
-	.SUM(n_17154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g815  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [19]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_100 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_102 ),
-	.SUM(n_17153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g816  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [18]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_98 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_100 ),
-	.SUM(n_17152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g817  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [17]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_96 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_98 ),
-	.SUM(n_17151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g818  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [16]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_94 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_96 ),
-	.SUM(n_17150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g819  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [15]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_92 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_94 ),
-	.SUM(n_17149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g820  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [14]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_90 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_92 ),
-	.SUM(n_17148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g821  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [13]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_88 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_90 ),
-	.SUM(n_17147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g822  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [12]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_86 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_88 ),
-	.SUM(n_17146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g823  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [11]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_84 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_86 ),
-	.SUM(n_17145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g824  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [10]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_82 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_84 ),
-	.SUM(n_17144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g825  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [9]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_80 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_82 ),
-	.SUM(n_17143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g826  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [8]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_78 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_80 ),
-	.SUM(n_17142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g827  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [7]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_76 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_78 ),
-	.SUM(n_17141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g828  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [6]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_74 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_76 ),
-	.SUM(n_17140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g829  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [5]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_72 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_74 ),
-	.SUM(n_17139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g830  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [4]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_70 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_72 ),
-	.SUM(n_17138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g831  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [3]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_68 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_70 ),
-	.SUM(n_17137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g832  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [2]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_66 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_68 ),
-	.SUM(n_17136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g833  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [1]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_64 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_66 ),
-	.SUM(n_17135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g834  (
-	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [0]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_62 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_64 ),
-	.SUM(n_17134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g835  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [31]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_60 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_62 ),
-	.SUM(n_17197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g836  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [30]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_58 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_60 ),
-	.SUM(n_17196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g837  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [29]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_56 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_58 ),
-	.SUM(n_17195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g838  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [28]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_54 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_56 ),
-	.SUM(n_17194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g839  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [27]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_52 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_54 ),
-	.SUM(n_17193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g840  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [26]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_50 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_52 ),
-	.SUM(n_17192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g841  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [25]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_48 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_50 ),
-	.SUM(n_17191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g842  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [24]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_46 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_48 ),
-	.SUM(n_17190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g843  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [23]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_44 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_46 ),
-	.SUM(n_17189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g844  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [22]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_42 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_44 ),
-	.SUM(n_17188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g845  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [21]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_40 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_42 ),
-	.SUM(n_17187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g846  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [20]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_38 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_40 ),
-	.SUM(n_17186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g847  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [19]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_36 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_38 ),
-	.SUM(n_17185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g848  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [18]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_34 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_36 ),
-	.SUM(n_17184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g849  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [17]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_32 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_34 ),
-	.SUM(n_17183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g850  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [16]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_30 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_32 ),
-	.SUM(n_17182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g851  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [15]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_28 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_30 ),
-	.SUM(n_17181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g852  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [14]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_26 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_28 ),
-	.SUM(n_17180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g853  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [13]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_24 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_26 ),
-	.SUM(n_17179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g854  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [12]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_22 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_24 ),
-	.SUM(n_17178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g855  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [11]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_20 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_22 ),
-	.SUM(n_17177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g856  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [10]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_18 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_20 ),
-	.SUM(n_17176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g857  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [9]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_16 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_18 ),
-	.SUM(n_17175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g858  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [8]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_14 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_16 ),
-	.SUM(n_17174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g859  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [7]),
-	.B(\soc_top_timer0_reg2hw[cfg0][step][q] [7]),
-	.CIN(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_12 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_14 ),
-	.SUM(n_17173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g860  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [6]),
-	.B(\soc_top_timer0_reg2hw[cfg0][step][q] [6]),
-	.CIN(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_10 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_12 ),
-	.SUM(n_17172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g861  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [5]),
-	.B(\soc_top_timer0_reg2hw[cfg0][step][q] [5]),
-	.CIN(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_8 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_10 ),
-	.SUM(n_17171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g862  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [4]),
-	.B(\soc_top_timer0_reg2hw[cfg0][step][q] [4]),
-	.CIN(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_6 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_8 ),
-	.SUM(n_17170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g863  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [3]),
-	.B(\soc_top_timer0_reg2hw[cfg0][step][q] [3]),
-	.CIN(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_4 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_6 ),
-	.SUM(n_17169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g864  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [2]),
-	.B(\soc_top_timer0_reg2hw[cfg0][step][q] [2]),
-	.CIN(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_2 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_4 ),
-	.SUM(n_17168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g865  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [1]),
-	.B(\soc_top_timer0_reg2hw[cfg0][step][q] [1]),
-	.CIN(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_0 ),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_2 ),
-	.SUM(n_17167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g866  (
-	.A(\soc_top_timer0_reg2hw[cfg0][step][q] [0]),
-	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [0]),
-	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_0 ),
-	.SUM(n_17166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1410  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_25 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_125 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [31]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_n_81 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1411  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_10 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_124 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [30]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_125 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1412  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_41 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_123 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [29]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_124 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1413  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_12 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_122 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [28]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_123 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1414  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_11 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_121 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [27]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_122 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1415  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_35 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_120 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [26]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_121 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1416  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_42 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_119 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [25]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_120 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1417  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_7 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_118 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [24]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_119 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1418  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_37 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_117 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [23]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_118 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1419  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_1 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_116 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [22]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_117 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1420  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_36 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_115 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [21]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_116 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1421  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_23 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_114 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [20]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_115 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1422  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_28 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_113 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [19]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_114 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1423  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_45 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_112 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [18]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_113 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1424  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_49 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_111 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [17]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_112 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1425  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_56 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_110 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [16]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_111 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1426  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_38 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_109 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [15]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_110 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1427  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_0 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_108 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [14]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_109 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1428  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_4 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_107 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [13]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_108 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1429  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_30 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_106 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [12]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_107 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1430  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_13 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_105 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [11]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_106 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1431  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_6 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_104 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [10]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_105 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1432  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_8 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_103 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [9]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_104 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1433  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_52 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_102 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [8]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_103 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1434  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_27 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_101 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [7]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_102 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1435  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_53 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_100 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [6]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_101 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1436  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_20 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_99 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [5]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_100 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1437  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_61 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_98 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [4]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_99 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1438  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_58 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_97 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [3]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_98 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1439  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_43 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_96 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [2]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_97 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1440  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_22 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_95 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [1]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_96 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1441  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_39 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_94 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [0]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_95 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1442  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_18 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_93 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [31]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_94 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1443  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_16 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_92 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [30]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_93 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1444  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_47 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_91 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [29]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_92 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1445  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_5 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_90 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [28]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_91 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1446  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_15 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_89 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [27]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_90 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1447  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_32 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_88 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [26]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_89 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1448  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_26 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_87 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [25]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_88 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1449  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_29 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_86 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [24]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_87 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1450  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_2 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_85 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [23]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_86 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1451  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_3 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_84 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [22]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_85 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1452  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_44 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_83 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [21]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_84 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1453  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_40 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_82 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [20]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_83 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1454  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_19 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_81 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [19]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_82 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1455  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_21 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_80 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [18]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_81 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1456  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_33 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_79 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [17]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_80 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1457  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_31 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_78 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [16]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_79 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1458  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_57 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_77 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [15]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_78 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1459  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_9 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_76 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [14]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_77 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1460  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_55 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_75 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [13]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_76 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1461  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_17 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_74 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [12]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_75 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1462  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_48 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_73 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [11]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_74 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1463  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_54 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_72 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [10]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_73 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1464  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_46 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_71 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [9]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_72 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1465  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_24 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_70 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [8]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_71 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1466  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_50 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_69 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [7]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_70 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1467  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_14 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_68 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [6]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_69 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1468  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_59 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_67 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [5]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_68 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1469  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_60 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_66 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [4]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_67 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1470  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_51 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_65 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [3]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_66 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1471  (
-	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_34 ),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_64 ),
-	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [2]),
-	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_65 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1472  (
-	.A1_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [1]),
-	.A2_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_62 ),
-	.B1(\soc_top_timer0_reg2hw[compare_lower0_0][q] [1]),
-	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_63 ),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_64 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1473  (
-	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [1]),
-	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_62 ),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_63 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1474  (
-	.A_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [0]),
-	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [0]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_62 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1475  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [4]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_61 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1476  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [4]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_60 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1477  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [5]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_59 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1478  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [3]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_58 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1479  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [15]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_57 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1480  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [16]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_56 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1481  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [13]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_55 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1482  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [10]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_54 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1483  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [6]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_53 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1484  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [8]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_52 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1485  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [3]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_51 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1486  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [7]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_50 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1487  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [17]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_49 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1488  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [11]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_48 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1489  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [29]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_47 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1490  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [9]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_46 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1491  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [18]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_45 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1492  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [21]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_44 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1493  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [2]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_43 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1494  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [25]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_42 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1495  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [29]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_41 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1496  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [20]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_40 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1497  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [0]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_39 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1498  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [15]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_38 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1499  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [23]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_37 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1500  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [21]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_36 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1501  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [26]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_35 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1502  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [2]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_34 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1503  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [17]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_33 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1504  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [26]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_32 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1505  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [16]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_31 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1506  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [12]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_30 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1507  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [24]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_29 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1508  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [19]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_28 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1509  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [7]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_27 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1510  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [25]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_26 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1511  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [31]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_25 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1512  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [8]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_24 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1513  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [20]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_23 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1514  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [1]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_22 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1515  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [18]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_21 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1516  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [5]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_20 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1517  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [19]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_19 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1518  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [31]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_18 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1519  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [12]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_17 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1520  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [30]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_16 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1521  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [27]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_15 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1522  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [6]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_14 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1523  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [11]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_13 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1524  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [28]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_12 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1525  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [27]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_11 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1526  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [30]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_10 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1527  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [14]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_9 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1528  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [9]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_8 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1529  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [24]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_7 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1530  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [10]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_6 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1531  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [28]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_5 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1532  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [13]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_4 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1533  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [22]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_3 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1534  (
-	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [23]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_2 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1535  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [22]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_1 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g1536  (
-	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [14]),
-	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_0 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g852 (
-	.A(n_17262),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_196),
-	.C(n_17327),
-	.X(n_15442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g853 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_198),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_199),
-	.Y(\soc_top_lsu_to_xbar[a_address] [31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g854 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_196),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_97),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g855 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_197),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_79),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g856 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_196),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g857 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_194),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_27),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g858 (
-	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_78),
-	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_193),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_78),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_193),
-	.Y(\soc_top_lsu_to_xbar[a_address] [30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g859 (
-	.A1(n_17326),
-	.A2(n_17261),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_193),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g860 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_191),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_14),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g861 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_5),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_2),
-	.Y(\soc_top_lsu_to_xbar[a_address] [29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g864 (
-	.A1(n_17325),
-	.A2(n_17260),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_189),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g867 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_187),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_22),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g871 (
-	.A1(n_17324),
-	.A2(n_17259),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_185),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g874 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_182),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_13),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g875 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_75),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_180),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_183),
-	.Y(\soc_top_lsu_to_xbar[a_address] [27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g876 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_180),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_75),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g877 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_180),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_37),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g879 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_177),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_17),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g880 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_74),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_175),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_178),
-	.Y(\soc_top_lsu_to_xbar[a_address] [26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g881 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_175),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_74),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g882 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_175),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_57),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g884 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_173),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_11),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g885 (
-	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_73),
-	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_172),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_73),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_172),
-	.Y(\soc_top_lsu_to_xbar[a_address] [25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g886 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_172),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_51),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g887 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_170),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_15),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g888 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_66),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_168),
-	.X(\soc_top_lsu_to_xbar[a_address] [24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g889 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_168),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_44),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g891 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_166),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_9),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g892 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_65),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_165),
-	.X(\soc_top_lsu_to_xbar[a_address] [23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g893 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_165),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_40),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g894 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_163),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_7),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g895 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_64),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_162),
-	.X(\soc_top_lsu_to_xbar[a_address] [22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g896 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_162),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_41),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g897 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_160),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_30),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g898 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_95),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_159),
-	.X(\soc_top_lsu_to_xbar[a_address] [21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g899 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_159),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_61),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g900 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_157),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_31),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g901 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_94),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_156),
-	.X(\soc_top_lsu_to_xbar[a_address] [20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g902 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_156),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_58),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g903 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_154),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_28),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g904 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_93),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_153),
-	.X(\soc_top_lsu_to_xbar[a_address] [19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g905 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_153),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_49),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g906 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_151),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_29),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g907 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_92),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_150),
-	.X(\soc_top_lsu_to_xbar[a_address] [18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g908 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_150),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_55),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g909 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_148),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_19),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g910 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_91),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_147),
-	.X(\soc_top_lsu_to_xbar[a_address] [17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g911 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_147),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_39),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g912 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_145),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_35),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g913 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_90),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_144),
-	.X(\soc_top_lsu_to_xbar[a_address] [16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g914 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_144),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_46),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g915 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_142),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_33),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g916 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_89),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_141),
-	.X(soc_top_u_top_u_core_alu_adder_result_ex[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g917 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_141),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_42),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g918 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_139),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_10),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g919 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_88),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_138),
-	.X(soc_top_u_top_u_core_alu_adder_result_ex[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g920 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_138),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_52),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g921 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_136),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_18),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g922 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_87),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_135),
-	.X(\soc_top_lsu_to_xbar[a_address] [13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g923 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_135),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_43),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g924 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_133),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_12),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g925 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_86),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_132),
-	.X(\soc_top_lsu_to_xbar[a_address] [12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g926 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_132),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_47),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g927 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_130),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_16),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g928 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_85),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_129),
-	.X(\soc_top_lsu_to_xbar[a_address] [11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g929 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_129),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_50),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g930 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_127),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_62),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g931 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_84),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_126),
-	.X(\soc_top_lsu_to_xbar[a_address] [10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g932 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_126),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_54),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g933 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_124),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_23),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g934 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_83),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_123),
-	.X(\soc_top_lsu_to_xbar[a_address] [9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g935 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_123),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_56),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g936 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_121),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_20),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g937 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_82),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_120),
-	.X(\soc_top_xbar_to_timer[a_address] [8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g938 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_120),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_38),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g939 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_118),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_8),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g940 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_81),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_117),
-	.X(\soc_top_xbar_to_timer[a_address] [7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g941 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_117),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_53),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g942 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_115),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_24),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g943 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_72),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_114),
-	.X(\soc_top_xbar_to_timer[a_address] [6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g944 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_114),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_59),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g945 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_112),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_34),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g946 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_71),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_111),
-	.X(\soc_top_xbar_to_timer[a_address] [5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g947 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_111),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_60),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g948 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_109),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_25),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g949 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_70),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_108),
-	.X(\soc_top_xbar_to_timer[a_address] [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g950 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_108),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_36),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g951 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_106),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_26),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g952 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_69),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_105),
-	.X(\soc_top_xbar_to_timer[a_address] [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g953 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_105),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_48),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g954 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_103),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_21),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g955 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_68),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_102),
-	.X(\soc_top_xbar_to_timer[a_address] [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g956 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_102),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_0),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g957 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_100),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_32),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g958 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_67),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_99),
-	.X(soc_top_u_top_u_core_alu_adder_result_ex[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g959 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_99),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g960 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_63),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_45),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_6),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_99), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g961 (
-	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_63),
-	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_80),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_63),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_80),
-	.Y(soc_top_u_top_u_core_alu_adder_result_ex[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g962 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_79),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_97), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g965 (
-	.A1(n_17295),
-	.A2(n_17230),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_63),
-	.X(n_15476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g966 (
-	.A(n_17317),
-	.B(n_17252),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_95), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g967 (
-	.A(n_17316),
-	.B(n_17251),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_94), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g968 (
-	.A(n_17315),
-	.B(n_17250),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_93), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g969 (
-	.A(n_17314),
-	.B(n_17249),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_92), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g970 (
-	.A(n_17313),
-	.B(n_17248),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_91), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g971 (
-	.A(n_17312),
-	.B(n_17247),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_90), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g972 (
-	.A(n_17311),
-	.B(n_17246),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_89), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g973 (
-	.A(n_17310),
-	.B(n_17245),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_88), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g974 (
-	.A(n_17309),
-	.B(n_17244),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_87), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g975 (
-	.A(n_17308),
-	.B(n_17243),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_86), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g976 (
-	.A(n_17307),
-	.B(n_17242),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_85), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g977 (
-	.A(n_17306),
-	.B(n_17241),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_84), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g978 (
-	.A(n_17305),
-	.B(n_17240),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_83), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g979 (
-	.A(n_17304),
-	.B(n_17239),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_82), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g980 (
-	.A(n_17303),
-	.B(n_17238),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_81), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g981 (
-	.A(n_17302),
-	.B(n_17237),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_72), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g982 (
-	.A(n_17301),
-	.B(n_17236),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_71), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g983 (
-	.A(n_17300),
-	.B(n_17235),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_70), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g984 (
-	.A(n_17299),
-	.B(n_17234),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_69), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g985 (
-	.A(n_17298),
-	.B(n_17233),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_68), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g986 (
-	.A(n_17297),
-	.B(n_17232),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_67), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g987 (
-	.A(n_17296),
-	.B(n_17231),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_80), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g988 (
-	.A(n_17327),
-	.B(n_17262),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_79), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g989 (
-	.A(n_17326),
-	.B(n_17261),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_78), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g990 (
-	.A(n_17325),
-	.B(n_17260),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_77), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g991 (
-	.A(n_17324),
-	.B(n_17259),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_76), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g992 (
-	.A(n_17323),
-	.B(n_17258),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_75), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g993 (
-	.A(n_17322),
-	.B(n_17257),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_74), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g994 (
-	.A(n_17321),
-	.B(n_17256),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_73), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g995 (
-	.A(n_17320),
-	.B(n_17255),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_66), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g996 (
-	.A(n_17319),
-	.B(n_17254),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_65), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g997 (
-	.A(n_17318),
-	.B(n_17253),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_64), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g998 (
-	.A(n_17241),
-	.B(n_17306),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_62), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g999 (
-	.A(n_17317),
-	.B(n_17252),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_61), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1000 (
-	.A(n_17301),
-	.B(n_17236),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_60), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1001 (
-	.A(n_17302),
-	.B(n_17237),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_59), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1002 (
-	.A(n_17316),
-	.B(n_17251),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_58), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1003 (
-	.A(n_17322),
-	.B(n_17257),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_57), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1004 (
-	.A(n_17305),
-	.B(n_17240),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_56), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1005 (
-	.A(n_17314),
-	.B(n_17249),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_55), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1006 (
-	.A(n_17306),
-	.B(n_17241),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_54), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1007 (
-	.A(n_17303),
-	.B(n_17238),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_53), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1008 (
-	.A(n_17310),
-	.B(n_17245),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_52), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1009 (
-	.A(n_17321),
-	.B(n_17256),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_51), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1010 (
-	.A(n_17307),
-	.B(n_17242),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_50), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1011 (
-	.A(n_17315),
-	.B(n_17250),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_49), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1012 (
-	.A(n_17299),
-	.B(n_17234),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_48), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1013 (
-	.A(n_17308),
-	.B(n_17243),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_47), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1014 (
-	.A(n_17312),
-	.B(n_17247),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_46), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1015 (
-	.A(n_17296),
-	.B(n_17231),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_45), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1016 (
-	.A(n_17320),
-	.B(n_17255),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_44), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1017 (
-	.A(n_17309),
-	.B(n_17244),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_43), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1018 (
-	.A(n_17311),
-	.B(n_17246),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_42), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1019 (
-	.A(n_17318),
-	.B(n_17253),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_41), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1021 (
-	.A(n_17319),
-	.B(n_17254),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_40), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1023 (
-	.A(n_17313),
-	.B(n_17248),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_39), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1024 (
-	.A(n_17304),
-	.B(n_17239),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_38), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1025 (
-	.A(n_17323),
-	.B(n_17258),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1026 (
-	.A(n_17300),
-	.B(n_17235),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_36), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1027 (
-	.A(n_17230),
-	.B(n_17295),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_63), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1028 (
-	.A(n_17247),
-	.B(n_17312),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_35), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1029 (
-	.A(n_17236),
-	.B(n_17301),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_34), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1030 (
-	.A(n_17246),
-	.B(n_17311),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1031 (
-	.A(n_17232),
-	.B(n_17297),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_32), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1032 (
-	.A(n_17251),
-	.B(n_17316),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1033 (
-	.A(n_17252),
-	.B(n_17317),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1034 (
-	.A(n_17249),
-	.B(n_17314),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1035 (
-	.A(n_17250),
-	.B(n_17315),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1036 (
-	.A(n_17261),
-	.B(n_17326),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1037 (
-	.A(n_17234),
-	.B(n_17299),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1038 (
-	.A(n_17235),
-	.B(n_17300),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1039 (
-	.A(n_17237),
-	.B(n_17302),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1040 (
-	.A(n_17240),
-	.B(n_17305),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1041 (
-	.A(n_17259),
-	.B(n_17324),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1042 (
-	.A(n_17233),
-	.B(n_17298),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1043 (
-	.A(n_17239),
-	.B(n_17304),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1044 (
-	.A(n_17248),
-	.B(n_17313),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1045 (
-	.A(n_17244),
-	.B(n_17309),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1046 (
-	.A(n_17257),
-	.B(n_17322),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1047 (
-	.A(n_17242),
-	.B(n_17307),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1048 (
-	.A(n_17255),
-	.B(n_17320),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1049 (
-	.A(n_17260),
-	.B(n_17325),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1050 (
-	.A(n_17258),
-	.B(n_17323),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1051 (
-	.A(n_17243),
-	.B(n_17308),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1052 (
-	.A(n_17256),
-	.B(n_17321),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1053 (
-	.A(n_17245),
-	.B(n_17310),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1054 (
-	.A(n_17254),
-	.B(n_17319),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1055 (
-	.A(n_17238),
-	.B(n_17303),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1056 (
-	.A(n_17253),
-	.B(n_17318),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1057 (
-	.A(n_17231),
-	.B(n_17296),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g2 (
-	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_189),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_77),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1062 (
-	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_77),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_189),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1063 (
-	.A(n_17297),
-	.B(n_17232),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1064 (
-	.A(n_17298),
-	.B(n_17233),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3111 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_140),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9),
-	.Y(n_15537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3112 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_124),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9),
-	.Y(n_15527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3113 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_122),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9),
-	.Y(n_15526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3114 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_118),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9),
-	.Y(n_15525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3115 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_123),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9),
-	.Y(n_15532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3116 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_120),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9),
-	.Y(n_15530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3117 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_121),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9),
-	.Y(n_15531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3118 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_119),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9),
-	.Y(n_15529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3119 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_132),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9),
-	.Y(n_15535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3120 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_138),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9),
-	.Y(n_15534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3121 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_136),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9),
-	.Y(n_15536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3122 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_137),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9),
-	.Y(n_15533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3123 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_133),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9),
-	.Y(n_15539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3124 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_135),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9),
-	.Y(n_15538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3125 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_134),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9),
-	.Y(n_15540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3126 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_139),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9),
-	.Y(n_15528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3127 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_125),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_133),
-	.Y(n_15523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3128 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_129),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_140),
-	.Y(n_15521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3129 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_128),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_136),
-	.Y(n_15520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3130 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_127),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_132),
-	.Y(n_15519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3131 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_126),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_138),
-	.Y(n_15518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3132 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_131),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_137),
-	.Y(n_15517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3133 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_117),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_123),
-	.Y(n_15516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3134 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_109),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_121),
-	.Y(n_15515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3135 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_116),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_120),
-	.Y(n_15514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3136 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_115),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_119),
-	.Y(n_15513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3137 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_114),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_139),
-	.Y(n_15512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3138 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_113),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_124),
-	.Y(n_15511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3139 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_112),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_122),
-	.Y(n_15510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3140 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_111),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_134),
-	.Y(n_15524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3141 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_110),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_118),
-	.Y(n_15509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3142 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_130),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_135),
-	.Y(n_15522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3143 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_108),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_87),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3144 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_100),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_98),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3145 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_99),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_94),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3146 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_97),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_84),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3147 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_95),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_89),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3148 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_93),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_91),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3149 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_102),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_101),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3150 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_106),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_8),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3151 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_84),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_103),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3152 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_88),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_8),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3153 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_90),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_8),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3154 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_104),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_8),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3155 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_92),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_8),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3156 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_107),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_8),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3157 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_105),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_8),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3158 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_96),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_8),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3159 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_79),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_85),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3160 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_80),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_100),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3161 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_81),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_99),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3162 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_78),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_97),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3163 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_77),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_95),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3164 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_76),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_93),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3165 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_85),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_86),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3166 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_83),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_108),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3167 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_82),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_102),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3168 (
-	.A1_N(n_15543),
-	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_89),
-	.B1(n_15543),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_96),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3169 (
-	.A1_N(n_15543),
-	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_86),
-	.B1(n_15543),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_107),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3170 (
-	.A1_N(n_15543),
-	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_91),
-	.B1(n_15543),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_88),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3171 (
-	.A1_N(n_15543),
-	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_101),
-	.B1(n_15543),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_105),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3172 (
-	.A1_N(n_15543),
-	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_98),
-	.B1(n_15543),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_92),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3173 (
-	.A1_N(n_15543),
-	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_94),
-	.B1(n_15543),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_106),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3174 (
-	.A1_N(n_15543),
-	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_87),
-	.B1(n_15543),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_90),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3175 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_103),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3176 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_47),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_68),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3177 (
-	.A1(n_15542),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_60),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_7),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3178 (
-	.A1(n_15542),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_53),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_7),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3179 (
-	.A1(n_15542),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_75),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_7),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3180 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_72),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_59),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3181 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_73),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_63),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3182 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_69),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_65),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3183 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_70),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_52),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3184 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_68),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_50),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_99), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3185 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_66),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_51),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_98), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3186 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_62),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_49),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_97), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3187 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_65),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_74),
-	.B2(n_15542),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_96), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3188 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_71),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_73),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_95), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3189 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_54),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_55),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_94), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3190 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_64),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_70),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_93), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3191 (
-	.A1(n_15542),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_57),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_7),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_92), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3192 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_58),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_67),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_83), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3193 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_48),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_71),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_82), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3194 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_67),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(n_15542),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_47),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_81), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3195 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_56),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_64),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_80), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3196 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_45),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_62),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_79), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3197 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_43),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_45),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_78), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3198 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_44),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_48),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_77), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3199 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_42),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_56),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_76), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3200 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_52),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_66),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_91), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3201 (
-	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_55),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_53),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_90), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3202 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_63),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_69),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_89), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3203 (
-	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_51),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_57),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_88), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3204 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_50),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_54),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_87), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3205 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_46),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_72),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_86), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3206 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_49),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_61),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_85), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3207 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_61),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_46),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_84), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3208 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_74),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_75), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3209 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_59),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_60), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3210 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_11),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_27),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_58), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3211 (
-	.A1(n_15541),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_20),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_74), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3212 (
-	.A1(n_15541),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_40),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_22),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_73), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3213 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_38),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_41),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_72), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3214 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_29),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_23),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_71), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3215 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_35),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_16),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_70), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3216 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_37),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_24),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_69), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3217 (
-	.A1(n_15541),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_23),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_40),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_68), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3218 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_39),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_13),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_67), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3219 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_30),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_26),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_66), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3220 (
-	.A1(n_15541),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_19),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_33),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_65), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3221 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_34),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_12),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_64), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3222 (
-	.A1(n_15541),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_31),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_28),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_63), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3223 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_12),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_35),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_62), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3224 (
-	.A1(n_15541),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_25),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_30),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_61), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3225 (
-	.A1(n_15541),
-	.A2(n_17562),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_59), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3226 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_27),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_39),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_44), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3227 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_14),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_17),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_43), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3228 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_10),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_14),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_42), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3229 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_41),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.B2(n_17562),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_57), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3230 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_17),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_32),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_56), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3231 (
-	.A1(n_15541),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_24),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_19),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_55), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3232 (
-	.A1(n_15541),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_28),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_37),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_54), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3233 (
-	.A1(n_15541),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_33),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_20),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_53), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3234 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_21),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_25),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_52), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3235 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_18),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_38),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_51), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3236 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_22),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_31),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_50), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3237 (
-	.A1(n_15541),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_16),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_21),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_49), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3238 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_13),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_15),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_48), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3239 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_15),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_29),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_47), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3240 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_26),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_18),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_46), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3241 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_32),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_34),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_45), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3242 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15395),
-	.B1(n_15396),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_41), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3243 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15380),
-	.B1(n_15381),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_40), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3244 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15370),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15371),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_39), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3245 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15393),
-	.B1(n_15394),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_38), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3246 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15388),
-	.B1(n_15389),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3248 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15379),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15380),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_35), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3249 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15375),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15376),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_34), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3250 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15394),
-	.B1(n_15395),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3251 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15373),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15374),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_32), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3252 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15384),
-	.B1(n_15385),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3253 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15387),
-	.B1(n_15388),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3254 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15376),
-	.B1(n_15377),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3255 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15386),
-	.B1(n_15387),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3256 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15368),
-	.B1(n_15369),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3257 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15389),
-	.B1(n_15390),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3258 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15366),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15367),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3259 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15367),
-	.B1(n_15368),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3260 (
-	.A1(n_15385),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.B1(n_15386),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3261 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15390),
-	.B1(n_15391),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3262 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15378),
-	.B1(n_15379),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3263 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15382),
-	.B1(n_15383),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3264 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15383),
-	.B1(n_15384),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3265 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15396),
-	.B1(n_15397),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3266 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15392),
-	.B1(n_15393),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3267 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15391),
-	.B1(n_15392),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3268 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15371),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15372),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3269 (
-	.A1(n_15381),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15382),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3270 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15374),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15375),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3271 (
-	.A1(n_15369),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15370),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3272 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15372),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15373),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3273 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15377),
-	.B1(n_15378),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3274 (
-	.A(n_15544),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_n_803),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3275 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_5),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3276 (
-	.A(n_15542),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_n_803),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3277 (
-	.A(n_15541),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_n_803),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3278 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_n_803),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3279 (
-	.A(n_15544),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3280 (
-	.A(n_15543),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3281 (
-	.A(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3282 (
-	.A(n_15541),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3283 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3111 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_140),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9),
-	.Y(n_15480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3112 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_124),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9),
-	.Y(n_15490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3113 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_122),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9),
-	.Y(n_15491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3114 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_118),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9),
-	.Y(n_15492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3115 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_123),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9),
-	.Y(n_15485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3116 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_120),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9),
-	.Y(n_15487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3117 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_121),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9),
-	.Y(n_15486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3118 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_119),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9),
-	.Y(n_15488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3119 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_132),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9),
-	.Y(n_15482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3120 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_138),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9),
-	.Y(n_15483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3121 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_136),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9),
-	.Y(n_15481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3122 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_137),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9),
-	.Y(n_15484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3123 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_133),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9),
-	.Y(n_15478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3124 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_135),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9),
-	.Y(n_15479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3125 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_134),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9),
-	.Y(n_15477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3126 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_139),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9),
-	.Y(n_15489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3127 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_125),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_133),
-	.Y(n_15494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3128 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_129),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_140),
-	.Y(n_15496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3129 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_128),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_136),
-	.Y(n_15497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3130 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_127),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_132),
-	.Y(n_15498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3131 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_126),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_138),
-	.Y(n_15499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3132 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_131),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_137),
-	.Y(n_15500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3133 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_117),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_123),
-	.Y(n_15501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3134 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_109),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_121),
-	.Y(n_15502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3135 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_116),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_120),
-	.Y(n_15503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3136 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_115),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_119),
-	.Y(n_15504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3137 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_114),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_139),
-	.Y(n_15505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3138 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_113),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_124),
-	.Y(n_15506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3139 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_112),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_122),
-	.Y(n_15507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3140 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_111),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_134),
-	.Y(n_15493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3141 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_110),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_118),
-	.Y(n_15508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3142 (
-	.A1(n_15544),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_130),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_135),
-	.Y(n_15495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3143 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_108),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_87),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3144 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_100),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_98),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3145 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_99),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_94),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3146 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_97),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_84),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3147 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_95),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_89),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3148 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_93),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_91),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3149 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_102),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_101),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3150 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_106),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_8),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3151 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_84),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_103),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3152 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_88),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_8),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3153 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_90),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_8),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3154 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_104),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_8),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3155 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_92),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_8),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3156 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_107),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_8),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3157 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_105),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_8),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3158 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_96),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_8),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3159 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_79),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_85),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3160 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_80),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_100),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3161 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_81),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_99),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3162 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_78),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_97),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3163 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_77),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_95),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3164 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_76),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_93),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3165 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_85),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_86),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3166 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_83),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_108),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3167 (
-	.A1(n_15543),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_82),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_102),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3168 (
-	.A1_N(n_15543),
-	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_89),
-	.B1(n_15543),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_96),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3169 (
-	.A1_N(n_15543),
-	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_86),
-	.B1(n_15543),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_107),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3170 (
-	.A1_N(n_15543),
-	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_91),
-	.B1(n_15543),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_88),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3171 (
-	.A1_N(n_15543),
-	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_101),
-	.B1(n_15543),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_105),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3172 (
-	.A1_N(n_15543),
-	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_98),
-	.B1(n_15543),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_92),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3173 (
-	.A1_N(n_15543),
-	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_94),
-	.B1(n_15543),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_106),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3174 (
-	.A1_N(n_15543),
-	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_87),
-	.B1(n_15543),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_90),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3175 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_103),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3176 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_47),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_68),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3177 (
-	.A1(n_15542),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_60),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_7),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3178 (
-	.A1(n_15542),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_53),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_7),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3179 (
-	.A1(n_15542),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_75),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_7),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3180 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_72),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_59),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3181 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_73),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_63),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3182 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_69),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_65),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3183 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_70),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_52),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3184 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_68),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_50),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_99), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3185 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_66),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_51),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_98), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3186 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_62),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_49),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_97), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3187 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_65),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_74),
-	.B2(n_15542),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_96), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3188 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_71),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_73),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_95), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3189 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_54),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_55),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_94), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3190 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_64),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_70),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_93), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3191 (
-	.A1(n_15542),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_57),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_7),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_92), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3192 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_58),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_67),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_83), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3193 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_48),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_71),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_82), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3194 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_67),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_47),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_81), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3195 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_56),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_64),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_80), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3196 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_45),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_62),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_79), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3197 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_43),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_45),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_78), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3198 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_44),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_48),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_77), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3199 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_42),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_56),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_76), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3200 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_52),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_66),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_91), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3201 (
-	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_55),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_53),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_90), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3202 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_63),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_69),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_89), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3203 (
-	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_51),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_57),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_88), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3204 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_50),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_54),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_87), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3205 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_46),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_72),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_86), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3206 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_49),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_61),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_85), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3207 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_61),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_2),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_46),
-	.B2(n_15542),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_84), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3208 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_74),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_75), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3209 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_59),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_60), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3210 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_11),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_27),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_58), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3211 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_20),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_74), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3212 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_40),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_22),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_73), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3213 (
-	.A1(n_15541),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_38),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_41),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_72), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3214 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_29),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_23),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_71), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3215 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_35),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_16),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_70), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3216 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_37),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_24),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_69), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3217 (
-	.A1(n_15541),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_23),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_40),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_68), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3218 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_39),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_13),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_67), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3219 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_30),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_26),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_66), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3220 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_19),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_33),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_65), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3221 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_34),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_12),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_64), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3222 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_31),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_28),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_63), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3223 (
-	.A1(n_15541),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_12),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_35),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_62), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3224 (
-	.A1(n_15541),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_25),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_30),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_61), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3225 (
-	.A1(n_15541),
-	.A2(n_17563),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_59), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3226 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_27),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_39),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_44), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3227 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_14),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_17),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_43), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3228 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_10),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_14),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_42), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3229 (
-	.A1(n_15541),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_41),
-	.B1(n_17563),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_57), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3230 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_17),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_32),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_56), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3231 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_24),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_19),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_55), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3232 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_28),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_37),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_54), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3233 (
-	.A1(n_15541),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_33),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_20),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_53), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3234 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_21),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_25),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_52), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3235 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_18),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_38),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_51), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3236 (
-	.A1(n_15541),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_22),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_31),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_50), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3237 (
-	.A1(n_15541),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_16),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_21),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_49), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3238 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_13),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_15),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_48), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3239 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_15),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_29),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_47), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3240 (
-	.A1(n_15541),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_26),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_18),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_46), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3241 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_32),
-	.A2(n_15541),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_34),
-	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_45), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3242 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15368),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15367),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_41), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3243 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15383),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15382),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_40), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3244 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15393),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15392),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_39), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3245 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15370),
-	.B1(n_15369),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_38), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3246 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15375),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15374),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3248 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15384),
-	.B1(n_15383),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_35), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3249 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15388),
-	.B1(n_15387),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_34), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3250 (
-	.A1(n_15369),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.B1(n_15368),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3251 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15390),
-	.B1(n_15389),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_32), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3252 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15379),
-	.B1(n_15378),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3253 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15376),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15375),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3254 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15387),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15386),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3255 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15377),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15376),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3256 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15395),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15394),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3257 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15374),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15373),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3258 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15397),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15396),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3259 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15396),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15395),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3260 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15378),
-	.B1(n_15377),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3261 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15373),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15372),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3262 (
-	.A1(n_15385),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15384),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3263 (
-	.A1(n_15381),
-	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15380),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3264 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15380),
-	.B1(n_15379),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3265 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15367),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15366),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3266 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15371),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15370),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3267 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15372),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15371),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3268 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15392),
-	.B1(n_15391),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3269 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15382),
-	.B1(n_15381),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3270 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15389),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15388),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3271 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15394),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15393),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3272 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15391),
-	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.B2(n_15390),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3273 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15386),
-	.B1(n_15385),
-	.B2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3274 (
-	.A(n_15544),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_n_879),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3275 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_5),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_3),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3276 (
-	.A(n_15542),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_n_879),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3277 (
-	.A(n_15541),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_n_879),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3278 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_n_879),
-	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1204  (
-	.A(n_16490),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_183 ),
-	.Y(n_16415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1205  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_181 ),
-	.A2(n_16489),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_183 ),
-	.Y(n_16414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1206  (
-	.A(n_16489),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_181 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_183 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1207  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_179 ),
-	.A2(n_17474),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_181 ),
-	.Y(n_16413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1208  (
-	.A(n_17474),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_179 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_181 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1209  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_177 ),
-	.A2(n_17475),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_179 ),
-	.Y(n_16412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1210  (
-	.A(n_17475),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_177 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_179 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1211  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_175 ),
-	.A2(n_17476),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_177 ),
-	.Y(n_16411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1212  (
-	.A(n_17476),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_175 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_177 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1213  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_173 ),
-	.A2(n_17477),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_175 ),
-	.Y(n_16410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1214  (
-	.A(n_17477),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_173 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_175 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1215  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_171 ),
-	.A2(n_17478),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_173 ),
-	.Y(n_16409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1216  (
-	.A(n_17478),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_171 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_173 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1217  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_169 ),
-	.A2(n_17479),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_171 ),
-	.Y(n_16408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1218  (
-	.A(n_17479),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_169 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_171 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1219  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_167 ),
-	.A2(n_17480),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_169 ),
-	.Y(n_16407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1220  (
-	.A(n_17480),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_167 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_169 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1221  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_165 ),
-	.A2(n_17481),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_167 ),
-	.Y(n_16406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1222  (
-	.A(n_17481),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_165 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_167 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1223  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_163 ),
-	.A2(n_17482),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_165 ),
-	.Y(n_16405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1224  (
-	.A(n_17482),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_163 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_165 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1225  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_161 ),
-	.A2(n_17483),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_163 ),
-	.Y(n_16404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1226  (
-	.A(n_17483),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_161 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_163 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1227  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_159 ),
-	.A2(n_17484),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_161 ),
-	.Y(n_16403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1228  (
-	.A(n_17484),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_159 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_161 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1229  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_157 ),
-	.A2(n_17485),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_159 ),
-	.Y(n_16402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1230  (
-	.A(n_17485),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_157 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_159 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1231  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_155 ),
-	.A2(n_16476),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_157 ),
-	.Y(n_16401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1232  (
-	.A(n_16476),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_155 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_157 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1233  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_153 ),
-	.A2(n_16475),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_155 ),
-	.Y(n_16400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1234  (
-	.A(n_16475),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_153 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_155 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1235  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_151 ),
-	.A2(n_16474),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_153 ),
-	.Y(n_16399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1236  (
-	.A(n_16474),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_151 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_153 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1237  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_149 ),
-	.A2(n_16473),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_151 ),
-	.Y(n_16398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1238  (
-	.A(n_16473),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_149 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_151 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1239  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_147 ),
-	.A2(n_16472),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_149 ),
-	.Y(n_16397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1240  (
-	.A(n_16472),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_147 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_149 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1241  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_146 ),
-	.A2(n_16471),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_147 ),
-	.Y(n_16396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1242  (
-	.A_N(n_16471),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_144 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_147 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1243  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_144 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_146 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1244  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_12 ),
-	.A2(n_16470),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_144 ),
-	.Y(n_16395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1245  (
-	.A(n_16470),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_12 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_144 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1246  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_142 ),
-	.A2(n_16469),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_12 ),
-	.Y(n_16394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1248  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_35 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_142 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1249  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_4 ),
-	.A2(n_16468),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_35 ),
-	.Y(n_16393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1251  (
-	.A(n_16468),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_4 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_35 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1252  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_139 ),
-	.A2(n_16467),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_4 ),
-	.Y(n_16392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1254  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_34 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_139 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1255  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_136 ),
-	.A2(n_16466),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_34 ),
-	.Y(n_16391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1257  (
-	.A(n_16466),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_136 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_34 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1259  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_136 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_135 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1260  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_33 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_43 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_136 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1261  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_33 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_134 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1262  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_10 ),
-	.A2(n_18454),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_33 ),
-	.Y(n_14728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1264  (
-	.A(n_18454),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_10 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_33 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1267  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_32 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_131 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1268  (
-	.A1(n_18234),
-	.A2(n_16462),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_32 ),
-	.Y(n_16387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1270  (
-	.A(n_16462),
-	.B(n_18234),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_32 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1271  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_127 ),
-	.A2(n_16461),
-	.B1_N(n_18234),
-	.Y(n_16386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1273  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_31 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_127 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1274  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_2 ),
-	.A2(n_16460),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_31 ),
-	.Y(n_16385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1276  (
-	.A(n_16460),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_2 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_31 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1279  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_30 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_124 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1282  (
-	.A(n_16458),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_0 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_30 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1285  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_29 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_121 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1288  (
-	.A(n_16456),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_9 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_29 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1291  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_28 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_118 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1294  (
-	.A(n_16454),
-	.B(n_18230),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_28 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1297  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_27 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_114 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1300  (
-	.A(n_16452),
-	.B(n_18233),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_27 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1301  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_110 ),
-	.A2(n_16451),
-	.B1_N(n_18233),
-	.Y(n_16376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1303  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_26 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_110 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1304  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_3 ),
-	.A2(n_16450),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_26 ),
-	.Y(n_16375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1306  (
-	.A(n_16450),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_26 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1309  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_25 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_107 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1312  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_7 ),
-	.B(n_16448),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_25 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1315  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_24 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_104 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1316  (
-	.A1(n_16446),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_24 ),
-	.Y(n_16371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1318  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_1 ),
-	.B(n_16446),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_24 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1319  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_101 ),
-	.A2(n_16445),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_1 ),
-	.Y(n_16370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1321  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_23 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_101 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1324  (
-	.A(n_16444),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_14 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_23 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1325  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_98 ),
-	.A2(n_16443),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_14 ),
-	.Y(n_16368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1327  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_22 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_98 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1328  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_6 ),
-	.A2(n_16442),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_22 ),
-	.Y(n_16367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1330  (
-	.A(n_16442),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_22 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1333  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_21 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_95 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1336  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_11 ),
-	.B(n_16440),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_21 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1339  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_20 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_92 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1342  (
-	.A(n_18232),
-	.B(n_16438),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_20 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1343  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_88 ),
-	.A2(n_16437),
-	.B1_N(n_18232),
-	.Y(n_16362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1345  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_19 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_88 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1346  (
-	.A1(n_18231),
-	.A2(n_16436),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_19 ),
-	.Y(n_16361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1348  (
-	.A(n_16436),
-	.B(n_18231),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_19 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1349  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_84 ),
-	.A2(n_16435),
-	.B1_N(n_18231),
-	.Y(n_16360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1351  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_18 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_84 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1352  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_5 ),
-	.A2(n_16434),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_18 ),
-	.Y(n_16359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1354  (
-	.A(n_16434),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_18 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1355  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_81 ),
-	.A2(n_16433),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_5 ),
-	.Y(n_16358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1357  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_17 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_81 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1358  (
-	.A1(n_16432),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_8 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_17 ),
-	.Y(n_16357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1360  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_8 ),
-	.B(n_16432),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_17 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1361  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_78 ),
-	.A2(n_16431),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_8 ),
-	.Y(n_16356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1363  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_16 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_78 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1364  (
-	.A1(n_16430),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_75 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_16 ),
-	.Y(n_16355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1366  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_75 ),
-	.B(n_16430),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_16 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1367  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_74 ),
-	.A2(n_16429),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_75 ),
-	.Y(n_16354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1368  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_39 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_15 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_75 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1369  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_15 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_74 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1370  (
-	.A1(n_16428),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_71 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_15 ),
-	.Y(n_16353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1372  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_71 ),
-	.B(n_16428),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_15 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1373  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_70 ),
-	.A2(n_16427),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_71 ),
-	.Y(n_16352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1374  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_40 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_68 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_71 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1375  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_68 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_70 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1376  (
-	.A1(n_16426),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_66 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_68 ),
-	.Y(n_16351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1377  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_66 ),
-	.B(n_16426),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_68 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1379  (
-	.A_N(n_16425),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_63 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_66 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1380  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_63 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_65 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1382  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_61 ),
-	.B(n_16424),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_63 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1383  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_60 ),
-	.A2(n_16423),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_61 ),
-	.Y(n_16348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1384  (
-	.A_N(n_16423),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_58 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_61 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1385  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_58 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_60 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1386  (
-	.A1(n_16422),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_56 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_58 ),
-	.Y(n_16347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1387  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_56 ),
-	.B(n_16422),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_58 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1388  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_55 ),
-	.A2(n_16421),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_56 ),
-	.Y(n_16346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1389  (
-	.A_N(n_16421),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_53 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_56 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1390  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_53 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_55 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1391  (
-	.A1(n_16420),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_51 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_53 ),
-	.Y(n_16345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1392  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_51 ),
-	.B(n_16420),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_53 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1393  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_13 ),
-	.A2(n_16419),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_51 ),
-	.Y(n_16344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1394  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_13 ),
-	.B(n_16419),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_51 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1395  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_49 ),
-	.A2(n_18484),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_13 ),
-	.Y(n_16343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1397  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_47 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_49 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1398  (
-	.A1(n_16417),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_45 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_47 ),
-	.Y(n_16342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1399  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_45 ),
-	.B(n_16417),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_47 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1400  (
-	.A1(n_16416),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_gen_lzc.in_tmp [50]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_44 ),
-	.Y(n_16341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1401  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_44 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_45 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1402  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_gen_lzc.in_tmp [50]),
-	.B(n_16416),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_44 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1408  (
-	.A(n_16465),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_43 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g2  (
-	.A_N(n_16443),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_22 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_14 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1426  (
-	.A_N(n_18484),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_47 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_13 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1427  (
-	.A_N(n_16469),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_35 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_12 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1428  (
-	.A_N(n_16439),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_20 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_11 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1429  (
-	.A_N(n_18456),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_32 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_10 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1430  (
-	.A_N(n_16455),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_28 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_9 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1431  (
-	.A_N(n_16431),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_16 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_8 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1432  (
-	.A_N(n_16447),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_24 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_7 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1433  (
-	.A_N(n_16441),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_21 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_6 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1434  (
-	.A_N(n_16433),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_17 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_5 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1435  (
-	.A_N(n_16467),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_34 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_4 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1436  (
-	.A_N(n_16449),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_25 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_3 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1437  (
-	.A_N(n_16459),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_30 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_2 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1438  (
-	.A_N(n_16445),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_23 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_1 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_g1439  (
-	.A_N(n_16457),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_29 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_0 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9025  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_375 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_5 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_348 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_9 ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_397 ),
-	.X(n_16296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9026  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_367 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_8 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_334 ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_417 ),
-	.Y(n_16295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9027  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_361 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_8 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_338 ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_426 ),
-	.Y(n_16293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9028  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_402 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_8 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_250 ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_438 ),
-	.Y(n_16285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9029  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_406 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_8 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_214 ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_437 ),
-	.Y(n_16284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9030  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_410 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_8 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_167 ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_436 ),
-	.Y(n_16283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9031  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_365 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_8 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_249 ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_425 ),
-	.Y(n_16286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9032  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_8 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_335 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_374 ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_418 ),
-	.Y(n_16291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9033  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_8 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_305 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_371 ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_416 ),
-	.Y(n_16290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9034  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_8 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_302 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_369 ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_415 ),
-	.Y(n_16289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9035  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_8 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_304 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_366 ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_414 ),
-	.Y(n_16288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9036  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_363 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_8 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_303 ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_413 ),
-	.Y(n_16287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9037  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_376 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_8 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_336 ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_433 ),
-	.Y(n_16292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9038  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_354 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_370 ),
-	.Y(n_16208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9039  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_385 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_404 ),
-	.Y(n_16281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9040  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_384 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_400 ),
-	.Y(n_16280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9041  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_383 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_411 ),
-	.Y(n_16279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9042  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_382 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_409 ),
-	.Y(n_16278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9043  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_381 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_407 ),
-	.Y(n_16277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9044  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_380 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_405 ),
-	.Y(n_16276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9045  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_379 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_403 ),
-	.Y(n_16275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9046  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_378 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_401 ),
-	.Y(n_16274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9047  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_351 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_399 ),
-	.Y(n_16273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9048  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_359 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_372 ),
-	.Y(n_16272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9049  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_358 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_362 ),
-	.Y(n_16271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9050  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_357 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_368 ),
-	.Y(n_16270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9051  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_356 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_364 ),
-	.Y(n_16269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9052  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_355 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_373 ),
-	.Y(n_16209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9053  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_386 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_408 ),
-	.Y(n_16282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9054  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_410 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_167 ),
-	.Y(n_16192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9055  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_360 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_340 ),
-	.Y(n_16206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9056  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_350 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_339 ),
-	.Y(n_16205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9057  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_9 ),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_375 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_337 ),
-	.Y(n_16204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9058  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_367 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_334 ),
-	.Y(n_16203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9059  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_361 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_338 ),
-	.Y(n_16202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9060  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_376 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_336 ),
-	.Y(n_16201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9061  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_374 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_335 ),
-	.Y(n_16200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9062  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_371 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_305 ),
-	.Y(n_16199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9063  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_369 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_302 ),
-	.Y(n_16198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9064  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_366 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_304 ),
-	.Y(n_16197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9065  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_363 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_303 ),
-	.Y(n_16196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9066  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_365 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_249 ),
-	.Y(n_16195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9067  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_402 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_250 ),
-	.Y(n_16194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9068  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_406 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_214 ),
-	.Y(n_16193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9069  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_353 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_341 ),
-	.Y(n_16207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9070  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_403 ),
-	.Y(n_16184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9071  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_390 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_9 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_438 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9072  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_389 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_9 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_437 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9073  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_398 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_9 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_436 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9075  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_409 ),
-	.Y(n_16187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9076  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_352 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_9 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_433 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9077  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_408 ),
-	.Y(n_16191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9078  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_404 ),
-	.Y(n_16190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9079  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_400 ),
-	.Y(n_16189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9080  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_411 ),
-	.Y(n_16188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9081  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_407 ),
-	.Y(n_16186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9082  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_405 ),
-	.Y(n_16185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9083  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_388 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_9 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_426 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9084  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_342 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_9 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_425 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9088  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_364 ),
-	.Y(n_16178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9091  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_349 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_9 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_418 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9092  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_346 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_9 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_417 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9093  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_347 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_9 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_416 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9094  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_345 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_9 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_415 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9095  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_344 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_9 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_414 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9096  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_343 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_9 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_413 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9098  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_297 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_324 ),
-	.B2(n_16301),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_398 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9099  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_8 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_337 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_397 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9106  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_299 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_313 ),
-	.B2(n_16301),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_390 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9107  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_298 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_328 ),
-	.B2(n_16301),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_389 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9108  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_295 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_322 ),
-	.B2(n_16301),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_388 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9110  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_296 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_317 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_386 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9111  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_294 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_311 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_385 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9112  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_301 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_307 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_384 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9113  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_271 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_327 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_383 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9114  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_280 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_274 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_382 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9115  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_322 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_283 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_381 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9116  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_288 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_269 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_380 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9117  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_289 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_290 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_379 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9118  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_284 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_285 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_378 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9119  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_341 ),
-	.Y(n_16175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9120  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_323 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_315 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_411 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9121  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_320 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_321 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_410 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9122  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_292 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_287 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_409 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9123  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_318 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_319 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_408 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9124  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_316 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_310 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_407 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9125  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_325 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_326 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_406 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9126  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_272 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_278 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_405 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9127  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_312 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_314 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_404 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9128  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_291 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_266 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_403 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9129  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_329 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_306 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_402 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9130  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_286 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_254 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_401 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9131  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_308 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_309 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_400 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9132  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_282 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_253 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_399 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9133  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_311 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_312 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_360 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9134  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_275 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_276 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_359 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9135  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_273 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_268 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_358 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9136  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_267 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_264 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_357 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9137  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_313 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_329 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_356 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9138  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_328 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_325 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_355 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9139  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_324 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_320 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_354 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9140  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_317 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_318 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_353 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9141  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_255 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_288 ),
-	.B2(n_16301),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_352 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9142  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_279 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_281 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_351 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9143  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_307 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_308 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_350 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9144  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_261 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_289 ),
-	.B2(n_16301),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_349 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9145  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_271 ),
-	.A2(n_16301),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_262 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_348 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9146  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_260 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_284 ),
-	.B2(n_16301),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_347 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9147  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_256 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_280 ),
-	.B2(n_16301),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_346 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9148  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_259 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_279 ),
-	.B2(n_16301),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_345 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9149  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_258 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_275 ),
-	.B2(n_16301),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_344 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9150  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_257 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_273 ),
-	.B2(n_16301),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_343 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9151  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_263 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_267 ),
-	.B2(n_16301),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_342 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9152  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_269 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_272 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_376 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9153  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_327 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_323 ),
-	.B2(n_16301),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_375 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9154  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_290 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_291 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_374 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9155  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_326 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_168 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_373 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9156  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_277 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_252 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_372 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9157  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_285 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_286 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_371 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9158  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_321 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_164 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_370 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9159  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_281 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_282 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_369 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9160  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_265 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_245 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_368 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9161  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_274 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_292 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_367 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9162  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_276 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_277 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_366 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9163  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_264 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_265 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_365 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9164  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_306 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_227 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_364 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9165  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_268 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_270 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_363 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9166  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_270 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_251 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_362 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9167  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_283 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_316 ),
-	.B2(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_361 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9168  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_319 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_341 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9169  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_314 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_340 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9170  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_309 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_339 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9171  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_310 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_338 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9172  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_315 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_337 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9173  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_278 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_336 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9174  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_304 ),
-	.Y(n_16165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9176  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_305 ),
-	.Y(n_16167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9178  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_266 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_335 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9179  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_287 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_334 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9180  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_178 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_179 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_301 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9182  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_243 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_241 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_299 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9183  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_228 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_230 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_298 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9184  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_177 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_187 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_297 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9185  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_200 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_201 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_296 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9186  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_213 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_243 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_295 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9187  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_188 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_189 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_294 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9188  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_250 ),
-	.Y(n_16162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9189  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_234 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_235 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_329 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9190  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_231 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_219 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_328 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9191  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_203 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_238 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_327 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9192  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_224 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_225 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_326 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9193  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_220 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_222 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_325 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9194  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_199 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_195 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_324 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9195  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_223 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_197 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_323 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9196  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_241 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_242 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_322 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9197  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_216 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_218 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_321 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9198  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_239 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_215 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_320 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9199  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_208 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_209 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_319 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9200  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_206 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_207 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_318 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9201  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_202 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_205 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_317 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9202  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_235 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_236 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_316 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9203  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_246 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_244 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_315 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9204  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_196 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_198 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_314 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9205  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_242 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_232 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_313 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9206  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_194 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_176 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_312 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9207  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_190 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_193 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_311 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9208  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_237 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_170 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_310 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9209  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_185 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_186 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_309 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9210  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_183 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_184 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_308 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9211  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_180 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_181 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_307 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9212  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_236 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_237 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_306 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9213  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_254 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_305 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9214  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_252 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_304 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9215  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_251 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_303 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9216  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_253 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_302 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9217  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_226 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_182 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_263 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9218  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_192 ),
-	.B1(n_16300),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_171 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_262 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9219  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_173 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_177 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_261 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9220  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_174 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_200 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_260 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9221  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_175 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_188 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_259 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9222  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_172 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_178 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_258 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9223  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_192 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_240 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_257 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9224  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_212 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_226 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_256 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9225  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_210 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_228 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_255 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9226  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_229 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_233 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_292 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9227  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_215 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_216 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_291 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9228  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_195 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_239 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_290 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9229  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_187 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_199 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_289 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9230  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_230 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_231 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_288 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9231  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_204 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_169 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_287 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9232  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_207 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_208 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_286 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9233  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_205 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_206 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_285 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9234  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_201 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_202 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_284 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9235  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_232 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_234 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_283 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9236  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_176 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_196 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_282 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9237  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_193 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_194 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_281 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9238  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_182 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_191 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_280 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9239  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_189 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_190 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_279 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9240  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_225 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_158 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_278 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9241  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_184 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_185 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_277 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9242  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_181 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_183 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_276 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9243  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_179 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_180 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_275 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9244  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_217 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_221 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_274 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9245  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_247 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_203 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_273 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9246  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_222 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_224 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_272 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9247  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_240 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_247 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_271 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9248  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_197 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_246 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_270 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9249  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_219 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_220 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_269 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9250  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_238 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_223 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_268 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9251  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_191 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_217 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_267 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9252  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_218 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_88 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_266 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9253  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_233 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_204 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_265 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9254  (
-	.A1(n_16300),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_221 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_229 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_264 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9255  (
-	.A(n_16300),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_209 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_254 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9256  (
-	.A(n_16300),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_198 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_253 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9257  (
-	.A(n_16300),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_186 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_252 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9258  (
-	.A(n_16300),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_244 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_251 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9259  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_227 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_250 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9260  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_245 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_249 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9262  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_129 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_146 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_213 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9263  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_130 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_165 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_212 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9264  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_167 ),
-	.Y(n_16160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9265  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_91 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_111 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_210 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9266  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_151 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_166 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_247 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9267  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_126 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_141 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_246 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9268  (
-	.A(n_16300),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_169 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_245 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9269  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_156 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_62 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_244 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9270  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_102 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_160 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_243 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9271  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_163 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_154 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_242 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9272  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_161 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_159 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_241 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9273  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_153 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_92 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_240 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9274  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_144 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_96 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_239 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9275  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_119 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_144 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_238 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9276  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_136 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_155 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_237 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9277  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_152 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_142 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_236 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9278  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_140 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_150 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_235 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9279  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_137 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_148 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_234 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9280  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_139 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_135 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_233 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9281  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_145 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_147 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_232 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9282  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_94 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_105 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_231 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9283  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_134 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_149 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_230 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9284  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_128 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_131 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_229 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9285  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_132 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_143 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_228 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9286  (
-	.A(n_16300),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_170 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_227 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9287  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_138 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_93 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_226 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9288  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_125 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_127 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_225 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9289  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_123 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_124 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_224 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9290  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_96 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_114 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_223 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9291  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_120 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_122 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_222 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9292  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_115 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_121 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_221 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9293  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_117 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_118 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_220 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9294  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_113 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_116 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_219 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9295  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_141 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_156 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_218 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9296  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_112 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_133 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_217 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9297  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_98 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_126 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_216 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9298  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_114 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_104 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_215 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9299  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_168 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_214 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9300  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_146 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_102 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_175 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9301  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_165 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_138 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_174 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9302  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_109 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_99 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_173 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9303  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_111 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_132 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_172 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9304  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_109 ),
-	.A2(n_16299),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_90 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_171 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9305  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_108 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_110 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_209 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9306  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_135 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_106 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_208 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9307  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_131 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_139 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_207 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9308  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_121 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_128 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_206 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9309  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_133 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_115 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_205 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9310  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_106 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_108 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_204 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9311  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_95 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_107 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_203 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9312  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_162 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_112 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_202 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9313  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_100 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_101 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_201 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9314  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_93 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_97 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_200 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9315  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_166 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_95 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_199 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9316  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_155 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_157 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_198 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9317  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_104 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_98 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_197 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9318  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_142 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_136 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_196 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9319  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_107 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_119 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_195 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9320  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_148 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_140 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_194 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9321  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_147 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_137 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_193 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9322  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_99 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_103 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_192 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9323  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_101 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_162 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_191 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9324  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_154 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_145 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_190 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9325  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_159 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_163 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_189 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9326  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_160 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_161 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_188 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9327  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_92 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_151 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_187 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9328  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_127 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_89 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_186 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9329  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_124 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_125 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_185 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9330  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_122 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_123 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_184 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9331  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_118 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_120 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_183 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9332  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_97 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_100 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_182 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9333  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_116 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_117 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_181 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9334  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_105 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_113 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_180 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9335  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_149 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_94 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_179 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9336  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_143 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_134 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_178 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9337  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_103 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_153 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_177 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9338  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_150 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_152 ),
-	.B2(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_176 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9339  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_157 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_170 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9340  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_110 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_169 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9341  (
-	.A(n_16300),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_158 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_168 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9342  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_164 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_167 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9343  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_50 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_66 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_130 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9344  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_37 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_28 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_129 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9345  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_57 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_20 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_166 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9346  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_83 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_82 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_165 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9347  (
-	.A(n_16300),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_88 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_164 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9348  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_31 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_57 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_163 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9349  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_59 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_70 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_162 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9350  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_56 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_33 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_161 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9351  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_25 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_77 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_160 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9352  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_46 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_78 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_159 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9353  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_89 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_158 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9354  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_36 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_7 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_157 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9355  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_69 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_36 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_156 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9356  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_64 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_69 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_155 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9357  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_20 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_79 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_154 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9358  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_77 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_56 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_153 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9359  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_84 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_23 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_152 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9360  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_78 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_31 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_151 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9361  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_41 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_65 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_150 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9362  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_49 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_22 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_149 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9363  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_71 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_34 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_148 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9364  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_73 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_32 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_147 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9365  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_87 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_72 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_146 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9366  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_12 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_14 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_145 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9367  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_76 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_71 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_144 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9368  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_60 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_58 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_143 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9369  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_55 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_48 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_142 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9370  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_15 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_64 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_141 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9371  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_53 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_86 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_140 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9372  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_42 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_47 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_139 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9373  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_61 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_40 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_138 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9374  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_45 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_76 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_137 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9375  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_52 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_15 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_136 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9376  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_19 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_13 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_135 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9377  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_75 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_38 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_134 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9378  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_11 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_17 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_133 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9379  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_40 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_68 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_132 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9380  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_54 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_81 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_131 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9381  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_66 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_83 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_91 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9382  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_37 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_51 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_90 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9383  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_27 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_24 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_128 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9384  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_18 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_85 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_127 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9385  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_48 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_52 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_126 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9386  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_67 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_29 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_125 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9387  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_13 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_44 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_124 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9388  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_47 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_19 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_123 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9389  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_81 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_42 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_122 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9390  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_39 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_30 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_121 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9391  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_24 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_54 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_120 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9392  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_32 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_45 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_119 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9393  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_30 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_27 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_118 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9394  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_63 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_39 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_117 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9395  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_17 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_80 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_116 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9396  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_80 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_63 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_115 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9397  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_86 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_41 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_114 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9398  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_26 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_11 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_113 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9399  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_43 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_26 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_112 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9400  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_82 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_61 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_111 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9401  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_85 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_35 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_110 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9402  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_28 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_87 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_109 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9403  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_29 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_18 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_108 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9404  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_14 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_73 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_107 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9405  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_44 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_67 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_106 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9406  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_70 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_43 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_105 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9407  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_65 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_84 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_104 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9408  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_16 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_25 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_103 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9409  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_74 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_16 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_102 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9410  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_22 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_21 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_101 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9411  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_38 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_49 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_100 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9412  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_72 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_74 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_99 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9413  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_23 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_55 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_98 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9414  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_58 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_75 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_97 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9415  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_34 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_53 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_96 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9416  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_79 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_12 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_95 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9417  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_21 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_59 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_94 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9418  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_68 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_60 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_93 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9419  (
-	.A1(n_16298),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_33 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_46 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_92 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9420  (
-	.A(n_16298),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_35 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_89 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9421  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_62 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_88 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9422  (
-	.A(n_16297),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ),
-	.C(n_16340),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_51 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9423  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(n_16340),
-	.B1(n_16297),
-	.B2(n_16339),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_50 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9424  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(n_16335),
-	.B1(n_16297),
-	.B2(n_16334),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_87 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9425  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [24]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [23]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_86 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9426  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [3]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [2]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_85 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9427  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [18]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [17]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_84 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9428  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(n_16336),
-	.B1(n_16297),
-	.B2(n_16335),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_83 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9429  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(n_16334),
-	.B1(n_16297),
-	.B2(n_16333),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_82 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9430  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [21]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [20]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_81 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9431  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [35]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [34]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_80 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9432  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [44]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [43]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_79 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9433  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(n_16317),
-	.B1(n_16297),
-	.B2(n_16316),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_78 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9434  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(n_16325),
-	.B1(n_16297),
-	.B2(n_16324),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_77 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9435  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [32]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [31]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_76 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9436  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(n_16322),
-	.B1(n_16297),
-	.B2(n_16321),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_75 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9437  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(n_16331),
-	.B1(n_16297),
-	.B2(n_16330),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_74 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9438  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [38]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [37]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_73 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9439  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(n_16333),
-	.B1(n_16297),
-	.B2(n_16332),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_72 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9440  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_gen_lzc.in_tmp [20]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [29]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_71 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9441  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [45]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [44]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_70 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9442  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [4]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_69 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9443  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(n_16328),
-	.B1(n_16297),
-	.B2(n_16327),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_68 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9444  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [9]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [8]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_67 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9445  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(n_16338),
-	.B1(n_16297),
-	.B2(n_16337),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_66 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9446  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [20]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [19]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_65 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9447  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [6]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [5]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_64 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9448  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [33]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [32]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_63 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9449  (
-	.A(n_16298),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_7 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_62 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9450  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(n_16332),
-	.B1(n_16297),
-	.B2(n_16331),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_61 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9451  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(n_16326),
-	.B1(n_16297),
-	.B2(n_16325),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_60 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9452  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [47]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_gen_lzc.in_tmp [4]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_59 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9453  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(n_16324),
-	.B1(n_16297),
-	.B2(n_16323),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_58 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9454  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [48]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [47]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_57 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9455  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(n_16323),
-	.B1(n_16297),
-	.B2(n_16322),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_56 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9456  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [14]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [13]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_55 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9457  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [23]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [22]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_54 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9458  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [26]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [25]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_53 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9459  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [10]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [9]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_52 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9460  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(n_16318),
-	.B1(n_16297),
-	.B2(n_16317),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_49 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9461  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [12]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [11]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_48 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9462  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [17]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [16]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_47 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9463  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(n_16319),
-	.B1(n_16297),
-	.B2(n_16318),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_46 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9464  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [34]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [33]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_45 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9465  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [11]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [10]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_44 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9466  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [43]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [42]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_43 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9467  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [19]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [18]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_42 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9468  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [22]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [21]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_41 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9469  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(n_16330),
-	.B1(n_16297),
-	.B2(n_16329),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_40 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9470  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [31]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_gen_lzc.in_tmp [20]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_39 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9471  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(n_16320),
-	.B1(n_16297),
-	.B2(n_16319),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_38 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9472  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(n_16339),
-	.B1(n_16297),
-	.B2(n_16338),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_37 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9473  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [2]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [1]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_36 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9474  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [1]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_gen_lzc.in_tmp [50]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_35 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9475  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [28]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [27]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_34 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9476  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(n_16321),
-	.B1(n_16297),
-	.B2(n_16320),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_33 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9477  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [36]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [35]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_32 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9478  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [50]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [49]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_31 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9479  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [29]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [28]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_30 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9480  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [7]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [6]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_29 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9481  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(n_16337),
-	.B1(n_16297),
-	.B2(n_16336),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_28 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9482  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [27]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [26]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_27 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9483  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [41]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [40]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_26 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9484  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(n_16327),
-	.B1(n_16297),
-	.B2(n_16326),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_25 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9485  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [25]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [24]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_24 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9486  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [16]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [15]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_23 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9487  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(n_16316),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [50]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_22 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9488  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [49]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [48]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_21 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9489  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_gen_lzc.in_tmp [4]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [45]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_20 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9490  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [15]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [14]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_19 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9491  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [5]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [4]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_18 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9492  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [37]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [36]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_17 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9493  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(n_16329),
-	.B1(n_16297),
-	.B2(n_16328),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_16 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9494  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [8]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [7]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_15 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9495  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [40]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [39]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_14 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9496  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [13]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [12]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_13 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9497  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [42]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [41]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_12 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9498  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [39]),
-	.B1(n_16297),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [38]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_11 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9499  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_9 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9500  (
-	.A(n_16302),
-	.B(n_16303),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9501  (
-	.A_N(n_16302),
-	.B(n_16303),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_8 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9502  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_gen_lzc.in_tmp [50]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_7 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9503  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_5 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9504  (
-	.A_N(n_16303),
-	.B(n_16302),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_6 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9505  (
-	.A(n_16301),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_4 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9506  (
-	.A(n_16299),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_3 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9507  (
-	.A(n_16297),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9508  (
-	.A(n_16300),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_12 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g9509  (
-	.A(n_16298),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_0 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2388  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_92 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_103 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]),
-	.X(n_15592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2389  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_98 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_97 ),
-	.Y(n_15590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2390  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_97 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_87 ),
-	.Y(n_15586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2391  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_93 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_95 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]),
-	.X(n_15591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2392  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_96 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_86 ),
-	.Y(n_15585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2393  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_94 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_96 ),
-	.Y(n_15589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2394  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_103 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_89 ),
-	.Y(n_15588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2395  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_95 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_88 ),
-	.Y(n_15587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2396  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_83 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_77 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]),
-	.X(n_15577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2397  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_89 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_85 ),
-	.Y(n_15584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2398  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_88 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_84 ),
-	.Y(n_15583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2399  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_80 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_85 ),
-	.Y(n_15580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2400  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_79 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_84 ),
-	.Y(n_15579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2401  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_78 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_82 ),
-	.Y(n_15578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2402  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_87 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_82 ),
-	.Y(n_15582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2403  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_83 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_86 ),
-	.Y(n_15581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2404  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_80 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_76 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]),
-	.X(n_15576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2405  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_79 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_81 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]),
-	.X(n_15575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2406  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_78 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_65 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]),
-	.X(n_15574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2407  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_77 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_52 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]),
-	.X(n_15573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2408  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [1]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_71 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_72 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_98 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2409  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [1]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_72 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_73 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_103 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2410  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [1]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_70 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_74 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_94 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2411  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [1]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_66 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_70 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_93 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2412  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [1]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_67 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_71 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_92 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2413  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [1]),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_73 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [1]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_63 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_97 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2414  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_69 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_62 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [1]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_96 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2415  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [1]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_74 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_68 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_95 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2416  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_76 ),
-	.Y(n_15572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2417  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_81 ),
-	.Y(n_15571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2418  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_63 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_61 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [1]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_89 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2419  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_62 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_60 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [1]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_88 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2420  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_61 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_59 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [1]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_87 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2421  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_60 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_58 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [1]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_86 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2422  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_59 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_57 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [1]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_85 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2423  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_58 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_56 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [1]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_84 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2424  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_1 ),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_56 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_46 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_83 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2425  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_1 ),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_41 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_57 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_82 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2426  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_65 ),
-	.Y(n_15570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2427  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [1]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_42 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_39 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_81 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2428  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [1]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_41 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_44 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_80 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2429  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [1]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_46 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_45 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_79 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2430  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [1]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_44 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_47 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_78 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2431  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [1]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_45 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_42 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_77 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2432  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [1]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_47 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_40 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_76 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2433  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_68 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_69 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2434  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_48 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_53 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_67 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2435  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_53 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_49 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_66 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2436  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_55 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_50 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_74 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2437  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_50 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_54 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_73 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2438  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_51 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_55 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_72 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2439  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_49 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_43 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_71 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2440  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_43 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_51 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_70 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2441  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_54 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_31 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_68 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2442  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [1]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_40 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_65 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2443  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_52 ),
-	.Y(n_15569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2444  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_3 ),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_31 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_34 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_63 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2445  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_34 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_37 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_62 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2446  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_37 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_36 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_61 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2447  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_36 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_35 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_60 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2448  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_35 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_33 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_59 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2449  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_33 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_32 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_58 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2450  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_32 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_38 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_57 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2451  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_38 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_25 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_56 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2452  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [4]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_17 ),
-	.B1(FE_DBTN8_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a_4),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_8 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_48 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2453  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [4]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_18 ),
-	.B1(FE_DBTN8_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a_4),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_55 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2454  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [4]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_14 ),
-	.B1(FE_DBTN8_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a_4),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_7 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_54 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2455  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [4]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_21 ),
-	.B1(FE_DBTN8_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a_4),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_9 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_53 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2456  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [1]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_39 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_52 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2457  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [4]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_15 ),
-	.B1(FE_DBTN8_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a_4),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_13 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_51 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2458  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [4]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_19 ),
-	.B1(FE_DBTN8_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a_4),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_10 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_50 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2459  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [4]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_20 ),
-	.B1(FE_DBTN8_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a_4),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_12 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_49 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2460  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_28 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_29 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_47 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2461  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_26 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_24 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_46 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2462  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_30 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_28 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_45 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2463  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_24 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_30 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_44 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2464  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [4]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_16 ),
-	.B1(FE_DBTN8_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a_4),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_11 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_43 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2465  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_29 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_23 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_42 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2466  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [0]),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_25 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [0]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_26 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_41 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2467  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_23 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_27 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_40 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2468  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_27 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_39 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2469  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [8]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [0]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_4 ),
-	.C1(FE_DBTN8_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a_4),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_38 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2470  (
-	.A1(\soc_top_u_top_u_core_fp_operands[0] [13]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [5]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_4 ),
-	.C1(FE_DBTN8_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a_4),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_37 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2471  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [12]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
-	.B1(\soc_top_u_top_u_core_fp_operands[0] [4]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_4 ),
-	.C1(FE_DBTN8_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a_4),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_36 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2472  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [11]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [3]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_4 ),
-	.C1(FE_DBTN8_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a_4),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_35 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2473  (
-	.A1(\soc_top_u_top_u_core_fp_operands[0] [14]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
-	.B1(\soc_top_u_top_u_core_fp_operands[0] [6]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_4 ),
-	.C1(FE_DBTN8_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a_4),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_34 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2474  (
-	.A1(\soc_top_u_top_u_core_fp_operands[0] [10]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
-	.B1(\soc_top_u_top_u_core_fp_operands[0] [2]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_4 ),
-	.C1(FE_DBTN8_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a_4),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_33 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2475  (
-	.A1(\soc_top_u_top_u_core_fp_operands[0] [9]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [1]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_4 ),
-	.C1(FE_DBTN8_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a_4),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_32 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2476  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [4]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_22 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_31 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2477  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [4]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_11 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_30 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2478  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [4]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_29 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2479  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [4]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_13 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_28 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2480  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [4]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_7 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_27 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2481  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [4]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_9 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_26 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2482  (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_8 ),
-	.B(FE_DBTN8_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a_4),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_25 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2483  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [4]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_12 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_24 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2484  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [4]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_10 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_23 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2485  (
-	.A1(\soc_top_u_top_u_core_fp_operands[0] [15]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
-	.B1(\soc_top_u_top_u_core_fp_operands[0] [7]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_4 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_22 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2486  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_4 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [22]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [14]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_21 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2487  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_4 ),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [21]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [13]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_20 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2488  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_4 ),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [17]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [9]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_19 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2489  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_4 ),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [18]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [10]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_18 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2490  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_4 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Hb_a_D ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
-	.B2(\soc_top_u_top_u_core_fp_operands[0] [15]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_17 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2491  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_4 ),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [20]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [12]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_16 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2492  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_4 ),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [19]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [11]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_15 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2493  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_4 ),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [16]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [8]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_14 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2494  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_4 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_13 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2495  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_4 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [5]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_12 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2496  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_4 ),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [4]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_11 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2497  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_4 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [1]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_10 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2498  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_4 ),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [6]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_9 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2499  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_4 ),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [7]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_8 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2500  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_4 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_7 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2501  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_4 ),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [2]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_6 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2503  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_4 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2504  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_3 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2505  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2506  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [1]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_1 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2388  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_92 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_103 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]),
-	.X(n_15568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2389  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_98 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_97 ),
-	.Y(n_15566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2390  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_97 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_87 ),
-	.Y(n_15562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2391  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_93 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_95 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]),
-	.X(n_15567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2392  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_96 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_86 ),
-	.Y(n_15561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2393  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_94 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_96 ),
-	.Y(n_15565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2394  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_103 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_89 ),
-	.Y(n_15564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2395  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_95 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_88 ),
-	.Y(n_15563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2396  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_83 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_77 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]),
-	.X(n_15553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2397  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_89 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_85 ),
-	.Y(n_15560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2398  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_88 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_84 ),
-	.Y(n_15559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2399  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_80 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_85 ),
-	.Y(n_15556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2400  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_79 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_84 ),
-	.Y(n_15555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2401  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_78 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_82 ),
-	.Y(n_15554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2402  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_87 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_82 ),
-	.Y(n_15558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2403  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_83 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_86 ),
-	.Y(n_15557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2404  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_80 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_76 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]),
-	.X(n_15552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2405  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_79 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_81 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]),
-	.X(n_15551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2406  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_78 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_65 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]),
-	.X(n_15550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2407  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_77 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_52 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]),
-	.X(n_15549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2408  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_71 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_72 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_98 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2409  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_72 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_73 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_103 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2410  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_70 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_74 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_94 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2411  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_66 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_70 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_93 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2412  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_67 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_71 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_92 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2413  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_73 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_63 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_97 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2414  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_69 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_62 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_96 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2415  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_74 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_68 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_95 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2416  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_76 ),
-	.Y(n_15548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2417  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_81 ),
-	.Y(n_15547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2418  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_63 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_61 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_89 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2419  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_62 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_60 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_88 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2420  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_61 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_59 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_87 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2421  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_60 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_58 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_86 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2422  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_59 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_57 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_85 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2423  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_58 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_56 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_84 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2424  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_1 ),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_56 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_46 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_83 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2425  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_1 ),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_41 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_57 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_82 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2426  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_65 ),
-	.Y(n_15546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2427  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_42 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_39 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_81 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2428  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_41 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_44 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_80 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2429  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_46 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_45 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_79 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2430  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_44 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_47 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_78 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2431  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_45 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_42 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_77 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2432  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_47 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_1 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_40 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_76 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2433  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_68 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_69 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2434  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_48 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_53 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_67 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2435  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_53 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_49 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_66 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2436  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_55 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_50 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_74 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2437  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_50 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_54 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_73 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2438  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_51 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_55 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_72 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2439  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_49 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_43 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_71 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2440  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_43 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_51 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_70 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2441  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_54 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_31 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_68 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2442  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_40 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_65 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2443  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_52 ),
-	.Y(n_15545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2444  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_31 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_34 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_63 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2445  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_34 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_37 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_62 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2446  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_37 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_36 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_61 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2447  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_36 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_35 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_60 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2448  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_35 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_33 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_59 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2449  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_33 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_32 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_58 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2450  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_32 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_38 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_57 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2451  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_38 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_25 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_56 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2452  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [4]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_17 ),
-	.B1(FE_DBTN9_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b_4),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_8 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_48 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2453  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [4]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_18 ),
-	.B1(FE_DBTN9_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b_4),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_55 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2454  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [4]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_14 ),
-	.B1(FE_DBTN9_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b_4),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_7 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_54 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2455  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [4]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_21 ),
-	.B1(FE_DBTN9_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b_4),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_9 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_53 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2456  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_39 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_52 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2457  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [4]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_15 ),
-	.B1(FE_DBTN9_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b_4),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_13 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_51 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2458  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [4]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_19 ),
-	.B1(FE_DBTN9_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b_4),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_10 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_50 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2459  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [4]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_20 ),
-	.B1(FE_DBTN9_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b_4),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_12 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_49 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2460  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_28 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_29 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_47 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2461  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_26 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_24 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_46 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2462  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_30 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_28 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_45 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2463  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_24 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_30 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_44 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2464  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [4]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_16 ),
-	.B1(FE_DBTN9_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b_4),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_11 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_43 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2465  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_29 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_23 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_42 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2466  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [0]),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_25 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [0]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_26 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_41 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2467  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_23 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_27 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_40 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2468  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_27 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_39 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2469  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [8]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
-	.B1(soc_top_u_top_u_core_lsu_wdata[0]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
-	.C1(FE_DBTN9_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b_4),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_38 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2470  (
-	.A1(soc_top_u_top_u_core_lsu_wdata[13]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [5]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
-	.C1(FE_DBTN9_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b_4),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_37 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2471  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [12]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [4]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
-	.C1(FE_DBTN9_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b_4),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_36 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2472  (
-	.A1(soc_top_u_top_u_core_lsu_wdata[11]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
-	.B1(soc_top_u_top_u_core_lsu_wdata[3]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
-	.C1(FE_DBTN9_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b_4),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_35 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2473  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [14]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [6]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
-	.C1(FE_DBTN9_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b_4),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_34 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2474  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [10]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [2]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
-	.C1(FE_DBTN9_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b_4),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_33 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2475  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [9]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [1]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
-	.C1(FE_DBTN9_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b_4),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_32 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2476  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [4]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_22 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_31 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2477  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [4]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_11 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_30 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2478  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [4]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_29 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2479  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [4]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_13 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_28 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2480  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [4]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_7 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_27 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2481  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [4]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_9 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_26 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2482  (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_8 ),
-	.B(FE_DBTN9_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b_4),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_25 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2483  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [4]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_12 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_24 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2484  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [4]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_10 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_23 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2485  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [15]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [7]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_22 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2486  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [22]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [14]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_21 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2487  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
-	.A2(soc_top_u_top_u_core_lsu_wdata[21]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
-	.B2(soc_top_u_top_u_core_lsu_wdata[13]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_20 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2488  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
-	.A2(soc_top_u_top_u_core_lsu_wdata[17]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [9]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_19 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2489  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [18]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [10]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_18 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2490  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Hb_b_D ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [15]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_17 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2491  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [20]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [12]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_16 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2492  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [19]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
-	.B2(soc_top_u_top_u_core_lsu_wdata[11]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_15 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2493  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [16]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [8]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_14 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2494  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
-	.B(soc_top_u_top_u_core_lsu_wdata[3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_13 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2495  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [5]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_12 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2496  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [4]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_11 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2497  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [1]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_10 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2498  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [6]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_9 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2499  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [7]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_8 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2500  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
-	.B(soc_top_u_top_u_core_lsu_wdata[0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_7 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2501  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [2]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_6 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2503  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2504  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2505  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2506  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_1 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g707  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_26 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_61 ),
-	.C(soc_top_u_top_u_core_lsu_wdata[31]),
-	.X(n_16963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g708  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_27 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_60 ),
-	.C(soc_top_u_top_u_core_lsu_wdata[30]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_61 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g709  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_6 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_59 ),
-	.C(soc_top_u_top_u_core_lsu_wdata[29]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_60 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g710  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_11 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_58 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][5] ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_59 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g711  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_2 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_57 ),
-	.C(soc_top_u_top_u_core_lsu_wdata[27]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_58 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g712  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_22 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_56 ),
-	.C(soc_top_u_top_u_core_lsu_wdata[26]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_57 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g713  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_21 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_55 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][2] ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_56 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g714  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_29 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_54 ),
-	.C(soc_top_u_top_u_core_lsu_wdata[24]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_55 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g715  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_18 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_53 ),
-	.C(soc_top_u_top_u_core_lsu_wdata[23]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_54 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g716  (
-	.A(FE_DBTN21_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_0_value_mantissa_22),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_52 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [22]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_53 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g717  (
-	.A(FE_DBTN24_soc_top_u_top_u_core_fp_operands_0_21),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_51 ),
-	.C(soc_top_u_top_u_core_lsu_wdata[21]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_52 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g718  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_3 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_50 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [20]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_51 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g719  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_25 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_49 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [19]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_50 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g720  (
-	.A(FE_DBTN23_soc_top_u_top_u_core_fp_operands_0_18),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_48 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [18]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_49 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g721  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_17 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_47 ),
-	.C(soc_top_u_top_u_core_lsu_wdata[17]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_48 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g722  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_20 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_46 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [16]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_47 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g723  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_19 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_45 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [15]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_46 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g724  (
-	.A(FE_DBTN22_soc_top_u_top_u_core_fp_operands_0_14),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_44 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [14]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_45 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g725  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_7 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_43 ),
-	.C(soc_top_u_top_u_core_lsu_wdata[13]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_44 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g726  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_4 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_42 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [12]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_43 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g727  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_28 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_41 ),
-	.C(soc_top_u_top_u_core_lsu_wdata[11]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_42 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g728  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_24 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_40 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [10]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_41 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g729  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_15 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_39 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [9]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_40 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g730  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_13 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_38 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [8]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_39 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g731  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_5 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_37 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [7]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_38 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g732  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_0 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_36 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [6]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_37 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g733  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_9 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_35 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [5]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_36 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g734  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_1 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_34 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [4]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_35 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g735  (
-	.A(FE_DBTN20_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_0_value_mantissa_3),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_33 ),
-	.C(soc_top_u_top_u_core_lsu_wdata[3]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_34 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g736  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_23 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_32 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [2]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_33 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g737  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [1]),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_31 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [1]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_30 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_32 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g738  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [1]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_30 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_31 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g739  (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [0]),
-	.B(soc_top_u_top_u_core_lsu_wdata[0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_30 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g741  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [11]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_28 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g744  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [19]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_25 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g749  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [16]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_20 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g750  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [15]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_19 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g752  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [17]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_17 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g754  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [9]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_15 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g756  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [8]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_13 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g760  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [5]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_9 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g762  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [13]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_7 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g764  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [7]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_5 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g765  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [12]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_4 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g766  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [20]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_3 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g768  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [4]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_1 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g500  (
-	.A1_N(\soc_top_u_top_u_core_fp_operands[0] [31]),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_61 ),
-	.B1(\soc_top_u_top_u_core_fp_operands[0] [31]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_61 ),
-	.Y(n_16945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g501  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_59 ),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [30]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_61 ),
-	.Y(n_16944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g502  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [30]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_59 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_61 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g503  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_57 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][6] ),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_59 ),
-	.Y(n_16943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g504  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][6] ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_57 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_59 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g505  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_55 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][5] ),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_57 ),
-	.Y(n_16942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g506  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][5] ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_55 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_57 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g507  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_53 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][4] ),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_55 ),
-	.Y(n_16941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g508  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][4] ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_53 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_55 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g509  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_51 ),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [26]),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_53 ),
-	.Y(n_16940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g510  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [26]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_51 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_53 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g511  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_49 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][2] ),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_51 ),
-	.Y(n_16939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g512  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][2] ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_49 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_51 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g513  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_47 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][1] ),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_49 ),
-	.Y(n_16938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g514  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][1] ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_47 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_49 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g515  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_45 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][0] ),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_47 ),
-	.Y(n_16937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g516  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][0] ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_45 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_47 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g517  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_43 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [22]),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_45 ),
-	.Y(n_16936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g518  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [22]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_43 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_45 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g519  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_41 ),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [21]),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_43 ),
-	.Y(n_16935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g520  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [21]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_41 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_43 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g521  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_39 ),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [20]),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_41 ),
-	.Y(n_16934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g522  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [20]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_39 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_41 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g523  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_37 ),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [19]),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_39 ),
-	.Y(n_16933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g524  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [19]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_37 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_39 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g525  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_35 ),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [18]),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_37 ),
-	.Y(n_16932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g526  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [18]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_35 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_37 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g527  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_33 ),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [17]),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_35 ),
-	.Y(n_16931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g528  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [17]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_33 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_35 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g529  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_31 ),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [16]),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_33 ),
-	.Y(n_16930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g530  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [16]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_31 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_33 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g531  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_29 ),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [15]),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_31 ),
-	.Y(n_16929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g532  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [15]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_29 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_31 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g533  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_27 ),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [14]),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_29 ),
-	.Y(n_16928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g534  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [14]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_27 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_29 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g535  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_25 ),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [13]),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_27 ),
-	.Y(n_16927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g536  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [13]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_25 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_27 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g537  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_23 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [12]),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_25 ),
-	.Y(n_16926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g538  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [12]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_23 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_25 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g539  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_21 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [11]),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_23 ),
-	.Y(n_16925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g540  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [11]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_21 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_23 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g541  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_19 ),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [10]),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_21 ),
-	.Y(n_16924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g542  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [10]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_19 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_21 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g543  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_17 ),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [9]),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_19 ),
-	.Y(n_16923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g544  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [9]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_17 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_19 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g545  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_15 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [8]),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_17 ),
-	.Y(n_16922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g546  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [8]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_15 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_17 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g547  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_13 ),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [7]),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_15 ),
-	.Y(n_16921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g548  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [7]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_13 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_15 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g549  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_11 ),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [6]),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_13 ),
-	.Y(n_16920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g550  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [6]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_11 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_13 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g551  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [5]),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_11 ),
-	.Y(n_16919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g552  (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [5]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_8 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_11 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g553  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_8 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_10 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g554  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_6 ),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [4]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_8 ),
-	.Y(n_16918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g555  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [4]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_8 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g556  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_5 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [3]),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_6 ),
-	.Y(n_16917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g557  (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [3]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_6 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g558  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_5 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g559  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_1 ),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_3 ),
-	.Y(n_16916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g560  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [2]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_3 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g561  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [1]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_0 ),
-	.Y(n_16915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g562  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_0 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_1 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g563  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [1]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_0 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2617  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_123 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_140 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2618  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_121 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_139 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2619  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_136 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_122 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2620  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_124 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_138 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2621  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_131 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_136 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2622  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_138 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_137 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2623  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_140 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_130 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2624  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_137 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_118 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2625  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_128 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_126 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2626  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_130 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_117 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2627  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_122 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_128 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2628  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_129 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_127 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2629  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_139 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_129 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2630  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_127 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_125 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2631  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_126 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_124 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2632  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_125 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_123 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2633  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_118 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_116 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2634  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_117 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_115 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2635  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_116 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_114 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2636  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_115 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_113 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2637  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_114 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_112 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2638  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_113 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_111 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2639  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_112 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_94 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2640  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_111 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_93 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2641  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_94 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_92 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2642  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_93 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_91 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2643  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_92 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_80 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2644  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_91 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_79 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2645  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_90 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_107 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_131 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2646  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_110 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_109 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_140 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2647  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_99 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_102 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_139 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2648  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_95 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_110 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_138 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2649  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_109 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_108 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_137 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2650  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_104 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_99 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_136 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2651  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_107 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_104 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_121 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2652  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_108 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_85 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_130 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2653  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_105 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_103 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_129 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2654  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_103 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_96 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_128 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2655  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_96 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_98 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_127 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2656  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_98 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_106 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_126 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2657  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_106 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_97 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_125 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2658  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_97 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_100 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_124 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2659  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_100 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_95 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_123 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2660  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_102 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_105 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_122 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2661  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_80 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_78 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2662  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_79 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_76 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.X(n_16885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2663  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_85 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_87 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_118 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2664  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_87 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_88 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_117 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2665  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_88 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_89 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_116 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2666  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_89 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_86 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_115 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2667  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_86 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_83 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_114 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2668  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_83 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_82 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_113 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2669  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_82 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_81 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_112 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2670  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_81 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_74 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_111 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2671  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_78 ),
-	.Y(n_16884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2672  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_67 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_47 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_110 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2673  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_64 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_45 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_109 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2674  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_66 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_50 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_108 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2675  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_61 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_72 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_107 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2676  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_72 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_48 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_106 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2677  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_59 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_67 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_105 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2678  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_69 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_63 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_104 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2679  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_60 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_64 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_103 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2680  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_70 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_75 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_102 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2681  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_57 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_62 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_90 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2682  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_65 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_41 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_100 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2683  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_68 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_65 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_99 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2684  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_62 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_51 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_98 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2685  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_63 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_42 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_97 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2686  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_58 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_66 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_96 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2687  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_75 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_56 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_95 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2688  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_74 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_73 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_94 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2689  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_73 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_71 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_93 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2690  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_71 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_77 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_92 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2691  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_77 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_54 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_91 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2692  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_76 ),
-	.Y(n_16883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2693  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_41 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_43 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_89 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2694  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_42 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_44 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_88 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2695  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_48 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_46 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_87 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2696  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_56 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_37 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_86 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2697  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_51 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_49 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_85 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2698  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_47 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_39 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_83 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2699  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_45 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_38 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_82 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2700  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_50 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_40 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_81 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2701  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_54 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_53 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_80 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2702  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_53 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_52 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_79 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2703  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_52 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_55 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_78 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2704  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_24 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_30 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_70 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2705  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_32 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_26 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_69 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2706  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_31 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_29 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_68 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2707  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_43 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_77 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2708  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_55 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_76 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2709  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_36 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_16 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_75 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2710  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_49 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_74 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2711  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_46 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_73 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2712  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_33 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_35 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_72 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2713  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_44 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_71 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2714  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_22 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_27 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_61 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2715  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_26 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_28 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_60 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2716  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_27 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_33 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_59 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2717  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_29 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_25 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_58 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2718  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_21 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_24 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_57 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2719  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_35 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_6 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_67 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2720  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_23 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_18 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_66 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2721  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_25 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_23 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_65 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2722  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_34 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_12 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_64 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2723  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_28 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_34 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_63 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2724  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_30 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_36 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_62 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2725  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_9 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_56 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2726  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_40 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_55 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2727  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_37 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_54 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2728  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_39 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_53 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2729  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_38 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_52 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2730  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_16 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_10 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_51 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2731  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_13 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_20 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_50 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2732  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_9 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_11 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_49 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2733  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_6 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_5 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_48 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2734  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_5 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_15 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_47 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2735  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_15 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_14 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_46 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2736  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_7 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_8 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_45 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2737  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_8 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_19 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_44 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2738  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_20 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_17 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_43 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2739  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_12 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_7 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_42 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2740  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_18 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_13 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_41 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2741  (
-	.A1(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [29]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [4]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [13]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_32 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2742  (
-	.A1(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [28]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [4]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [12]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_31 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2743  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_17 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_40 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2744  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_14 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_39 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2745  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_19 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_38 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2746  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_11 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_37 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2747  (
-	.A1(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [19]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [4]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_36 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2748  (
-	.A1(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [18]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [4]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [2]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_35 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2749  (
-	.A1(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [17]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [4]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [1]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_34 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2750  (
-	.A1(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [22]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [4]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [6]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_33 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2751  (
-	.A1(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [30]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [4]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [14]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_22 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2752  (
-	.A1(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [31]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [4]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [15]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_21 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2753  (
-	.A1(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [23]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [4]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [7]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_30 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2754  (
-	.A1(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [24]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [4]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [8]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_29 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2755  (
-	.A1(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [21]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [4]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [5]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_28 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2756  (
-	.A1(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [26]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [4]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [10]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_27 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2757  (
-	.A1(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [25]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [4]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [9]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_26 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2758  (
-	.A1(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [20]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [4]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [4]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_25 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2759  (
-	.A1(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [27]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [4]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [11]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_24 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2760  (
-	.A1(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [16]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [4]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_23 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2761  (
-	.A(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [4]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_20 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2762  (
-	.A(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [1]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_19 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2763  (
-	.A(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [12]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_18 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2764  (
-	.A(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_17 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2765  (
-	.A(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [15]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_16 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2766  (
-	.A(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [6]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_15 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2767  (
-	.A(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [2]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_14 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2768  (
-	.A(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [8]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_13 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2769  (
-	.A(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [13]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_12 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2770  (
-	.A(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_11 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2771  (
-	.A(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [11]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_10 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2772  (
-	.A(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [7]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_9 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2773  (
-	.A(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [5]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_8 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2774  (
-	.A(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [9]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_7 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2775  (
-	.A(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [14]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_6 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2776  (
-	.A(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [10]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_5 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2778  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2779  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_2 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2780  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_1 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g2781  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6529  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_322 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_291 ),
-	.Y(n_16764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6533  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_326 ),
-	.B1(n_16849),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_327 ),
-	.Y(n_16747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6534  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_344 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_299 ),
-	.Y(n_16774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6535  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_343 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_298 ),
-	.Y(n_16773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6536  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_342 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_297 ),
-	.Y(n_16772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6537  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_346 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_278 ),
-	.Y(n_16771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6538  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_340 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_289 ),
-	.Y(n_16770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6539  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_339 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_288 ),
-	.Y(n_16769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6540  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_338 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_286 ),
-	.Y(n_16768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6542  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_321 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_282 ),
-	.Y(n_16766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6543  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_323 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_281 ),
-	.Y(n_16765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6544  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_325 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_283 ),
-	.Y(n_16779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6545  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_326 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_325 ),
-	.Y(n_16763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6551  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_316 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_344 ),
-	.Y(n_16758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6552  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_315 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_343 ),
-	.Y(n_16757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6553  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_314 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_342 ),
-	.Y(n_16756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6554  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_320 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_346 ),
-	.Y(n_16755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6555  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_312 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_340 ),
-	.Y(n_16754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6556  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_311 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_339 ),
-	.Y(n_16753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6557  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_310 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_338 ),
-	.Y(n_16752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6559  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_308 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_321 ),
-	.Y(n_16750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6560  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_307 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_323 ),
-	.Y(n_16749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6561  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_306 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_322 ),
-	.Y(n_16748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6562  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_297 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_275 ),
-	.Y(n_16822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6563  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_301 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_272 ),
-	.Y(n_16825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6564  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_299 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_273 ),
-	.Y(n_16824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6565  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_298 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_276 ),
-	.Y(n_16823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6566  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_304 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_238 ),
-	.Y(n_16826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6567  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_278 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_285 ),
-	.Y(n_16820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6568  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_289 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_280 ),
-	.Y(n_16819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6569  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_288 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_305 ),
-	.Y(n_16818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6570  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_291 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_287 ),
-	.Y(n_16813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6571  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_284 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_300 ),
-	.Y(n_16816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6572  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_282 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_296 ),
-	.Y(n_16815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6573  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_281 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_290 ),
-	.Y(n_16814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6574  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_286 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_302 ),
-	.Y(n_16817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6575  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_303 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_237 ),
-	.Y(n_16827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6576  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_283 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_169 ),
-	.Y(n_16829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6577  (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_279 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_205 ),
-	.Y(n_16828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6578  (
-	.A(n_16849),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_302 ),
-	.Y(n_16834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6579  (
-	.A(n_16849),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_300 ),
-	.Y(n_16833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6580  (
-	.A(n_16849),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_296 ),
-	.Y(n_16832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6581  (
-	.A(n_16849),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_290 ),
-	.Y(n_16831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6582  (
-	.A(n_16849),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_280 ),
-	.Y(n_16836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6583  (
-	.A(n_16849),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_287 ),
-	.Y(n_16830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6584  (
-	.A(n_16849),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_305 ),
-	.Y(n_16835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6585  (
-	.A(n_16849),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_285 ),
-	.Y(n_16837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6586  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_251 ),
-	.A2(n_16848),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_259 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_327 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6587  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_266 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_246 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_346 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6588  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_270 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_221 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_345 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6589  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_269 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_235 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_344 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6590  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_268 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_227 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_343 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6591  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_267 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_223 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_342 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6592  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_271 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_210 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_341 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6593  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_265 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_274 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_340 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6594  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_264 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_277 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_339 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6595  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_263 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_271 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_338 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6596  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_247 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_270 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_337 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6597  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_277 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_217 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_336 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6598  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_251 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_245 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_320 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6599  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_257 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_264 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_319 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6600  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_256 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_263 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_318 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6601  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_255 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_247 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_317 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6602  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_254 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_250 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_316 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6603  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_253 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_249 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_315 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6604  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_252 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_248 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_314 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6605  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_258 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_265 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_313 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6606  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_243 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_258 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_312 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6607  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_242 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_257 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_311 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6608  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_241 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_256 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_310 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6609  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_240 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_255 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_309 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6610  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_239 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_254 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_308 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6611  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_244 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_253 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_307 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6612  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_262 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_252 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_306 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6613  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_245 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_266 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_326 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6614  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_246 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_222 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_325 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6615  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_274 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_219 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_324 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6616  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_249 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_268 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_323 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6617  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_248 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_267 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_322 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6618  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_250 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_269 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_321 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6619  (
-	.A(n_16849),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_272 ),
-	.Y(n_16841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6620  (
-	.A(n_16849),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_273 ),
-	.Y(n_16840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6621  (
-	.A(n_16849),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_275 ),
-	.Y(n_16838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6622  (
-	.A(n_16849),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_276 ),
-	.Y(n_16839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6623  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_236 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_207 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_305 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6624  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_234 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_231 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_304 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6625  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_213 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_236 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_303 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6626  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_231 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_199 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_302 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6627  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_232 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_229 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_301 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6628  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_229 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_220 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_300 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6629  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_230 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_208 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_299 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6630  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_228 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_218 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_298 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6631  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_226 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_215 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_297 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6632  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_208 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_209 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_296 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6633  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_223 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_226 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_291 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6634  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_218 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_233 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_290 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6635  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_219 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_211 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_289 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6636  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_217 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_213 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_288 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6637  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_215 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_287 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6638  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_210 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_234 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_286 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6639  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_216 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_137 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_285 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6640  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_221 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_232 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_284 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6641  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_214 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_216 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_283 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6642  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_235 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_230 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_282 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6643  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_227 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_228 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_281 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6644  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_212 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_155 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_280 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6645  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_211 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_212 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_279 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6646  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_222 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_214 ),
-	.B2(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_278 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6647  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_173 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_185 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_262 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6648  (
-	.A(n_16849),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_238 ),
-	.Y(n_16842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6649  (
-	.A(n_16849),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_237 ),
-	.Y(n_16843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6650  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_180 ),
-	.B1(n_16847),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_171 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_259 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6651  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_203 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_142 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_277 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6652  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_233 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_276 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6653  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_275 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6654  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_200 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_144 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_274 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6655  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_209 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_273 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6656  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_220 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_272 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6657  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_202 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_141 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_271 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6658  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_206 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_177 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_270 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6659  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_198 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_200 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_269 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6660  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_197 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_203 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_268 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6661  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_195 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_202 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_267 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6662  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_194 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_206 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_266 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6663  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_201 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_198 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_265 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6664  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_193 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_197 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_264 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6665  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_204 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_195 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_263 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6666  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_174 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_181 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_244 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6667  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_196 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_192 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_243 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6668  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_181 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_183 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_242 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6669  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_185 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_184 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_241 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6670  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_180 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_182 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_240 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6671  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_172 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_196 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_239 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6672  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_187 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_176 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_258 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6673  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_186 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_189 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_257 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6674  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_179 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_175 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_256 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6675  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_178 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_188 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_255 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6676  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_192 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_187 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_254 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6677  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_183 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_186 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_253 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6678  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_184 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_179 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_252 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6679  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_182 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_178 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_251 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6680  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_176 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_201 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_250 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6681  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_189 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_193 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_249 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6682  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_175 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_204 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_248 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6683  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_191 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_194 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_247 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6684  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_177 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_145 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_246 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6685  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_188 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_191 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_245 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6686  (
-	.A(n_16849),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_205 ),
-	.Y(n_16844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6687  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_199 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_238 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6688  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_207 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_237 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6689  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_167 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_159 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_236 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6690  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_144 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_153 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_235 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6691  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_166 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_148 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_234 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6692  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_159 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_147 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_233 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6693  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_163 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_143 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_232 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6694  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_162 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_170 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_231 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6695  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_161 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_154 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_230 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6696  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_158 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_146 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_229 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6697  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_157 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_168 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_228 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6698  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_142 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_151 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_227 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6699  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_156 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_166 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_226 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6700  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_170 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_164 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6701  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_141 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_149 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_223 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6702  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_139 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_163 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_222 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6703  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_145 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_139 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_221 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6704  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_150 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_88 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_220 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6705  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_153 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_161 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_219 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6706  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_152 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_167 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_218 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6707  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_151 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_157 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_217 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6708  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_146 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_150 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_216 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6709  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_148 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_162 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_215 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6710  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_143 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_158 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_214 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6711  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_168 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_152 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_213 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6712  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_165 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_140 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_212 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6713  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_154 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_160 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_211 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6714  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_149 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_156 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_210 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6715  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_140 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_209 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6716  (
-	.A1(n_16847),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_160 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_165 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_208 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6718  (
-	.A(n_16847),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_147 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_207 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6719  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_121 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_132 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_206 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6720  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_155 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_205 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6721  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_113 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_127 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_204 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6722  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_132 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_108 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_203 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6723  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_131 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_111 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_202 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6724  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_127 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_110 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_201 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6725  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_111 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_75 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_200 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6726  (
-	.A(n_16847),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_164 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_199 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6727  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_128 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_131 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_198 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6728  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_124 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_121 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_197 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6729  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_112 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_123 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_196 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6730  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_110 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_128 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_195 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6731  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_114 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_124 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_194 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6732  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_126 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_114 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_193 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6733  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_116 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_133 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_192 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6734  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_122 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_126 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_191 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6735  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_129 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_118 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_174 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6736  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_105 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_109 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_173 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6737  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_109 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_130 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_172 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6738  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_129 ),
-	.A2(n_16846),
-	.B1(n_17574),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_171 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6739  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_115 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_122 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_189 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6740  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_134 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_115 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_188 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6741  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_120 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_125 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_187 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6742  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_135 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_134 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_186 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6743  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_130 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_112 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_185 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6744  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_123 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_116 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_184 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6745  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_119 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_107 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_183 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6746  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_117 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_119 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_182 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6747  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_106 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_117 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_181 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6748  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_118 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_106 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_180 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6749  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_133 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_120 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_179 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6750  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_107 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_135 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_178 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6751  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_108 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_90 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_177 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6752  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_136 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_113 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_176 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6753  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_125 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_136 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_175 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6754  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_78 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_98 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_170 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6755  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_137 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_169 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6756  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_100 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_82 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_168 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6757  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_97 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_80 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_167 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6758  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_95 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_83 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_166 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6759  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_99 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_78 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_165 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6760  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_89 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_93 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_164 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6761  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_96 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_100 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_163 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6762  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_92 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_99 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_162 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6763  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_73 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_95 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_161 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6764  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_74 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_92 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_160 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6765  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_91 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_81 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_159 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6766  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_79 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_97 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_158 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6767  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_72 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_96 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_157 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6768  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_87 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_73 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_156 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6769  (
-	.A(n_16847),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_155 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6770  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_83 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_84 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_154 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6771  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_102 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_87 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_153 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6772  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_76 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_79 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_152 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6773  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_94 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_103 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_151 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6774  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_81 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_85 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_150 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6775  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_77 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_102 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_149 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6776  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_84 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_74 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_148 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6777  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_85 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_56 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_147 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6778  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_80 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_91 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_146 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6779  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_101 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_94 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_145 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6780  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_86 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_77 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_144 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6781  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_82 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_76 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_143 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6782  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_90 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_101 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_142 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6783  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_75 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_86 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_141 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6784  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_98 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_89 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_140 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6785  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_103 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_72 ),
-	.B2(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_139 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6786  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_93 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6787  (
-	.A(n_16847),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_88 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_137 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6788  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_44 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_45 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_136 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6789  (
-	.A1(n_16845),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_46 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_50 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_135 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6790  (
-	.A1(n_16845),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_64 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_53 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_134 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6791  (
-	.A1(n_16845),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_60 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_46 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_133 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6792  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_67 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_42 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_132 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6793  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_65 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_67 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_131 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6794  (
-	.A1(n_16845),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_52 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_55 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_130 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6795  (
-	.A1(n_16845),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_49 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_54 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_129 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6796  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_59 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_47 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_128 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6797  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_48 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_57 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_127 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6798  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_51 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_48 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_126 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6799  (
-	.A1(n_16845),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_53 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_71 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_125 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6800  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_58 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_59 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_124 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6801  (
-	.A1(n_16845),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_70 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_41 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_123 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6802  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_45 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_61 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_122 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6803  (
-	.A1(n_16845),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_40 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_49 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_105 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6805  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_47 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_65 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_121 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6806  (
-	.A1(n_16845),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_50 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_64 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_120 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6807  (
-	.A1(n_16845),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_41 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_39 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_119 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6808  (
-	.A1(n_16845),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_43 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_52 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_118 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6809  (
-	.A1(n_16845),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_68 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_70 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_117 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6810  (
-	.A1(n_16845),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_39 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_69 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_116 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6811  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_71 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_44 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_115 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6812  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_57 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_66 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_114 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6813  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_61 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_51 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_113 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6814  (
-	.A1(n_16845),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_63 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_68 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_112 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6815  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_42 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_62 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_111 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6816  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_66 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_58 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_110 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6817  (
-	.A1(n_16845),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_54 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_43 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_109 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6818  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_62 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_18 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_108 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6819  (
-	.A1(n_16845),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_69 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_60 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_107 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6820  (
-	.A1(n_16845),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_55 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_63 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_106 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6821  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_38 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_20 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_103 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6822  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_33 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_38 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_102 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6823  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_23 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_36 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_101 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6824  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_28 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_32 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_100 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6825  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_29 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_21 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_99 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6826  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_24 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_16 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_98 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6827  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_13 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_29 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_97 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6828  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_30 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_8 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_96 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6829  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_8 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_28 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_95 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6830  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_25 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_33 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_94 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6831  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_17 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_22 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_93 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6832  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_12 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_13 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_92 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6833  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_11 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_24 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_91 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6834  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_34 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_31 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_90 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6835  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_9 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_14 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_89 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6836  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_56 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_88 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6837  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_20 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_15 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_87 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6838  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_31 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_23 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_86 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6839  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_14 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_17 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_85 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6840  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_19 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_7 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_84 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6841  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_32 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_26 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_83 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6842  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_26 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_19 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_82 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6843  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_16 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_9 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_81 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6844  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_21 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_10 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_80 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6845  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_27 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_12 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_79 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6846  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_10 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_11 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_78 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6847  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_36 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_25 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_77 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6848  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_7 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_37 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_76 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6849  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_18 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_34 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_75 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6850  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_37 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_27 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_74 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6851  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_35 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_30 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_73 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6852  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_15 ),
-	.A2(n_16845),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_35 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_72 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6853  (
-	.A1(n_16868),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_71 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6854  (
-	.A1(n_16859),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_70 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6855  (
-	.A1(n_16862),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_69 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6856  (
-	.A1(n_16858),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_68 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6857  (
-	.A1(n_16880),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_67 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6858  (
-	.A1(n_16875),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_66 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6859  (
-	.A1(n_16879),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_65 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6860  (
-	.A1(n_16866),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_64 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6861  (
-	.A1(n_16857),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_63 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6862  (
-	.A1(n_16882),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_62 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6863  (
-	.A1(n_16871),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_61 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6864  (
-	.A1(n_16863),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_60 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6865  (
-	.A1(n_16877),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_59 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6866  (
-	.A1(n_16876),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_58 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6867  (
-	.A1(n_16874),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_57 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6868  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_22 ),
-	.B(n_16845),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_56 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6869  (
-	.A1(n_16856),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_55 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6870  (
-	.A1(n_16853),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_54 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6871  (
-	.A1(n_16867),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_53 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6872  (
-	.A1(n_16855),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_52 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6873  (
-	.A1(n_16872),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_51 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6874  (
-	.A1(n_16865),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_50 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6875  (
-	.A1(n_16852),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_49 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6876  (
-	.A1(n_16873),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_48 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6877  (
-	.A1(n_16878),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_47 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6878  (
-	.A1(n_16864),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_46 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6879  (
-	.A1(n_16870),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_45 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6880  (
-	.A1(n_16869),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_44 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6881  (
-	.A1(n_16854),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_43 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6882  (
-	.A1(n_16881),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_42 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6883  (
-	.A1(n_16860),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_41 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6884  (
-	.A1(n_16851),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_40 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6885  (
-	.A1(n_16861),
-	.A2(n_18425),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_39 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6886  (
-	.A(n_16858),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_38 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6887  (
-	.A(n_16869),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_37 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6888  (
-	.A(n_16855),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_36 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6889  (
-	.A(n_16861),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_35 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6890  (
-	.A(n_16852),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_34 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6891  (
-	.A(n_16857),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_33 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6892  (
-	.A(n_16865),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_32 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6893  (
-	.A(n_16853),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_31 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6894  (
-	.A(n_16862),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_30 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6895  (
-	.A(n_16873),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_29 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6896  (
-	.A(n_16864),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_28 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6897  (
-	.A(n_16870),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_27 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6898  (
-	.A(n_16866),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_26 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6899  (
-	.A(n_16856),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_25 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6900  (
-	.A(n_16877),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_24 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6901  (
-	.A(n_16854),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_23 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6902  (
-	.A(n_16882),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_22 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6903  (
-	.A(n_16874),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_21 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6904  (
-	.A(n_16859),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_20 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6905  (
-	.A(n_16867),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_19 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6906  (
-	.A(n_16851),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_18 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6907  (
-	.A(n_16881),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_17 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6908  (
-	.A(n_16878),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_16 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6909  (
-	.A(n_16860),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_15 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6910  (
-	.A(n_16880),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_14 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6911  (
-	.A(n_16872),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_13 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6912  (
-	.A(n_16871),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_12 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6913  (
-	.A(n_16876),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_11 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6914  (
-	.A(n_16875),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_10 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6915  (
-	.A(n_16879),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_9 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6916  (
-	.A(n_16863),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_8 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6917  (
-	.A(n_16868),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_7 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6919  (
-	.A(n_18425),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_5 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6920  (
-	.A(n_16846),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_4 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6921  (
-	.A(n_16845),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6922  (
-	.A(n_16849),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6923  (
-	.A(n_16848),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g6924  (
-	.A(n_16847),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g401 (
-	.A(soc_top_u_top_u_core_pc_if[31]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_60),
-	.X(n_15473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g402 (
-	.A(soc_top_u_top_u_core_pc_if[30]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_58),
-	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_60),
-	.SUM(n_15472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g403 (
-	.A(soc_top_u_top_u_core_pc_if[29]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_56),
-	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_58),
-	.SUM(n_15471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g404 (
-	.A(soc_top_u_top_u_core_pc_if[28]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_54),
-	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_56),
-	.SUM(n_15470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g405 (
-	.A(soc_top_u_top_u_core_pc_if[27]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_52),
-	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_54),
-	.SUM(n_15469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g406 (
-	.A(soc_top_u_top_u_core_pc_if[26]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_50),
-	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_52),
-	.SUM(n_15468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g407 (
-	.A(soc_top_u_top_u_core_pc_if[25]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_48),
-	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_50),
-	.SUM(n_15467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g408 (
-	.A(soc_top_u_top_u_core_pc_if[24]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_46),
-	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_48),
-	.SUM(n_15466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g409 (
-	.A(soc_top_u_top_u_core_pc_if[23]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_44),
-	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_46),
-	.SUM(n_15465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g410 (
-	.A(soc_top_u_top_u_core_pc_if[22]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_42),
-	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_44),
-	.SUM(n_15464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g411 (
-	.A(soc_top_u_top_u_core_pc_if[21]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_40),
-	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_42),
-	.SUM(n_15463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g412 (
-	.A(soc_top_u_top_u_core_pc_if[20]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_38),
-	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_40),
-	.SUM(n_15462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g413 (
-	.A(soc_top_u_top_u_core_pc_if[19]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_36),
-	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_38),
-	.SUM(n_15461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g414 (
-	.A(soc_top_u_top_u_core_pc_if[18]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_34),
-	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_36),
-	.SUM(n_15460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g415 (
-	.A(soc_top_u_top_u_core_pc_if[17]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_32),
-	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_34),
-	.SUM(n_15459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g416 (
-	.A(soc_top_u_top_u_core_pc_if[16]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_30),
-	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_32),
-	.SUM(n_15458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g417 (
-	.A(soc_top_u_top_u_core_pc_if[15]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_28),
-	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_30),
-	.SUM(n_15457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g418 (
-	.A(soc_top_u_top_u_core_pc_if[14]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_26),
-	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_28),
-	.SUM(n_15456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g419 (
-	.A(soc_top_u_top_u_core_pc_if[13]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_24),
-	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_26),
-	.SUM(n_15455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g420 (
-	.A(soc_top_u_top_u_core_pc_if[12]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_22),
-	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_24),
-	.SUM(n_15454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g421 (
-	.A(soc_top_u_top_u_core_pc_if[11]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_20),
-	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_22),
-	.SUM(n_15453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g422 (
-	.A(soc_top_u_top_u_core_pc_if[10]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_18),
-	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_20),
-	.SUM(n_15452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g423 (
-	.A(soc_top_u_top_u_core_pc_if[9]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_16),
-	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_18),
-	.SUM(n_15451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g424 (
-	.A(soc_top_u_top_u_core_pc_if[8]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_14),
-	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_16),
-	.SUM(n_15450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g425 (
-	.A(soc_top_u_top_u_core_pc_if[7]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_12),
-	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_14),
-	.SUM(n_15449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g426 (
-	.A(soc_top_u_top_u_core_pc_if[6]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_10),
-	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_12),
-	.SUM(n_15448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g427 (
-	.A(soc_top_u_top_u_core_pc_if[5]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_8),
-	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_10),
-	.SUM(n_15447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g428 (
-	.A(soc_top_u_top_u_core_pc_if[4]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_6),
-	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_8),
-	.SUM(n_15446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g429 (
-	.A(soc_top_u_top_u_core_pc_if[3]),
-	.B(n_18235),
-	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_6),
-	.SUM(n_15445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g430 (
-	.A1_N(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_1),
-	.A2_N(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_2),
-	.B1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_1),
-	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_2),
-	.Y(n_15444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g432 (
-	.A1(soc_top_u_top_u_core_pc_if[1]),
-	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_addr_incr_two),
-	.B1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_1),
-	.X(n_15443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g433 (
-	.A(soc_top_u_top_u_core_pc_if[2]),
-	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_addr_incr_two),
-	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g434 (
-	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_addr_incr_two),
-	.B(soc_top_u_top_u_core_pc_if[1]),
-	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484872 (
-	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(n_795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484873 (
-	.A(n_12111),
-	.Y(n_15195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g484874 (
-	.A(n_15186),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_6 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g484878 (
-	.A0(n_16461),
-	.A1(n_16386),
-	.S(FE_DBTN1_n_14791),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_gen_lzc.in_tmp [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_1 g484879 (
-	.A0(n_16445),
-	.A1(n_16370),
-	.S(FE_DBTN1_n_14791),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_gen_lzc.in_tmp [20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g2 (
-	.A(n_16570),
-	.B(n_14660),
-	.Y(n_17403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g484880 (
-	.A(n_14628),
-	.B(n_14643),
-	.Y(n_17404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g484881 (
-	.A(n_14614),
-	.B(n_14630),
-	.Y(n_17405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g484882 (
-	.A(n_14605),
-	.B(n_14529),
-	.Y(n_17406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2i_1 g484883 (
-	.A0(n_14497),
-	.A1(n_14552),
-	.S(n_14515),
-	.Y(n_17407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g484884 (
-	.A(n_16310),
-	.B(n_14653),
-	.Y(n_17408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484885 (
-	.A(n_15167),
-	.B(n_14664),
-	.X(n_17409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g484886 (
-	.A(n_17404),
-	.B(n_15240),
-	.Y(n_17410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41o_1 g484887 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_214 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_303 ),
-	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_302 ),
-	.A4(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_249 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.X(n_17411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41o_1 g484888 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_340 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_339 ),
-	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_368 ),
-	.A4(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_337 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.X(n_17412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41o_1 g484889 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_362 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_372 ),
-	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_335 ),
-	.A4(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_336 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.X(n_17413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41o_1 g484890 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_399 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_370 ),
-	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_373 ),
-	.A4(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_401 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.X(n_17414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g484891 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_338 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_334 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_10 ),
-	.X(n_17415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g484892 (
-	.A_N(soc_top_dccm_adapter_data_mem_u_rspfifo_n_638),
-	.B(n_15196),
-	.Y(n_17416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_4 g484893 (
-	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[21]),
-	.A1(n_15315),
-	.S(n_14948),
-	.X(n_17417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_4 g484894 (
-	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[27]),
-	.A1(n_18288),
-	.S(n_14948),
-	.X(n_17418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g484895 (
-	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[19]),
-	.A1(n_15313),
-	.S(n_14948),
-	.X(n_17419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g484896 (
-	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[17]),
-	.A1(n_15311),
-	.S(n_14948),
-	.X(n_17420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_4 g484897 (
-	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[26]),
-	.A1(n_18289),
-	.S(n_14948),
-	.X(n_17421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g484898 (
-	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[29]),
-	.A1(n_18290),
-	.S(n_14948),
-	.X(n_17422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_4 g484899 (
-	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[24]),
-	.A1(n_15318),
-	.S(n_14948),
-	.X(soc_top_u_top_u_core_fp_rf_wdata_wb[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_4 g484900 (
-	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[30]),
-	.A1(n_15324),
-	.S(n_14948),
-	.X(soc_top_u_top_u_core_fp_rf_wdata_wb[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g484901 (
-	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[23]),
-	.A1(n_15317),
-	.S(n_14948),
-	.X(n_17425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_4 g484902 (
-	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[13]),
-	.A1(n_15307),
-	.S(n_14948),
-	.X(soc_top_u_top_u_core_fp_rf_wdata_wb[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g484903 (
-	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[12]),
-	.A1(n_15306),
-	.S(n_14948),
-	.X(n_17427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g484904 (
-	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[11]),
-	.A1(n_15305),
-	.S(n_14948),
-	.X(n_17428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g484905 (
-	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[8]),
-	.A1(n_15302),
-	.S(n_14948),
-	.X(n_17429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g484906 (
-	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[6]),
-	.A1(n_18297),
-	.S(n_14948),
-	.X(n_17430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g484907 (
-	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[5]),
-	.A1(n_18298),
-	.S(n_14948),
-	.X(n_17431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_4 g484908 (
-	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[3]),
-	.A1(n_15329),
-	.S(n_14948),
-	.X(soc_top_u_top_u_core_fp_rf_wdata_wb[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g484909 (
-	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[1]),
-	.A1(n_15327),
-	.S(n_14948),
-	.X(n_17433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ba_2 g484910 (
-	.A1(n_11981),
-	.A2(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
-	.B1_N(n_11306),
-	.X(n_17434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4b_1 g484912 (
-	.A(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
-	.B(n_15195),
-	.C(n_15425),
-	.D_N(n_9529),
-	.X(n_17436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g484913 (
-	.A(n_14891),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2972),
-	.C(n_12620),
-	.X(n_17437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_1 g484914 (
-	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[31]),
-	.A1(n_18212),
-	.S(n_14948),
-	.X(n_17438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g484915 (
-	.A1(n_18430),
-	.A2(n_8821),
-	.B1_N(n_8663),
-	.Y(n_17440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_1 g484916 (
-	.A0(n_8504),
-	.A1(n_8584),
-	.S(n_8499),
-	.X(n_17441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g484917 (
-	.A(n_8428),
-	.B(n_8424),
-	.Y(n_17442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484918 (
-	.A(n_7980),
-	.B(n_8361),
-	.X(n_17443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484919 (
-	.A(n_7988),
-	.B(n_8273),
-	.X(n_17444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484920 (
-	.A(n_7982),
-	.B(n_8131),
-	.X(n_17445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484921 (
-	.A(n_6907),
-	.B(n_16568),
-	.X(n_17446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g484922 (
-	.A(n_864),
-	.B(n_3067),
-	.C(n_5353),
-	.Y(n_17447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g484923 (
-	.A(n_4691),
-	.B(n_4267),
-	.C(n_1130),
-	.X(n_17448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g484924 (
-	.A1(n_3963),
-	.A2(n_15068),
-	.B1(n_1482),
-	.C1(soc_top_u_top_u_core_illegal_csr_insn_id),
-	.Y(n_17449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g484925 (
-	.A_N(n_16275),
-	.B(n_17456),
-	.C(n_17457),
-	.D(n_17453),
-	.Y(n_17450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3b_1 g484926 (
-	.A_N(soc_top_reset_manager_n_13),
-	.B(n_1873),
-	.C(n_1778),
-	.X(n_17451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g484927 (
-	.A_N(n_3145),
-	.B(\soc_top_xbar_to_timer[a_data] [0]),
-	.Y(n_17452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g484928 (
-	.A(n_16286),
-	.B(n_16279),
-	.C(n_17455),
-	.Y(n_17453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g484930 (
-	.A(n_17458),
-	.B(n_17464),
-	.Y(n_17455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g484931 (
-	.A(n_16278),
-	.B(n_16277),
-	.Y(n_17456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g484932 (
-	.A(n_16276),
-	.B(n_16285),
-	.C(n_17406),
-	.Y(n_17457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g484933 (
-	.A(n_16292),
-	.B(n_16289),
-	.C(n_16288),
-	.Y(n_17458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g484934 (
-	.A1(n_452),
-	.A2(soc_top_dccm_adapter_data_mem_u_rspfifo_n_629),
-	.A3(soc_top_dccm_adapter_data_mem_u_rspfifo_n_628),
-	.B1(n_15196),
-	.Y(n_17459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g484935 (
-	.A1(n_547),
-	.A2(soc_top_iccm_adapter_inst_mem_u_rspfifo_n_390),
-	.B1(n_15001),
-	.Y(n_17460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g484936 (
-	.A(n_17129),
-	.B(soc_top_u_top_u_core_ready_wb),
-	.Y(n_17461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g484937 (
-	.A(n_15001),
-	.B_N(n_15133),
-	.Y(n_17462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g484938 (
-	.A_N(n_15196),
-	.B(n_15252),
-	.Y(n_17463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g484939 (
-	.A(n_16293),
-	.B(n_16291),
-	.C(n_16290),
-	.Y(n_17464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g484942 (
-	.A(n_16130),
-	.B(n_16059),
-	.C(n_18443),
-	.X(n_17467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484949 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_344),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2243),
-	.X(n_17474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484950 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_352),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2239),
-	.X(n_17475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484951 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_350),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2235),
-	.X(n_17476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484952 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_341),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2231),
-	.X(n_17477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484953 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_318),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2227),
-	.X(n_17478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484954 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_345),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2223),
-	.X(n_17479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484955 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_343),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2219),
-	.X(n_17480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484956 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_347),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2215),
-	.X(n_17481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484957 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_342),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2211),
-	.X(n_17482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484958 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_348),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2207),
-	.X(n_17483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484959 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_349),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2203),
-	.X(n_17484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484960 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_340),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2199),
-	.X(n_17485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g484961 (
-	.A1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_3),
-	.A2(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2169),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_338),
-	.X(n_17486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g484964 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1957),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_102),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1883),
-	.X(n_17489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g484965 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1966),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_101),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1813),
-	.X(n_17490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g484966 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1962),
-	.B(n_17502),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1815),
-	.X(n_17491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g484967 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1893),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1904),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1844),
-	.X(n_17492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g484968 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1888),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1908),
-	.C(n_17504),
-	.X(n_17493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g484969 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1906),
-	.B(n_17505),
-	.C(n_17499),
-	.X(n_17494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g484970 (
-	.A(n_17498),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1896),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1846),
-	.X(n_17495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g484971 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1891),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1898),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1848),
-	.X(n_17496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484972 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_102),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1883),
-	.X(n_17497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g484973 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1832),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1678),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1712),
-	.X(n_17498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g484974 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1840),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1685),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1738),
-	.X(n_17499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g484975 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1828),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1654),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1711),
-	.X(n_17500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484976 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_101),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1813),
-	.X(n_17501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g484977 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1724),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1674),
-	.C(n_17509),
-	.X(n_17502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g484978 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1726),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1676),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1739),
-	.X(n_17503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484979 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1739),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1782),
-	.X(n_17504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484980 (
-	.A(n_17509),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1781),
-	.X(n_17505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g484981 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1727),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1583),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1627),
-	.X(n_17506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484982 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1712),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1678),
-	.X(n_17507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484983 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1656),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1741),
-	.X(n_17508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g484984 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1663),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1582),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1530),
-	.X(n_17509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484985 (
-	.A(n_17512),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1684),
-	.X(n_17510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g484986 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1580),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1482),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1568),
-	.X(n_17511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g484987 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1578),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1552),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1569),
-	.X(n_17512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484988 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1568),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1616),
-	.X(n_17513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484989 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_91),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1560),
-	.X(n_17514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g484990 (
-	.A(n_16616),
-	.B(n_16615),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1537),
-	.X(n_17515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g484991 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1527),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1413),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1415),
-	.X(n_17516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484992 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1452),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1532),
-	.X(n_17517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484993 (
-	.A(n_18459),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1445),
-	.X(n_17518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484994 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1421),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1303),
-	.X(n_17519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g484995 (
-	.A(n_18458),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_87),
-	.X(n_17520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g484996 (
-	.A(n_16614),
-	.B(n_16613),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1425),
-	.X(n_17521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g484997 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1390),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1411),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1372),
-	.X(n_17522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g484998 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1392),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1363),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1378),
-	.X(n_17523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g484999 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1392),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1378),
-	.Y(n_17524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g485000 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1415),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1413),
-	.X(n_17525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g485001 (
-	.A(n_17528),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1414),
-	.X(n_17526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g485002 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1361),
-	.B(n_17535),
-	.X(n_17527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g485003 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1208),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1268),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1266),
-	.X(n_17528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g485006 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1243),
-	.B(n_18462),
-	.X(n_17531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g485007 (
-	.A(n_18465),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1313),
-	.X(n_17532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g485008 (
-	.A(n_18467),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1317),
-	.X(n_17533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g485009 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_84),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1310),
-	.X(n_17534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g485010 (
-	.A(n_18473),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1309),
-	.X(n_17535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g485011 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_83),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1308),
-	.X(n_17536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g485012 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1311),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1148),
-	.X(n_17537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g485013 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1208),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1268),
-	.X(n_17538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g485014 (
-	.A(n_16611),
-	.B(n_16610),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1218),
-	.X(n_17539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g485019 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1179),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1117),
-	.X(n_17544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g485024 (
-	.A(n_16609),
-	.B(n_16608),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1082),
-	.X(n_17549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g485029 (
-	.A(n_16606),
-	.B(n_16605),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_908),
-	.X(n_17554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g485031 (
-	.A(n_16604),
-	.B(n_16603),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_823),
-	.X(n_17556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g485032 (
-	.A(n_16602),
-	.B(n_16601),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_700),
-	.X(n_17557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_2 g485033 (
-	.A(n_16599),
-	.B(n_16600),
-	.C(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_390),
-	.X(n_17558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g485034 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_221),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_310),
-	.X(n_17559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g485035 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_70),
-	.B(n_16532),
-	.C(n_16531),
-	.X(n_17560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g485036 (
-	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_185),
-	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_76),
-	.X(n_17561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g485037 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15397),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_n_803),
-	.Y(n_17562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g485038 (
-	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
-	.A2(n_15366),
-	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_n_879),
-	.Y(n_17563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g485039 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_303 ),
-	.Y(n_17564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g485040 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_304 ),
-	.Y(n_17565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g485041 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_301 ),
-	.Y(n_17566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g485042 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_284 ),
-	.Y(n_17567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g485043 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_279 ),
-	.Y(n_17568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g485044 (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_313 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_324 ),
-	.Y(n_17569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g485045 (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_319 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_336 ),
-	.Y(n_17570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g485046 (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_318 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_341 ),
-	.Y(n_17571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g485047 (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_317 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_345 ),
-	.Y(n_17572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g485048 (
-	.A1(n_16849),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_309 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_337 ),
-	.Y(n_17573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2i_1 g485049 (
-	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_40 ),
-	.A1(n_15186),
-	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_3 ),
-	.Y(n_17574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g485051 (
-	.A(n_17576),
-	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.Y(n_17577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g485052 (
-	.A_N(n_17463),
-	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(n_17576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g485625 (
-	.A(soc_top_u_top_u_core_instr_rdata_alu_id[2]),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[1]),
-	.Y(n_18153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g485626 (
-	.A(soc_top_u_top_u_core_alu_operator_ex[0]),
-	.B(soc_top_u_top_u_core_alu_operator_ex[1]),
-	.Y(n_18154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g485627 (
-	.A(n_15475),
-	.B(n_15474),
-	.Y(n_18155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g485766 (
-	.A1(n_16438),
-	.A2(n_18232),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_20 ),
-	.X(n_18156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485767 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_131 ),
-	.A2(n_18456),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_10 ),
-	.X(n_18157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485768 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_118 ),
-	.A2(n_16455),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_9 ),
-	.X(n_18158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g485769 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_0 ),
-	.A2(n_16458),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_30 ),
-	.X(n_18159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485770 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_104 ),
-	.A2(n_16447),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_7 ),
-	.X(n_18160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g485771 (
-	.A1(n_16424),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_61 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_63 ),
-	.X(n_18161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485772 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_65 ),
-	.A2(n_16425),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_66 ),
-	.X(n_18162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g485773 (
-	.A1(n_16440),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_11 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_21 ),
-	.X(n_18163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485774 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_124 ),
-	.A2(n_16459),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_2 ),
-	.X(n_18164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485775 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_107 ),
-	.A2(n_16449),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_3 ),
-	.X(n_18165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g485776 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_14 ),
-	.A2(n_16444),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_23 ),
-	.X(n_18166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g485777 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_9 ),
-	.A2(n_16456),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_29 ),
-	.X(n_18167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g485778 (
-	.A1(n_18233),
-	.A2(n_16452),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_27 ),
-	.X(n_18168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g485779 (
-	.A1(n_16448),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_7 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_25 ),
-	.X(n_18169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485780 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_121 ),
-	.A2(n_16457),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_0 ),
-	.X(n_18170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485781 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_95 ),
-	.A2(n_16441),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_6 ),
-	.X(n_18171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g485782 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_134 ),
-	.A2(n_16465),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_135 ),
-	.X(n_18172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485783 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_114 ),
-	.A2(n_16453),
-	.B1_N(n_18230),
-	.X(n_18173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g485784 (
-	.A1(n_18230),
-	.A2(n_16454),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_28 ),
-	.X(n_18174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485785 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_92 ),
-	.A2(n_16439),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_11 ),
-	.X(n_18175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g485787 (
-	.A(n_12837),
-	.B(n_11918),
-	.X(n_18177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g485789 (
-	.A(n_11375),
-	.B(n_9915),
-	.X(n_18179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g485790 (
-	.A(n_9542),
-	.B(n_9545),
-	.X(n_18180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g485791 (
-	.A(n_8916),
-	.B(n_6917),
-	.X(n_18181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_2 g485792 (
-	.A1(n_8608),
-	.A2(n_8759),
-	.B1(n_8518),
-	.B2(n_18371),
-	.X(n_18182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g485793 (
-	.A(n_8660),
-	.B(n_8656),
-	.X(n_18183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g485794 (
-	.A(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2747),
-	.B(n_12058),
-	.X(n_18184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g485795 (
-	.A(n_16960),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][2] ),
-	.Y(n_18185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g485796 (
-	.A(n_7766),
-	.B(n_6947),
-	.X(n_18186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g485797 (
-	.A(n_7533),
-	.B(soc_top_prog_rst_ni),
-	.Y(n_18187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g485818 (
-	.A(n_15147),
-	.B(n_7224),
-	.C(n_15185),
-	.D(n_12841),
-	.Y(n_18208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g485819 (
-	.A_N(n_12056),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_281),
-	.Y(n_18209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4b_1 g485820 (
-	.A(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2928),
-	.B(n_18211),
-	.C(n_14890),
-	.D_N(n_12053),
-	.Y(n_18210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g485821 (
-	.A_N(soc_top_u_top_u_core_instr_rdata_alu_id[3]),
-	.B(soc_top_u_top_u_core_instr_rdata_alu_id[0]),
-	.Y(n_18211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g485822 (
-	.A(n_9454),
-	.B(n_9291),
-	.Y(n_18212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4bb_1 g485823 (
-	.A(n_17561),
-	.B(n_15060),
-	.C_N(n_9632),
-	.D_N(n_9576),
-	.X(n_18213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g485824 (
-	.A(n_9550),
-	.B(n_658),
-	.X(n_18214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4b_1 g485825 (
-	.A(n_15057),
-	.B(n_18213),
-	.C(n_7548),
-	.D_N(n_9549),
-	.X(n_18215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g485826 (
-	.A(n_7025),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [47]),
-	.X(n_18216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g485827 (
-	.A_N(n_18218),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.Y(n_18217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g485828 (
-	.A_N(n_8938),
-	.B(n_9009),
-	.Y(n_18218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g485829 (
-	.A_N(n_8794),
-	.B(n_8595),
-	.Y(n_18219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g485830 (
-	.A_N(n_8785),
-	.B(n_8595),
-	.Y(n_18220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g485831 (
-	.A_N(n_8776),
-	.B(n_8518),
-	.Y(n_18221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g485832 (
-	.A(soc_top_u_top_u_core_id_stage_i_id_fsm_q),
-	.B(n_14944),
-	.C(n_14975),
-	.D(n_8159),
-	.Y(n_18222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g485833 (
-	.A(n_7826),
-	.B(n_528),
-	.X(n_18223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g485834 (
-	.A(n_7815),
-	.B(n_528),
-	.X(n_18224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g485835 (
-	.A(n_7741),
-	.B(n_528),
-	.X(n_18225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g485836 (
-	.A(n_7739),
-	.B(n_528),
-	.X(n_18226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g485837 (
-	.A_N(n_7531),
-	.B(n_8158),
-	.Y(n_18227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g485838 (
-	.A(n_630),
-	.B_N(n_7261),
-	.Y(n_18228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g485839 (
-	.A(n_7093),
-	.B(n_2649),
-	.X(n_18229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g485840 (
-	.A_N(n_16453),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_27 ),
-	.Y(n_18230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g485841 (
-	.A_N(n_16435),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_18 ),
-	.Y(n_18231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g485842 (
-	.A_N(n_16437),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_19 ),
-	.Y(n_18232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g485843 (
-	.A_N(n_16451),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_26 ),
-	.Y(n_18233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g485844 (
-	.A_N(n_16461),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_minus_369_63_n_31 ),
-	.Y(n_18234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_0 g485845 (
-	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_1),
-	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_addr_incr_two),
-	.B1_N(soc_top_u_top_u_core_pc_if[2]),
-	.Y(n_18235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g485846 (
-	.A_N(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
-	.B(n_11981),
-	.Y(n_18236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g485847 (
-	.A(n_16849),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_169 ),
-	.C_N(n_14464),
-	.Y(n_18237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485848 (
-	.A1(n_14494),
-	.A2(n_14632),
-	.B1(n_8502),
-	.B2(n_8489),
-	.X(n_18238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4bb_1 g485851 (
-	.A(n_16722),
-	.B(n_14483),
-	.C_N(n_16714),
-	.D_N(n_16713),
-	.Y(n_18241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485852 (
-	.A1(n_11907),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [0]),
-	.B1(n_11915),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [0]),
-	.X(n_18242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485853 (
-	.A1(n_11908),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [0]),
-	.B1(n_11916),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [0]),
-	.X(n_18243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485854 (
-	.A1(n_11902),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [0]),
-	.B1(n_11905),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [0]),
-	.X(n_18244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g485855 (
-	.A(n_14885),
-	.B(n_14887),
-	.C_N(n_12039),
-	.Y(n_18245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485856 (
-	.A1(n_12077),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [2]),
-	.B1(n_12098),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [2]),
-	.X(n_18246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g485857 (
-	.A(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2860),
-	.B(soc_top_u_top_u_core_id_stage_i_decoder_i_n_2928),
-	.C(n_18211),
-	.X(n_18247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g485858 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [28]),
-	.A2(n_15300),
-	.B1(n_11826),
-	.X(n_18248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485859 (
-	.A1(n_11753),
-	.A2(n_9137),
-	.B1_N(n_11349),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485860 (
-	.A1(n_17418),
-	.A2(n_9137),
-	.B1_N(n_11362),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][4] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485861 (
-	.A1(n_17425),
-	.A2(n_9137),
-	.B1_N(n_11352),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][0] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485862 (
-	.A1(soc_top_u_top_u_core_fp_rf_wdata_wb[24]),
-	.A2(n_9137),
-	.B1_N(n_11340),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][1] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485863 (
-	.A1(n_17422),
-	.A2(n_9137),
-	.B1_N(n_11358),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][6] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485864 (
-	.A1(n_11753),
-	.A2(n_6970),
-	.B1_N(n_9760),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485865 (
-	.A1(n_9474),
-	.A2(n_6970),
-	.B1_N(n_9768),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485866 (
-	.A1(n_17419),
-	.A2(n_6970),
-	.B1_N(n_9767),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485867 (
-	.A1(n_18286),
-	.A2(n_6970),
-	.B1_N(n_9780),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g485868 (
-	.A(n_18258),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g485869 (
-	.A1(n_11762),
-	.A2(n_6970),
-	.B1(n_15217),
-	.B2(n_9693),
-	.C1(n_17278),
-	.C2(n_9518),
-	.Y(n_18258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485870 (
-	.A1(n_11732),
-	.A2(n_6970),
-	.B1_N(n_9784),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][2] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485871 (
-	.A1(n_11726),
-	.A2(n_6970),
-	.B1_N(n_9755),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][5] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485872 (
-	.A1(n_17429),
-	.A2(n_6970),
-	.B1_N(n_9781),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g485873 (
-	.A(n_18263),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g485874 (
-	.A1(n_11728),
-	.A2(n_6970),
-	.B1(n_9693),
-	.B2(n_15210),
-	.C1(n_17271),
-	.C2(n_9518),
-	.Y(n_18263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485875 (
-	.A1(n_11729),
-	.A2(n_6970),
-	.B1_N(n_9762),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485876 (
-	.A1(n_17427),
-	.A2(n_6970),
-	.B1_N(n_9777),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485877 (
-	.A1(n_18287),
-	.A2(n_6970),
-	.B1_N(n_9764),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485878 (
-	.A1(n_9471),
-	.A2(n_6970),
-	.B1_N(n_9765),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485879 (
-	.A1(n_17429),
-	.A2(n_9137),
-	.B1_N(n_11371),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485880 (
-	.A1(n_17428),
-	.A2(n_9137),
-	.B1_N(n_11360),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485881 (
-	.A1(n_17427),
-	.A2(n_9137),
-	.B1_N(n_11363),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485882 (
-	.A1(n_11732),
-	.A2(n_9137),
-	.B1_N(n_11361),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][2] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485883 (
-	.A1(n_11726),
-	.A2(n_9137),
-	.B1_N(n_11347),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][5] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485884 (
-	.A1(n_17431),
-	.A2(n_9137),
-	.B1_N(n_11351),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485885 (
-	.A1(n_17431),
-	.A2(n_6970),
-	.B1_N(n_9759),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485886 (
-	.A1(n_17433),
-	.A2(n_6970),
-	.B1_N(n_9766),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485887 (
-	.A1(n_11706),
-	.A2(n_6970),
-	.B1_N(n_9770),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g485888 (
-	.A(n_18278),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g485889 (
-	.A1(n_11711),
-	.A2(n_6970),
-	.B1(n_9693),
-	.B2(n_15208),
-	.C1(n_17269),
-	.C2(n_9518),
-	.Y(n_18278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485890 (
-	.A1(n_17430),
-	.A2(n_6970),
-	.B1_N(n_9775),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g485891 (
-	.A(n_18281),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g485892 (
-	.A1(n_11708),
-	.A2(n_6970),
-	.B1(n_9693),
-	.B2(n_15205),
-	.C1(n_17266),
-	.C2(n_9518),
-	.Y(n_18281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485893 (
-	.A1(soc_top_u_top_u_core_fp_rf_wdata_wb[0]),
-	.A2(n_9137),
-	.B1_N(n_11343),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485894 (
-	.A1(soc_top_u_top_u_core_fp_rf_wdata_wb[3]),
-	.A2(n_9137),
-	.B1_N(n_11348),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g485895 (
-	.A1(n_17433),
-	.A2(n_9137),
-	.B1_N(n_11344),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485896 (
-	.A1(n_15312),
-	.A2(n_14948),
-	.B1(n_9517),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[18]),
-	.X(n_18286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_4 g485897 (
-	.A1(n_15308),
-	.A2(n_14948),
-	.B1(n_9517),
-	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[14]),
-	.X(n_18287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g485898 (
-	.A_N(n_18314),
-	.B(n_11702),
-	.C(n_11506),
-	.D(n_11505),
-	.Y(n_18288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g485899 (
-	.A_N(n_18316),
-	.B(n_11702),
-	.C(n_11574),
-	.D(n_11576),
-	.Y(n_18289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g485900 (
-	.A_N(n_18308),
-	.B(n_11702),
-	.C(n_11531),
-	.D(n_11572),
-	.Y(n_18290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g485901 (
-	.A1(n_11499),
-	.A2(n_9626),
-	.B1(\soc_top_xbar_to_lsu[d_data] [29]),
-	.B2(n_9598),
-	.C1(n_11667),
-	.Y(n_18291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g485902 (
-	.A(n_11523),
-	.B(n_11566),
-	.C(n_18432),
-	.D(n_11650),
-	.Y(n_18292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g485903 (
-	.A(n_11595),
-	.B(n_11581),
-	.C(n_11643),
-	.D(n_11537),
-	.Y(n_18293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g485904 (
-	.A(n_11573),
-	.B(n_11571),
-	.C(n_18329),
-	.D(n_11650),
-	.Y(n_18294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g485905 (
-	.A(n_11594),
-	.B(n_11580),
-	.C(n_11642),
-	.D(n_11536),
-	.Y(n_18295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g485906 (
-	.A1(n_11496),
-	.A2(n_9538),
-	.B1(n_9128),
-	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[10]),
-	.C1(n_11672),
-	.Y(n_18296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g485907 (
-	.A(n_11592),
-	.B(n_9644),
-	.C(n_11641),
-	.D(n_18330),
-	.Y(n_18297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g485908 (
-	.A(n_11591),
-	.B(n_9645),
-	.C(n_18331),
-	.D(n_11640),
-	.Y(n_18298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g485909 (
-	.A1(n_9627),
-	.A2(n_11489),
-	.B1(n_9629),
-	.B2(n_9161),
-	.C1(n_11636),
-	.Y(n_18299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g485912 (
-	.A1(n_11496),
-	.A2(n_18180),
-	.B1(n_9128),
-	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[26]),
-	.C1(n_18318),
-	.Y(n_18302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485913 (
-	.A1(n_11497),
-	.A2(n_9128),
-	.B1(\soc_top_xbar_to_lsu[d_data] [8]),
-	.B2(n_18180),
-	.X(n_18303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g485914 (
-	.A1(n_15244),
-	.A2(n_9626),
-	.B1(n_9128),
-	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[23]),
-	.C1(n_18180),
-	.C2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[31]),
-	.Y(n_18304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485915 (
-	.A1(n_11500),
-	.A2(n_18180),
-	.B1(n_9128),
-	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[25]),
-	.X(n_18305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485916 (
-	.A1(\soc_top_xbar_to_lsu[d_data] [22]),
-	.A2(n_9600),
-	.B1(\soc_top_xbar_to_lsu[d_data] [14]),
-	.B2(n_9631),
-	.X(n_18306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485917 (
-	.A1(\soc_top_xbar_to_lsu[d_data] [20]),
-	.A2(n_9600),
-	.B1(\soc_top_xbar_to_lsu[d_data] [12]),
-	.B2(n_9631),
-	.X(n_18307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485918 (
-	.A1(n_11499),
-	.A2(n_9128),
-	.B1(\soc_top_xbar_to_lsu[d_data] [13]),
-	.B2(n_18180),
-	.X(n_18308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485919 (
-	.A1(n_11491),
-	.A2(n_9626),
-	.B1(\soc_top_xbar_to_lsu[d_data] [27]),
-	.B2(n_9598),
-	.X(n_18309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485920 (
-	.A1(n_11494),
-	.A2(n_9128),
-	.B1(\soc_top_xbar_to_lsu[d_data] [14]),
-	.B2(n_18180),
-	.X(n_18310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485921 (
-	.A1(\soc_top_xbar_to_lsu[d_data] [16]),
-	.A2(n_9595),
-	.B1(\soc_top_xbar_to_lsu[d_data] [24]),
-	.B2(n_9596),
-	.X(n_18311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485922 (
-	.A1(\soc_top_xbar_to_lsu[d_data] [22]),
-	.A2(n_9595),
-	.B1(\soc_top_xbar_to_lsu[d_data] [30]),
-	.B2(n_9596),
-	.X(n_18312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485923 (
-	.A1(\soc_top_xbar_to_lsu[d_data] [21]),
-	.A2(n_9596),
-	.B1(n_9128),
-	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[29]),
-	.X(n_18313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485924 (
-	.A1(\soc_top_xbar_to_lsu[d_data] [27]),
-	.A2(n_9596),
-	.B1(n_11491),
-	.B2(n_9128),
-	.X(n_18314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485925 (
-	.A1(n_11491),
-	.A2(n_18180),
-	.B1(n_9128),
-	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[27]),
-	.X(n_18315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485926 (
-	.A1(\soc_top_xbar_to_lsu[d_data] [18]),
-	.A2(n_9595),
-	.B1(\soc_top_xbar_to_lsu[d_data] [10]),
-	.B2(n_18180),
-	.X(n_18316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485927 (
-	.A1(\soc_top_xbar_to_lsu[d_data] [17]),
-	.A2(n_9596),
-	.B1(\soc_top_xbar_to_lsu[d_data] [9]),
-	.B2(n_9595),
-	.X(n_18317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485928 (
-	.A1(\soc_top_xbar_to_lsu[d_data] [18]),
-	.A2(n_9596),
-	.B1(\soc_top_xbar_to_lsu[d_data] [10]),
-	.B2(n_9595),
-	.X(n_18318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485929 (
-	.A1(\soc_top_xbar_to_lsu[d_data] [19]),
-	.A2(n_9596),
-	.B1(\soc_top_xbar_to_lsu[d_data] [11]),
-	.B2(n_9595),
-	.X(n_18319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485930 (
-	.A1(\soc_top_xbar_to_lsu[d_data] [8]),
-	.A2(n_9631),
-	.B1(\soc_top_xbar_to_lsu[d_data] [16]),
-	.B2(n_9600),
-	.X(n_18320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485932 (
-	.A1(n_11384),
-	.A2(soc_top_u_dccm_dout_2[25]),
-	.B1(n_11388),
-	.B2(soc_top_u_dccm_dout_1[25]),
-	.X(n_18322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485933 (
-	.A1(n_11384),
-	.A2(soc_top_u_dccm_dout_2[29]),
-	.B1(n_11388),
-	.B2(soc_top_u_dccm_dout_1[29]),
-	.X(n_18323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485934 (
-	.A1(n_11384),
-	.A2(soc_top_u_dccm_dout_2[28]),
-	.B1(n_11388),
-	.B2(soc_top_u_dccm_dout_1[28]),
-	.X(n_18324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485935 (
-	.A1(n_11384),
-	.A2(soc_top_u_dccm_dout_2[27]),
-	.B1(n_11388),
-	.B2(soc_top_u_dccm_dout_1[27]),
-	.X(n_18325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g485936 (
-	.A(n_12081),
-	.B(n_12082),
-	.C(n_9602),
-	.D(soc_top_u_top_u_core_id_stage_i_n_1397),
-	.Y(n_18326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g485938 (
-	.A1(\soc_top_xbar_to_lsu[d_data] [17]),
-	.A2(n_9630),
-	.B1(\soc_top_xbar_to_lsu[d_data] [9]),
-	.B2(n_9628),
-	.Y(n_18328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g485939 (
-	.A1(\soc_top_xbar_to_lsu[d_data] [17]),
-	.A2(n_9595),
-	.B1(\soc_top_xbar_to_lsu[d_data] [9]),
-	.B2(n_18180),
-	.Y(n_18329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g485940 (
-	.A1(\soc_top_xbar_to_lsu[d_data] [22]),
-	.A2(n_9630),
-	.B1(\soc_top_xbar_to_lsu[d_data] [14]),
-	.B2(n_9628),
-	.Y(n_18330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g485941 (
-	.A1(\soc_top_xbar_to_lsu[d_data] [21]),
-	.A2(n_9630),
-	.B1(\soc_top_xbar_to_lsu[d_data] [13]),
-	.B2(n_9628),
-	.Y(n_18331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g485942 (
-	.A1(\soc_top_xbar_to_lsu[d_data] [20]),
-	.A2(n_9630),
-	.B1(\soc_top_xbar_to_lsu[d_data] [12]),
-	.B2(n_9628),
-	.Y(n_18332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g485943 (
-	.A1(\soc_top_xbar_to_lsu[d_data] [19]),
-	.A2(n_9630),
-	.B1(\soc_top_xbar_to_lsu[d_data] [11]),
-	.B2(n_9628),
-	.Y(n_18333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4bb_1 g485944 (
-	.A(\soc_top_lsu_to_xbar[a_address] [17]),
-	.B(\soc_top_lsu_to_xbar[a_address] [18]),
-	.C_N(n_17561),
-	.D_N(n_9752),
-	.Y(n_18334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g485945 (
-	.A1(n_9167),
-	.A2(n_15102),
-	.B1(n_9366),
-	.Y(n_18335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g485946 (
-	.A(n_9082),
-	.B(n_8531),
-	.C(n_18217),
-	.Y(n_18336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g485947 (
-	.A(n_9084),
-	.B(n_8531),
-	.C(n_9087),
-	.Y(n_18337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g485949 (
-	.A(n_9076),
-	.B(n_8531),
-	.C(n_9085),
-	.Y(n_18339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g485950 (
-	.A(n_6865),
-	.B(n_8531),
-	.C(n_9067),
-	.Y(n_18340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g485951 (
-	.A(n_9047),
-	.B(n_8531),
-	.C(n_9071),
-	.Y(n_18341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g485952 (
-	.A(n_6869),
-	.B(n_8999),
-	.C(n_9001),
-	.Y(n_18342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g485953 (
-	.A(n_6866),
-	.B(n_8531),
-	.C(n_9068),
-	.Y(n_18343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g485954 (
-	.A(n_9046),
-	.B(n_8531),
-	.C(n_9070),
-	.Y(n_18344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g485955 (
-	.A(n_8120),
-	.B(n_9034),
-	.Y(n_18345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485956 (
-	.A1(n_8937),
-	.A2(n_8602),
-	.B1(n_8887),
-	.B2(n_8528),
-	.X(n_18346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g485957 (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.A2_N(n_8941),
-	.B1(n_8886),
-	.B2(n_8604),
-	.Y(n_18347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485958 (
-	.A1(n_8943),
-	.A2(n_8602),
-	.B1(n_8899),
-	.B2(n_8528),
-	.X(n_18348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g485959 (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.A2_N(n_8936),
-	.B1(n_8900),
-	.B2(n_8604),
-	.Y(n_18349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485960 (
-	.A1(n_8940),
-	.A2(n_8602),
-	.B1(n_8864),
-	.B2(n_8528),
-	.X(n_18350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485961 (
-	.A1(n_8917),
-	.A2(n_8602),
-	.B1(n_8866),
-	.B2(n_8528),
-	.X(n_18351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 g485962 (
-	.A1(n_6885),
-	.A2(n_6920),
-	.A3(n_6923),
-	.B1(n_18361),
-	.B2(n_8527),
-	.X(n_18352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485963 (
-	.A1(n_8904),
-	.A2(n_6879),
-	.B1(n_18367),
-	.B2(n_8662),
-	.X(n_18353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485964 (
-	.A1(n_8913),
-	.A2(n_6918),
-	.B1(n_8821),
-	.B2(n_8662),
-	.X(n_18354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485965 (
-	.A1(n_8905),
-	.A2(n_6922),
-	.B1(n_18524),
-	.B2(n_8658),
-	.X(n_18355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485966 (
-	.A1(n_8899),
-	.A2(n_6922),
-	.B1(n_8862),
-	.B2(n_8527),
-	.X(n_18356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485967 (
-	.A1(n_8905),
-	.A2(n_6921),
-	.B1(n_18524),
-	.B2(n_8590),
-	.X(n_18357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g485968 (
-	.A1(n_8603),
-	.A2(n_18182),
-	.B1(n_8605),
-	.B2(n_8865),
-	.X(n_18358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485969 (
-	.A1(n_8864),
-	.A2(n_6922),
-	.B1(n_8861),
-	.B2(n_8527),
-	.X(n_18359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_2 g485970 (
-	.A1(n_8589),
-	.A2(n_8776),
-	.B1(n_8599),
-	.B2(n_18371),
-	.C1(n_6860),
-	.Y(n_18360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g485971 (
-	.A1(n_8597),
-	.A2(n_6925),
-	.B1(n_8596),
-	.B2(n_8789),
-	.C1(n_8803),
-	.Y(n_18361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g485972 (
-	.A1(n_8589),
-	.A2(n_8659),
-	.B1(n_8599),
-	.B2(n_8771),
-	.X(n_18362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g485974 (
-	.A1(n_17441),
-	.A2(n_8609),
-	.B1(n_8840),
-	.Y(n_18364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g485975 (
-	.A1(n_6853),
-	.A2(n_8608),
-	.B1(n_8518),
-	.B2(n_8785),
-	.X(n_18365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g485976 (
-	.A(n_18366),
-	.Y(n_18367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g485977 (
-	.A1(n_8733),
-	.A2(n_8501),
-	.B1(n_18397),
-	.B2(n_8523),
-	.C1(n_18399),
-	.C2(n_8521),
-	.Y(n_18366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g485980 (
-	.A1(n_18393),
-	.A2(n_8520),
-	.B1(n_18391),
-	.B2(n_8521),
-	.C1(n_18379),
-	.Y(n_18370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g485981 (
-	.A1(n_18389),
-	.A2(n_8520),
-	.B1(n_18387),
-	.B2(n_8521),
-	.C1(n_8747),
-	.Y(n_18371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g485982 (
-	.A(n_18372),
-	.Y(n_18373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g485983 (
-	.A1(n_8587),
-	.A2(n_8520),
-	.B1(n_18402),
-	.B2(n_8525),
-	.C1(n_8614),
-	.C2(n_8521),
-	.Y(n_18372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485984 (
-	.A1(n_18401),
-	.A2(n_8523),
-	.B1(n_18392),
-	.B2(n_8525),
-	.X(n_18374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485985 (
-	.A1(n_18387),
-	.A2(n_8523),
-	.B1(n_18393),
-	.B2(n_8525),
-	.X(n_18375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485986 (
-	.A1(n_18391),
-	.A2(n_8520),
-	.B1(n_18389),
-	.B2(n_8521),
-	.X(n_18376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485987 (
-	.A1(n_18394),
-	.A2(n_8525),
-	.B1(n_18388),
-	.B2(n_8523),
-	.X(n_18377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485988 (
-	.A1(n_18392),
-	.A2(n_8520),
-	.B1(n_18390),
-	.B2(n_8521),
-	.X(n_18378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485989 (
-	.A1(n_18395),
-	.A2(n_8525),
-	.B1(n_18389),
-	.B2(n_8523),
-	.X(n_18379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485990 (
-	.A1(n_18398),
-	.A2(n_8525),
-	.B1(n_18392),
-	.B2(n_8523),
-	.X(n_18380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485992 (
-	.A1(n_18400),
-	.A2(n_8525),
-	.B1(n_18394),
-	.B2(n_8523),
-	.X(n_18382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485993 (
-	.A1(n_18399),
-	.A2(n_8520),
-	.B1(n_18395),
-	.B2(n_8523),
-	.X(n_18383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485994 (
-	.A1(n_8584),
-	.A2(n_8525),
-	.B1(n_18396),
-	.B2(n_8523),
-	.X(n_18384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485996 (
-	.A1(n_18398),
-	.A2(n_8523),
-	.B1(n_18400),
-	.B2(n_8521),
-	.X(n_18386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485997 (
-	.A1(n_6878),
-	.A2(\soc_top_u_top_u_core_fp_operands[2] [17]),
-	.B1(n_8498),
-	.B2(\soc_top_u_top_u_core_fp_operands[2] [16]),
-	.X(n_18387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485998 (
-	.A1(n_6878),
-	.A2(\soc_top_u_top_u_core_fp_operands[2] [16]),
-	.B1(n_8498),
-	.B2(\soc_top_u_top_u_core_fp_operands[2] [15]),
-	.X(n_18388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g485999 (
-	.A1(n_6878),
-	.A2(\soc_top_u_top_u_core_fp_operands[2] [15]),
-	.B1(n_8498),
-	.B2(\soc_top_u_top_u_core_fp_operands[2] [14]),
-	.X(n_18389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g486000 (
-	.A1(n_6878),
-	.A2(\soc_top_u_top_u_core_fp_operands[2] [14]),
-	.B1(n_8498),
-	.B2(\soc_top_u_top_u_core_fp_operands[2] [13]),
-	.X(n_18390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g486001 (
-	.A1(n_6878),
-	.A2(\soc_top_u_top_u_core_fp_operands[2] [13]),
-	.B1(n_8498),
-	.B2(\soc_top_u_top_u_core_fp_operands[2] [12]),
-	.X(n_18391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g486002 (
-	.A1(n_6878),
-	.A2(\soc_top_u_top_u_core_fp_operands[2] [12]),
-	.B1(n_8498),
-	.B2(\soc_top_u_top_u_core_fp_operands[2] [11]),
-	.X(n_18392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g486003 (
-	.A1(n_6878),
-	.A2(\soc_top_u_top_u_core_fp_operands[2] [11]),
-	.B1(n_8498),
-	.B2(\soc_top_u_top_u_core_fp_operands[2] [10]),
-	.X(n_18393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g486004 (
-	.A1(n_6878),
-	.A2(\soc_top_u_top_u_core_fp_operands[2] [10]),
-	.B1(n_8498),
-	.B2(\soc_top_u_top_u_core_fp_operands[2] [9]),
-	.X(n_18394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g486005 (
-	.A1(n_6878),
-	.A2(\soc_top_u_top_u_core_fp_operands[2] [9]),
-	.B1(n_8498),
-	.B2(\soc_top_u_top_u_core_fp_operands[2] [8]),
-	.X(n_18395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g486006 (
-	.A1(n_6878),
-	.A2(\soc_top_u_top_u_core_fp_operands[2] [8]),
-	.B1(n_8498),
-	.B2(\soc_top_u_top_u_core_fp_operands[2] [7]),
-	.X(n_18396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g486007 (
-	.A1(n_6878),
-	.A2(\soc_top_u_top_u_core_fp_operands[2] [7]),
-	.B1(n_8498),
-	.B2(\soc_top_u_top_u_core_fp_operands[2] [6]),
-	.X(n_18397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g486008 (
-	.A1(n_6878),
-	.A2(\soc_top_u_top_u_core_fp_operands[2] [6]),
-	.B1(n_8498),
-	.B2(\soc_top_u_top_u_core_fp_operands[2] [5]),
-	.X(n_18398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g486009 (
-	.A1(n_6878),
-	.A2(\soc_top_u_top_u_core_fp_operands[2] [5]),
-	.B1(n_8498),
-	.B2(\soc_top_u_top_u_core_fp_operands[2] [4]),
-	.X(n_18399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g486010 (
-	.A1(n_6878),
-	.A2(\soc_top_u_top_u_core_fp_operands[2] [4]),
-	.B1(n_8498),
-	.B2(\soc_top_u_top_u_core_fp_operands[2] [3]),
-	.X(n_18400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g486011 (
-	.A1(n_6878),
-	.A2(\soc_top_u_top_u_core_fp_operands[2] [18]),
-	.B1(n_8498),
-	.B2(\soc_top_u_top_u_core_fp_operands[2] [17]),
-	.X(n_18401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g486012 (
-	.A1(n_6878),
-	.A2(\soc_top_u_top_u_core_fp_operands[2] [19]),
-	.B1(n_8498),
-	.B2(\soc_top_u_top_u_core_fp_operands[2] [18]),
-	.X(n_18402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g486013 (
-	.A1(n_6878),
-	.A2(\soc_top_u_top_u_core_fp_operands[2] [20]),
-	.B1(n_8498),
-	.B2(\soc_top_u_top_u_core_fp_operands[2] [19]),
-	.X(n_18403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g486016 (
-	.A(n_8320),
-	.B(n_6904),
-	.C_N(n_18509),
-	.Y(n_18406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g486017 (
-	.A(n_8244),
-	.B(n_8020),
-	.C(n_8125),
-	.Y(n_18407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g486018 (
-	.A(soc_top_u_top_u_core_id_stage_i_id_fsm_q),
-	.B(n_15191),
-	.C(n_14975),
-	.D(soc_top_u_top_u_core_valid_id_fpu),
-	.X(n_18408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4b_1 g486019 (
-	.A(n_7526),
-	.B(n_8113),
-	.C(n_8121),
-	.D_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [12]),
-	.Y(n_18409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g486021 (
-	.A(n_7831),
-	.B(n_8006),
-	.Y(n_18411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g486022 (
-	.A1(n_7910),
-	.A2(soc_top_u_iccm_dout_1[17]),
-	.B1(n_7911),
-	.B2(soc_top_u_iccm_dout_2[17]),
-	.X(n_18412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g486023 (
-	.A1(n_7910),
-	.A2(soc_top_u_iccm_dout_1[16]),
-	.B1(n_7911),
-	.B2(soc_top_u_iccm_dout_2[16]),
-	.X(n_18413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g486024 (
-	.A1(n_7910),
-	.A2(soc_top_u_iccm_dout_1[1]),
-	.B1(n_7911),
-	.B2(soc_top_u_iccm_dout_2[1]),
-	.X(n_18414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g486025 (
-	.A1(n_7910),
-	.A2(soc_top_u_iccm_dout_1[0]),
-	.B1(n_7911),
-	.B2(soc_top_u_iccm_dout_2[0]),
-	.X(n_18415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g486026 (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [0]),
-	.A2(n_7825),
-	.B1_N(n_7765),
-	.X(n_18416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4bb_1 g486027 (
-	.A(n_7354),
-	.B(n_7560),
-	.C_N(n_7379),
-	.D_N(n_15183),
-	.X(n_18417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4bb_1 g486028 (
-	.A(soc_top_u_top_u_core_alu_operand_b_ex[8]),
-	.B(n_7292),
-	.C_N(n_7109),
-	.D_N(n_7087),
-	.Y(n_18418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g486029 (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.A2_N(n_6863),
-	.B1(n_8885),
-	.B2(n_8604),
-	.Y(n_18419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g486030 (
-	.A1(n_8899),
-	.A2(n_8606),
-	.B1(n_8862),
-	.B2(n_8604),
-	.Y(n_18420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4b_1 g486033 (
-	.A(n_7144),
-	.B(n_7557),
-	.C(n_7102),
-	.D_N(n_8411),
-	.X(n_18423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g486034 (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_subnormal] ),
-	.B(n_4497),
-	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_zero] ),
-	.Y(n_18424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_2 g486035 (
-	.A1(n_222),
-	.A2(n_210),
-	.B1_N(n_227),
-	.Y(n_18425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g486037 (
-	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_41 ),
-	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_42 ),
-	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_148 ),
-	.Y(n_18427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g486039 (
-	.A1(n_16492),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_inject_carry_in ),
-	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_231),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_gen_lzc.in_tmp [50]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g486040 (
-	.A(n_18367),
-	.B(n_8770),
-	.C_N(n_8773),
-	.X(n_18430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g486042 (
-	.A1(n_11493),
-	.A2(n_9128),
-	.B1(\soc_top_xbar_to_lsu[d_data] [12]),
-	.B2(n_18180),
-	.Y(n_18432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g486043 (
-	.A(n_8326),
-	.B(n_8357),
-	.C(n_8391),
-	.D(n_6912),
-	.Y(n_18433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g486046 (
-	.A(n_16132),
-	.B(n_16061),
-	.CIN(n_18441),
-	.COUT(n_18439),
-	.SUM(n_18438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g486047 (
-	.A(n_16131),
-	.B(n_16060),
-	.CIN(n_17467),
-	.COUT(n_18441),
-	.SUM(n_18440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g486048 (
-	.A(n_16129),
-	.B(n_16058),
-	.CIN(n_18445),
-	.COUT(n_18443),
-	.SUM(n_18442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g486049 (
-	.A(n_16128),
-	.B(n_16057),
-	.CIN(n_18447),
-	.COUT(n_18445),
-	.SUM(n_18444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g486050 (
-	.A(n_16127),
-	.B(n_16056),
-	.CIN(n_18449),
-	.COUT(n_18447),
-	.SUM(n_18446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g486051 (
-	.A(n_16126),
-	.B(n_16055),
-	.CIN(n_18451),
-	.COUT(n_18449),
-	.SUM(n_18448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g486052 (
-	.A(n_16125),
-	.B(n_16054),
-	.CIN(n_18453),
-	.COUT(n_18451),
-	.SUM(n_18450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g486053 (
-	.A(n_16124),
-	.B(n_16053),
-	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_145 ),
-	.COUT(n_18453),
-	.SUM(n_18452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g486054 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_788),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2016),
-	.CIN(n_18457),
-	.COUT(n_18455),
-	.SUM(n_18454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g486055 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2017),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2010),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_2157),
-	.COUT(n_18457),
-	.SUM(n_18456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g486056 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1135),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1326),
-	.CIN(n_18466),
-	.COUT(n_18459),
-	.SUM(n_18458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g486057 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1137),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1324),
-	.CIN(n_17544),
-	.COUT(n_18461),
-	.SUM(n_18460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g486058 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1136),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1197),
-	.CIN(n_18482),
-	.COUT(n_18463),
-	.SUM(n_18462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g486059 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1044),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1111),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1185),
-	.COUT(n_18465),
-	.SUM(n_18464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g486060 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1127),
-	.B(n_16516),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1116),
-	.COUT(n_18467),
-	.SUM(n_18466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g486061 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1045),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1113),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1105),
-	.COUT(n_18469),
-	.SUM(n_18468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g486062 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1112),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1134),
-	.CIN(n_18480),
-	.COUT(n_18471),
-	.SUM(n_18470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g486063 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1139),
-	.B(n_16523),
-	.CIN(n_18481),
-	.COUT(n_18473),
-	.SUM(n_18472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g486064 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1066),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1129),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1009),
-	.COUT(n_18475),
-	.SUM(n_18474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g486065 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_996),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_901),
-	.CIN(n_16519),
-	.COUT(n_18477),
-	.SUM(n_18476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g486066 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_979),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_999),
-	.CIN(n_16514),
-	.COUT(n_18479),
-	.SUM(n_18478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g486067 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_943),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_998),
-	.CIN(n_16522),
-	.COUT(n_18481),
-	.SUM(n_18480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g486068 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_978),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_973),
-	.CIN(n_18337),
-	.COUT(n_18483),
-	.SUM(n_18482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g486069 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_793),
-	.B(n_16495),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_858),
-	.COUT(n_18485),
-	.SUM(n_18484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 g486070 (
-	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1128),
-	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1068),
-	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0__i_opgroup_block_gen_parallel_slices_0__active_format_i_fmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fma_add_365_53_groupi_n_1118),
-	.COUT(n_18487),
-	.SUM(n_18486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g486331 (
-	.A(n_14666),
-	.B(n_15193),
-	.Y(n_18508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g486332 (
-	.A_N(n_6813),
-	.B(n_8003),
-	.Y(n_18509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g486335 (
-	.A1(n_11914),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [0]),
-	.B1(n_11917),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [0]),
-	.X(n_18512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g486336 (
-	.A1(n_11904),
-	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [0]),
-	.B1(n_11911),
-	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [0]),
-	.X(n_18513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g486337 (
-	.A1(n_12065),
-	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [2]),
-	.B1(n_12091),
-	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [2]),
-	.X(n_18514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g486338 (
-	.A1(n_11499),
-	.A2(n_18180),
-	.B1(\soc_top_xbar_to_lsu[d_data] [13]),
-	.B2(n_9595),
-	.X(n_18515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g486339 (
-	.A1(n_11500),
-	.A2(n_9538),
-	.B1(n_9128),
-	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[9]),
-	.Y(n_18516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_2 g486340 (
-	.A1(n_9747),
-	.A2(n_14958),
-	.B1(n_9692),
-	.C1(n_10180),
-	.D1(n_9965),
-	.Y(n_18517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g486341 (
-	.A1(n_11384),
-	.A2(soc_top_u_dccm_dout_2[30]),
-	.B1(n_11388),
-	.B2(soc_top_u_dccm_dout_1[30]),
-	.X(n_18518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g486343 (
-	.A1(n_9029),
-	.A2(n_8593),
-	.B1(n_9030),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_effective_subtraction ),
-	.C1(n_8592),
-	.X(n_18520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_2 g486344 (
-	.A1(n_8589),
-	.A2(n_8783),
-	.B1(n_8599),
-	.B2(n_8785),
-	.C1(n_8880),
-	.Y(n_18521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g486345 (
-	.A1_N(n_8596),
-	.A2_N(n_8771),
-	.B1(n_6854),
-	.B2(n_8598),
-	.Y(n_18522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g3 (
-	.A(n_18523),
-	.Y(n_18524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g486346 (
-	.A1(n_8760),
-	.A2(n_8502),
-	.B1(n_18402),
-	.B2(n_8520),
-	.C1(n_18387),
-	.C2(n_8525),
-	.Y(n_18523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g486347 (
-	.A(n_18525),
-	.Y(n_18526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g486348 (
-	.A1(n_8734),
-	.A2(n_8502),
-	.B1(n_18403),
-	.B2(n_8520),
-	.C1(n_18401),
-	.C2(n_8525),
-	.Y(n_18525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g486349 (
-	.A1(n_18396),
-	.A2(n_8520),
-	.B1(n_18394),
-	.B2(n_8521),
-	.X(n_18527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g486350 (
-	.A1(n_18398),
-	.A2(n_8520),
-	.B1(n_18396),
-	.B2(n_8521),
-	.X(n_18528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g486351 (
-	.A1(n_18400),
-	.A2(n_8520),
-	.B1(n_18398),
-	.B2(n_8521),
-	.X(n_18529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g486354 (
-	.A1(n_16122),
-	.A2(n_16051),
-	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_139 ),
-	.Y(n_18532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fahcin_1 g486357 (
-	.A(n_15181),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [5]),
-	.CIN(n_14654),
-	.COUT(n_18536),
-	.SUM(n_18535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell (
-	.HI(io_oeb[2]),
-	.LO(io_oeb[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[14]  (
-	.CLK(CTS_27),
-	.D(soc_top_GPIO_data_in_d[14]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[29]  (
-	.CLK(CTS_88),
-	.D(soc_top_GPIO_data_in_d[29]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[25]  (
-	.CLK(CTS_25),
-	.D(soc_top_GPIO_data_in_d[25]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[16]  (
-	.CLK(CTS_27),
-	.D(soc_top_GPIO_data_in_d[16]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[0]  (
-	.CLK(CTS_17),
-	.D(soc_top_GPIO_data_in_d[0]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[15]  (
-	.CLK(CTS_27),
-	.D(soc_top_GPIO_data_in_d[15]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[24]  (
-	.CLK(CTS_88),
-	.D(soc_top_GPIO_data_in_d[24]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[31]  (
-	.CLK(CTS_17),
-	.D(soc_top_GPIO_data_in_d[31]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[13]  (
-	.CLK(CTS_25),
-	.D(soc_top_GPIO_data_in_d[13]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[28]  (
-	.CLK(CTS_88),
-	.D(soc_top_GPIO_data_in_d[28]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[23]  (
-	.CLK(CTS_25),
-	.D(soc_top_GPIO_data_in_d[23]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[12]  (
-	.CLK(CTS_27),
-	.D(soc_top_GPIO_data_in_d[12]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[11]  (
-	.CLK(CTS_27),
-	.D(soc_top_GPIO_data_in_d[11]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[22]  (
-	.CLK(CTS_25),
-	.D(soc_top_GPIO_data_in_d[22]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[10]  (
-	.CLK(CTS_27),
-	.D(soc_top_GPIO_data_in_d[10]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[1]  (
-	.CLK(CTS_26),
-	.D(soc_top_GPIO_data_in_d[1]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[30]  (
-	.CLK(CTS_17),
-	.D(soc_top_GPIO_data_in_d[30]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[27]  (
-	.CLK(CTS_88),
-	.D(soc_top_GPIO_data_in_d[27]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[21]  (
-	.CLK(CTS_23),
-	.D(soc_top_GPIO_data_in_d[21]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[8]  (
-	.CLK(CTS_18),
-	.D(soc_top_GPIO_data_in_d[8]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[7]  (
-	.CLK(CTS_18),
-	.D(soc_top_GPIO_data_in_d[7]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[20]  (
-	.CLK(CTS_25),
-	.D(soc_top_GPIO_data_in_d[20]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[6]  (
-	.CLK(CTS_18),
-	.D(soc_top_GPIO_data_in_d[6]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[5]  (
-	.CLK(CTS_26),
-	.D(soc_top_GPIO_data_in_d[5]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[26]  (
-	.CLK(CTS_25),
-	.D(soc_top_GPIO_data_in_d[26]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[19]  (
-	.CLK(CTS_27),
-	.D(soc_top_GPIO_data_in_d[19]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[4]  (
-	.CLK(CTS_18),
-	.D(soc_top_GPIO_data_in_d[4]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[3]  (
-	.CLK(CTS_18),
-	.D(soc_top_GPIO_data_in_d[3]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[17]  (
-	.CLK(CTS_27),
-	.D(soc_top_GPIO_data_in_d[17]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[2]  (
-	.CLK(CTS_26),
-	.D(soc_top_GPIO_data_in_d[2]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[9]  (
-	.CLK(CTS_18),
-	.D(soc_top_GPIO_data_in_d[9]),
-	.Q(soc_top_GPIO_u_reg_data_in_qs[9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_gen_target[0].u_target_irq_id_q_reg[0]  (
-	.CLK(CTS_15),
-	.D(\soc_top_intr_controller_gen_target[0].u_target_n_316 ),
-	.Q(\soc_top_intr_controller_irq_id_o[0] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_gen_target[0].u_target_irq_id_q_reg[1]  (
-	.CLK(CTS_35),
-	.D(\soc_top_intr_controller_gen_target[0].u_target_n_311 ),
-	.Q(\soc_top_intr_controller_irq_id_o[0] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_gen_target[0].u_target_irq_id_q_reg[2]  (
-	.CLK(CTS_15),
-	.D(\soc_top_intr_controller_gen_target[0].u_target_n_315 ),
-	.Q(\soc_top_intr_controller_irq_id_o[0] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_gen_target[0].u_target_irq_id_q_reg[3]  (
-	.CLK(CTS_15),
-	.D(\soc_top_intr_controller_gen_target[0].u_target_n_309 ),
-	.Q(\soc_top_intr_controller_irq_id_o[0] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_gen_target[0].u_target_irq_id_q_reg[4]  (
-	.CLK(CTS_15),
-	.D(\soc_top_intr_controller_gen_target[0].u_target_n_300 ),
-	.Q(\soc_top_intr_controller_irq_id_o[0] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_gen_target[0].u_target_irq_id_q_reg[5]  (
-	.CLK(CTS_15),
-	.D(\soc_top_intr_controller_gen_target[0].u_target_n_297 ),
-	.Q(\soc_top_intr_controller_irq_id_o[0] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_gen_target[0].u_target_irq_q_reg  (
-	.CLK(CTS_35),
-	.D(\soc_top_intr_controller_gen_target[0].u_target_n_317 ),
-	.Q(soc_top_intr_req),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13356__2398  (
-	.A1(\soc_top_intr_controller_reg2hw[threshold0][q] [0]),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_302 ),
-	.A3(\soc_top_intr_controller_gen_target[0].u_target_n_313 ),
-	.B1(\soc_top_intr_controller_reg2hw[threshold0][q] [1]),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_308 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_317 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13357__5107  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_257 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_304 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_258 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_307 ),
-	.C1(\soc_top_intr_controller_gen_target[0].u_target_n_314 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_316 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13359__6260  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_242 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_307 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_276 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_301 ),
-	.C1(\soc_top_intr_controller_gen_target[0].u_target_n_310 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_315 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13360__4319  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_297 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_207 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_312 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_314 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13362__8428  (
-	.A1_N(\soc_top_intr_controller_reg2hw[threshold0][q] [1]),
-	.A2_N(\soc_top_intr_controller_gen_target[0].u_target_n_305 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_292 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_297 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_313 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13363__5526  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_283 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_301 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_270 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_303 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_312 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 \soc_top_intr_controller_gen_target[0].u_target_g13364__6783  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_297 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_189 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_300 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_277 ),
-	.C1(\soc_top_intr_controller_gen_target[0].u_target_n_306 ),
-	.X(\soc_top_intr_controller_gen_target[0].u_target_n_311 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32a_1 \soc_top_intr_controller_gen_target[0].u_target_g13365__3680  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_246 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_270 ),
-	.A3(\soc_top_intr_controller_gen_target[0].u_target_n_299 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_244 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_304 ),
-	.X(\soc_top_intr_controller_gen_target[0].u_target_n_310 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13366__1617  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_304 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_307 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_309 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 \soc_top_intr_controller_gen_target[0].u_target_g13367__2802  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_289 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_297 ),
-	.B1_N(\soc_top_intr_controller_gen_target[0].u_target_n_305 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_308 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13369__1705  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_282 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_273 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_301 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_306 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13370__5122  (
-	.A_N(\soc_top_intr_controller_gen_target[0].u_target_n_301 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_272 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_307 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13371__8246  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_201 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_245 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_202 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_246 ),
-	.C1(\soc_top_intr_controller_gen_target[0].u_target_n_300 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_303 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13372__7098  (
-	.A1_N(\soc_top_intr_controller_gen_target[0].u_target_n_290 ),
-	.A2_N(\soc_top_intr_controller_gen_target[0].u_target_n_297 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_215 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_297 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_305 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13373__6131  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_300 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_270 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_304 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target_g13375__1881  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_225 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_298 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_302 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_intr_controller_gen_target[0].u_target_g13376  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_300 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_299 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13377__5115  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_298 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_288 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_301 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target_g13378__7482  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_288 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_297 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_300 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target_g13379  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_298 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_297 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13380__4733  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_110 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_189 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_296 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_298 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13381__6161  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_294 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_295 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_289 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_296 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13382__9315  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_293 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_215 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_290 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_295 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target_g13383__9945  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_215 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_293 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_294 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 \soc_top_intr_controller_gen_target[0].u_target_g13384__2883  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_291 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_225 ),
-	.X(\soc_top_intr_controller_gen_target[0].u_target_n_293 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13385__2346  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_291 ),
-	.B_N(\soc_top_intr_controller_gen_target[0].u_target_n_289 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_292 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13386__1666  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_287 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_278 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_288 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_279 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_291 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13387__7410  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_287 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_280 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_288 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_281 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_290 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13388__6417  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_288 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_274 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_289 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_intr_controller_gen_target[0].u_target_g13389  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_288 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_287 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13390__5477  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_274 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_286 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_250 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_270 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_288 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13391__2398  (
-	.A1_N(\soc_top_intr_controller_gen_target[0].u_target_n_280 ),
-	.A2_N(\soc_top_intr_controller_gen_target[0].u_target_n_284 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_281 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_285 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_286 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13392__5107  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_284 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_280 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_285 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13393__6260  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_279 ),
-	.B_N(\soc_top_intr_controller_gen_target[0].u_target_n_278 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_284 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13394__4319  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_271 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_248 ),
-	.A3(\soc_top_intr_controller_gen_target[0].u_target_n_214 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_275 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_200 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_283 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32a_1 \soc_top_intr_controller_gen_target[0].u_target_g13395__8428  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_194 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_247 ),
-	.A3(\soc_top_intr_controller_gen_target[0].u_target_n_272 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_192 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_276 ),
-	.X(\soc_top_intr_controller_gen_target[0].u_target_n_282 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13396__5526  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_260 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_271 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_256 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_272 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_281 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13397__6783  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_253 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_270 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_259 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_269 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_280 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13398__3680  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_251 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_270 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_252 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_269 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_277 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13399__1617  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_261 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_271 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_255 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_272 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_279 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13400__2802  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_254 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_270 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_262 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_269 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_278 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_intr_controller_gen_target[0].u_target_g13401  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_275 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_276 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target_g13402__1705  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_248 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_272 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_275 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13403__5122  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_181 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_242 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_185 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_241 ),
-	.C1(\soc_top_intr_controller_gen_target[0].u_target_n_272 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_273 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target_g13404__8246  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_249 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_272 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_274 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target_g13405  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_272 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_271 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13406__7098  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_267 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_249 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_242 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_197 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_272 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_intr_controller_gen_target[0].u_target_g13407  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_270 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_269 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13408__6131  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_268 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_250 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_244 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_198 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_270 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13409__1881  (
-	.A1_N(\soc_top_intr_controller_gen_target[0].u_target_n_259 ),
-	.A2_N(\soc_top_intr_controller_gen_target[0].u_target_n_263 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_253 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_265 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_268 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13410__5115  (
-	.A1_N(\soc_top_intr_controller_gen_target[0].u_target_n_260 ),
-	.A2_N(\soc_top_intr_controller_gen_target[0].u_target_n_264 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_256 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_266 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_267 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target_g13411__7482  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_260 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_264 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_266 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target_g13412__4733  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_259 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_263 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_265 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13413__6161  (
-	.A_N(\soc_top_intr_controller_gen_target[0].u_target_n_261 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_255 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_264 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13414__9315  (
-	.A_N(\soc_top_intr_controller_gen_target[0].u_target_n_262 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_254 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_263 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13415__9945  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_241 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_203 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_242 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_206 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_258 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13416__2883  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_243 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_204 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_244 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_205 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_257 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13417__2346  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_243 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_224 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_244 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_220 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_262 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13418__1666  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_241 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_212 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_242 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_211 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_261 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13419__7410  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_241 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_208 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_242 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_210 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_260 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13420__6417  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_243 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_216 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_244 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_226 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_259 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13421__5477  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_243 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_179 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_244 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_183 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_252 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13422__2398  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_245 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_187 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_246 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_191 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_251 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13423__5107  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_247 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_218 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_248 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_209 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_256 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13424__6260  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_247 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_221 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_248 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_213 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_255 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13425__4319  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_245 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_223 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_246 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_222 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_254 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13426__8428  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_245 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_227 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_246 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_217 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_253 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13427__5526  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_246 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_199 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_250 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13428__6783  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_248 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_196 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_249 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target_g13429  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_248 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_247 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target_g13430  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_246 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_245 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13431__3680  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_196 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_237 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_108 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_193 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_248 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13432__1617  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_199 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_240 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_107 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_187 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_246 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_intr_controller_gen_target[0].u_target_g13433  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_244 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_243 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_intr_controller_gen_target[0].u_target_g13434  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_242 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_241 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13435__2802  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_198 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_239 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_106 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_179 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_244 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13436__1705  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_197 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_238 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_105 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_181 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_242 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13437__5122  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_230 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_217 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_236 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_240 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13438__8246  (
-	.A1_N(\soc_top_intr_controller_gen_target[0].u_target_n_216 ),
-	.A2_N(\soc_top_intr_controller_gen_target[0].u_target_n_229 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_226 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_232 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_239 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13439__7098  (
-	.A1_N(\soc_top_intr_controller_gen_target[0].u_target_n_208 ),
-	.A2_N(\soc_top_intr_controller_gen_target[0].u_target_n_228 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_210 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_234 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_238 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13440__6131  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_231 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_219 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_235 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_237 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target_g13441__1881  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_227 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_233 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_236 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 \soc_top_intr_controller_gen_target[0].u_target_g13442__5115  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_219 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_231 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_209 ),
-	.X(\soc_top_intr_controller_gen_target[0].u_target_n_235 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13443__7482  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_228 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_208 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_234 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target_g13444__4733  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_217 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_230 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_233 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13445__6161  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_229 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_216 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_232 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13446__9315  (
-	.A_N(\soc_top_intr_controller_gen_target[0].u_target_n_213 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_221 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_231 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13447__9945  (
-	.A_N(\soc_top_intr_controller_gen_target[0].u_target_n_222 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_223 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_230 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13448__2883  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_220 ),
-	.B_N(\soc_top_intr_controller_gen_target[0].u_target_n_224 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_229 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13449__2346  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_211 ),
-	.B_N(\soc_top_intr_controller_gen_target[0].u_target_n_212 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_228 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_intr_controller_gen_target[0].u_target_g13450  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_218 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_219 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13451__1666  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_18 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_195 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_86 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_214 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13452__7410  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_127 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_186 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_129 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_187 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_227 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13453__6417  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_114 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_182 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_119 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_183 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_226 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13454__5477  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_136 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_188 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_135 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_189 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_225 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13455__2398  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_117 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_178 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_116 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_179 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_224 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13456__5107  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_126 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_186 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_133 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_187 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_223 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13457__6260  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_139 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_190 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_144 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_191 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_222 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13458__4319  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_142 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_192 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_141 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_193 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_221 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13459__8428  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_121 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_182 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_120 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_183 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_220 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13460__5526  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_140 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_192 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_132 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_193 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_218 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13461__6783  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_137 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_190 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_130 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_191 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_217 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13462__3680  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_115 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_178 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_113 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_179 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_216 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13463__1617  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_134 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_188 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_128 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_189 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_215 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13464__2802  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_90 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_188 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_88 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_189 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_207 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13465__1705  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_84 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_184 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_102 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_185 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_206 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13466__5122  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_80 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_182 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_82 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_183 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_205 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13467__8246  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_72 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_178 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_76 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_179 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_204 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13468__7098  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_74 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_180 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_78 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_181 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_203 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13469__6131  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_187 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_70 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_186 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_92 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_202 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13470__1881  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_96 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_190 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_100 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_191 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_201 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13471__5115  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_98 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_192 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_94 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_193 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_200 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13472__7482  (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio1][q] [0]),
-	.A2_N(\soc_top_intr_controller_gen_target[0].u_target_n_194 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_131 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_194 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_213 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13473__4733  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_118 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_180 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_122 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_181 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_212 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13474__6161  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_143 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_184 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_124 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_185 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_211 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13475__9315  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_111 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_184 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_123 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_185 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_210 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13476__9945  (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio1][q] [1]),
-	.A2_N(\soc_top_intr_controller_gen_target[0].u_target_n_194 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_138 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_194 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_209 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13477__2883  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_125 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_180 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_112 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_181 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_208 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target_g13478__2346  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_109 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_191 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_199 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target_g13479__1666  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_104 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_183 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_198 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target_g13480__7410  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_103 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_185 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_197 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13481__6417  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_195 ),
-	.B_N(\soc_top_intr_controller_gen_target[0].u_target_n_18 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_196 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target_g13482  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_195 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_194 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target_g13483  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_193 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_192 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target_g13484  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_191 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_190 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_intr_controller_gen_target[0].u_target_g13485  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_189 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_188 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13486__5477  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_86 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_20 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_177 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_195 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13487__2398  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_175 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_108 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_98 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_25 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_193 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13488__5107  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_174 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_109 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_96 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_0 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_191 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13489__6260  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_176 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_110 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_90 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_8 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_189 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_intr_controller_gen_target[0].u_target_g13490  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_187 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_186 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target_g13491  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_185 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_184 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target_g13492  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_183 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_182 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target_g13493  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_181 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_180 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target_g13494  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_179 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_178 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13495__4319  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_169 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_107 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_70 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_16 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_187 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13496__8428  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_173 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_103 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_84 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_34 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_185 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13497__5526  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_170 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_104 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_80 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_24 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_183 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13498__6783  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_172 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_105 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_74 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_7 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_181 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13499__3680  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_171 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_106 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_72 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_17 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_179 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13500__1617  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_168 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_153 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_18 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_177 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13501__2802  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_128 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_152 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_163 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_176 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13502__1705  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_132 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_150 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_167 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_175 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13503__5122  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_130 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_151 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_166 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_174 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13504__8246  (
-	.A1_N(\soc_top_intr_controller_gen_target[0].u_target_n_111 ),
-	.A2_N(\soc_top_intr_controller_gen_target[0].u_target_n_149 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_123 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_155 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_173 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13505__7098  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_112 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_148 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_162 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_172 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13506__6131  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_113 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_147 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_165 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_171 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13507__1881  (
-	.A1_N(\soc_top_intr_controller_gen_target[0].u_target_n_114 ),
-	.A2_N(\soc_top_intr_controller_gen_target[0].u_target_n_146 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_119 ),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_156 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_170 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13508__5115  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_129 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_145 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_164 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_169 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13509__7482  (
-	.A1(\soc_top_intr_controller_reg2hw[prio1][q] [1]),
-	.A2(\soc_top_intr_controller_reg2hw[prio1][q] [0]),
-	.A3(\soc_top_intr_controller_gen_target[0].u_target_n_131 ),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_138 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_168 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13510__4733  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_159 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_140 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_167 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13511__6161  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_160 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_137 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_166 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13512__9315  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_157 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_115 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_165 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13513__9945  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_158 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_127 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_164 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13514__2883  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_161 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_134 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_163 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13515__2346  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_154 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_125 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_162 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13516__1666  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_152 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_128 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_161 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13517__7410  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_151 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_130 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_160 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13518__6417  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_150 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_132 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_159 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13519__5477  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_145 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_129 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_158 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13520__2398  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_147 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_113 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_157 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target_g13521__5107  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_114 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_146 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_156 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target_g13522__6260  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_111 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_149 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_155 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13523__4319  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_148 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_112 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_154 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13524__8428  (
-	.A1(\soc_top_intr_controller_reg2hw[prio1][q] [0]),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_131 ),
-	.B1(\soc_top_intr_controller_reg2hw[prio1][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_153 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13525__5526  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_136 ),
-	.B_N(\soc_top_intr_controller_gen_target[0].u_target_n_135 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_152 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13526__6783  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_139 ),
-	.B_N(\soc_top_intr_controller_gen_target[0].u_target_n_144 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_151 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13527__3680  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_142 ),
-	.B_N(\soc_top_intr_controller_gen_target[0].u_target_n_141 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_150 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13528__1617  (
-	.A_N(\soc_top_intr_controller_gen_target[0].u_target_n_143 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_124 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_149 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13529__2802  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_118 ),
-	.B_N(\soc_top_intr_controller_gen_target[0].u_target_n_122 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_148 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13530__1705  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_117 ),
-	.B_N(\soc_top_intr_controller_gen_target[0].u_target_n_116 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_147 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13531__5122  (
-	.A_N(\soc_top_intr_controller_gen_target[0].u_target_n_121 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_120 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_146 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13532__8246  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_126 ),
-	.B_N(\soc_top_intr_controller_gen_target[0].u_target_n_133 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_145 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13533__7098  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_99 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio17][q] [0]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_100 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio16][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_144 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13534__6131  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_83 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio11][q] [0]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_84 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio10][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_143 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13535__1881  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_97 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio7][q] [0]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_98 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio6][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_142 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13536__5115  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_93 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio5][q] [0]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_94 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio4][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_141 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13537__7482  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_97 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio7][q] [1]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_98 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio6][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_140 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13538__4733  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_95 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio19][q] [0]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_96 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio18][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_139 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13539__6161  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_85 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio3][q] [1]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_86 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio2][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_138 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13540__9315  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_95 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio19][q] [1]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_96 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio18][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_137 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13541__9945  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_89 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio35][q] [0]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_90 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio34][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_136 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13542__2883  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_87 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio33][q] [0]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_88 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio32][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_135 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13543__2346  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_89 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio35][q] [1]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_90 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio34][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_134 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13544__1666  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_91 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio21][q] [0]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_92 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio20][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_133 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13545__7410  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_93 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio5][q] [1]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_94 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio4][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_132 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13546__6417  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_85 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio3][q] [0]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_86 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio2][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_131 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13547__5477  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_99 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio17][q] [1]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_100 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio16][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_130 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13548__2398  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_91 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio21][q] [1]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_92 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio20][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_129 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13549__5107  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_87 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio33][q] [1]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_88 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio32][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_128 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13550__6260  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_69 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio23][q] [1]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_70 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio22][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_127 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13551__4319  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_69 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio23][q] [0]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_70 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio22][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_126 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13552__8428  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_73 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio15][q] [1]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_74 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio14][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_125 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13553__5526  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_101 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio9][q] [0]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_102 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio8][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_124 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13554__6783  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_101 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio9][q] [1]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_102 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio8][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_123 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13555__3680  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_77 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio13][q] [0]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_78 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio12][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_122 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13556__1617  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_79 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio27][q] [0]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_80 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio26][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_121 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13557__2802  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_81 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio25][q] [0]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_82 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio24][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_120 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13558__1705  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_81 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio25][q] [1]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_82 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio24][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_119 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13559__5122  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_73 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio15][q] [0]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_74 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio14][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_118 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13560__8246  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_71 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio31][q] [0]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_72 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio30][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_117 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13561__7098  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_75 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio29][q] [0]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_76 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio28][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_116 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13562__6131  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_71 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio31][q] [1]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_72 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio30][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_115 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13563__1881  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_79 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio27][q] [1]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_80 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio26][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_114 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13564__5115  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_75 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio29][q] [1]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_76 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio28][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_113 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13565__7482  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_77 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio13][q] [1]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_78 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio12][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_112 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13566__4733  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_83 ),
-	.A2(\soc_top_intr_controller_reg2hw[prio11][q] [1]),
-	.B1(\soc_top_intr_controller_gen_target[0].u_target_n_84 ),
-	.B2(\soc_top_intr_controller_reg2hw[prio10][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_111 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13567__6161  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_88 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_14 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_110 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13568__9315  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_100 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_2 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_109 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13569__9945  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_94 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_31 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_108 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13570__2883  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_92 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_9 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_107 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13571__2346  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_76 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_33 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_106 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13572__1666  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_78 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_29 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_105 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13573__7410  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_82 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_13 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_104 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13574__6417  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_102 ),
-	.B(\soc_top_intr_controller_gen_target[0].u_target_n_27 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_103 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target_g13575  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_102 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_101 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target_g13576  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_100 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_99 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_intr_controller_gen_target[0].u_target_g13577  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_98 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_97 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_intr_controller_gen_target[0].u_target_g13578  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_96 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_95 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target_g13579  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_94 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_93 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target_g13580  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_92 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_91 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_intr_controller_gen_target[0].u_target_g13581  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_90 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_89 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target_g13582  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_88 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_87 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13583__5477  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_27 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_68 ),
-	.B1(\soc_top_intr_controller_reg2hw[ie0][9][q] ),
-	.C1(soc_top_intr_controller_ip[9]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_102 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13584__2398  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_2 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_66 ),
-	.B1(\soc_top_intr_controller_reg2hw[ie0][17][q] ),
-	.C1(soc_top_intr_controller_ip[17]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_100 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13585__5107  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_25 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_58 ),
-	.B1(\soc_top_intr_controller_reg2hw[ie0][7][q] ),
-	.C1(soc_top_intr_controller_ip[7]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_98 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13586__6260  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_0 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_65 ),
-	.B1(\soc_top_intr_controller_reg2hw[ie0][19][q] ),
-	.C1(soc_top_intr_controller_ip[19]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_96 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13587__4319  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_31 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_67 ),
-	.B1(\soc_top_intr_controller_reg2hw[ie0][5][q] ),
-	.C1(soc_top_intr_controller_ip[5]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_94 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13588__8428  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_9 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_55 ),
-	.B1(\soc_top_intr_controller_reg2hw[ie0][21][q] ),
-	.C1(soc_top_intr_controller_ip[21]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_92 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13589__5526  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_8 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_64 ),
-	.B1(\soc_top_intr_controller_reg2hw[ie0][35][q] ),
-	.C1(soc_top_intr_controller_ip[35]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_90 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13590__6783  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_14 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_63 ),
-	.B1(\soc_top_intr_controller_reg2hw[ie0][33][q] ),
-	.C1(soc_top_intr_controller_ip[33]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_88 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_intr_controller_gen_target[0].u_target_g13591  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_86 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_85 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_intr_controller_gen_target[0].u_target_g13592  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_84 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_83 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target_g13593  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_82 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_81 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_intr_controller_gen_target[0].u_target_g13594  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_80 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_79 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target_g13595  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_78 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_77 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target_g13596  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_76 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_75 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_intr_controller_gen_target[0].u_target_g13597  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_74 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_73 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_intr_controller_gen_target[0].u_target_g13598  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_72 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_71 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_intr_controller_gen_target[0].u_target_g13599  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_70 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_69 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13600__3680  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_20 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_60 ),
-	.B1(\soc_top_intr_controller_reg2hw[ie0][3][q] ),
-	.C1(soc_top_intr_controller_ip[3]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_86 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13601__1617  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_34 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_61 ),
-	.B1(\soc_top_intr_controller_reg2hw[ie0][11][q] ),
-	.C1(soc_top_intr_controller_ip[11]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_84 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13602__2802  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_13 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_62 ),
-	.B1(\soc_top_intr_controller_reg2hw[ie0][25][q] ),
-	.C1(soc_top_intr_controller_ip[25]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_82 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13603__1705  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_24 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_52 ),
-	.B1(\soc_top_intr_controller_reg2hw[ie0][27][q] ),
-	.C1(soc_top_intr_controller_ip[27]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_80 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13604__5122  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_29 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_57 ),
-	.B1(\soc_top_intr_controller_reg2hw[ie0][13][q] ),
-	.C1(soc_top_intr_controller_ip[13]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_78 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13605__8246  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_33 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_56 ),
-	.B1(\soc_top_intr_controller_reg2hw[ie0][29][q] ),
-	.C1(soc_top_intr_controller_ip[29]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_76 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13606__7098  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_7 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_54 ),
-	.B1(\soc_top_intr_controller_reg2hw[ie0][15][q] ),
-	.C1(soc_top_intr_controller_ip[15]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_74 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13607__6131  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_17 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_59 ),
-	.B1(\soc_top_intr_controller_reg2hw[ie0][31][q] ),
-	.C1(soc_top_intr_controller_ip[31]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_72 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target_g13608__1881  (
-	.A1(\soc_top_intr_controller_gen_target[0].u_target_n_16 ),
-	.A2(\soc_top_intr_controller_gen_target[0].u_target_n_53 ),
-	.B1(\soc_top_intr_controller_reg2hw[ie0][23][q] ),
-	.C1(soc_top_intr_controller_ip[23]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_70 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13609__5115  (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio9][q] [1]),
-	.A2_N(\soc_top_intr_controller_gen_target[0].u_target_n_6 ),
-	.B1(\soc_top_intr_controller_reg2hw[prio8][q] [1]),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_44 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_68 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13610__7482  (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio5][q] [1]),
-	.A2_N(\soc_top_intr_controller_gen_target[0].u_target_n_11 ),
-	.B1(\soc_top_intr_controller_reg2hw[prio4][q] [1]),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_41 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_67 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13611__4733  (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio17][q] [1]),
-	.A2_N(\soc_top_intr_controller_gen_target[0].u_target_n_4 ),
-	.B1(\soc_top_intr_controller_reg2hw[prio16][q] [1]),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_45 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_66 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13612__6161  (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio19][q] [1]),
-	.A2_N(\soc_top_intr_controller_gen_target[0].u_target_n_22 ),
-	.B1(\soc_top_intr_controller_reg2hw[prio18][q] [1]),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_48 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_65 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13613__9315  (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio35][q] [1]),
-	.A2_N(\soc_top_intr_controller_gen_target[0].u_target_n_1 ),
-	.B1(\soc_top_intr_controller_reg2hw[prio34][q] [1]),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_49 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_64 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13614__9945  (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio33][q] [1]),
-	.A2_N(\soc_top_intr_controller_gen_target[0].u_target_n_15 ),
-	.B1(\soc_top_intr_controller_reg2hw[prio32][q] [1]),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_46 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_63 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13615__2883  (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio25][q] [1]),
-	.A2_N(\soc_top_intr_controller_gen_target[0].u_target_n_19 ),
-	.B1(\soc_top_intr_controller_reg2hw[prio24][q] [1]),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_39 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_62 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13616__2346  (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio11][q] [1]),
-	.A2_N(\soc_top_intr_controller_gen_target[0].u_target_n_32 ),
-	.B1(\soc_top_intr_controller_reg2hw[prio10][q] [1]),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_40 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_61 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13617__1666  (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio3][q] [1]),
-	.A2_N(\soc_top_intr_controller_gen_target[0].u_target_n_21 ),
-	.B1(\soc_top_intr_controller_reg2hw[prio2][q] [1]),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_35 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_60 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13618__7410  (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio31][q] [1]),
-	.A2_N(\soc_top_intr_controller_gen_target[0].u_target_n_3 ),
-	.B1(\soc_top_intr_controller_reg2hw[prio30][q] [1]),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_47 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_59 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13619__6417  (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio7][q] [1]),
-	.A2_N(\soc_top_intr_controller_gen_target[0].u_target_n_23 ),
-	.B1(\soc_top_intr_controller_reg2hw[prio6][q] [1]),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_50 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_58 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13620__5477  (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio13][q] [1]),
-	.A2_N(\soc_top_intr_controller_gen_target[0].u_target_n_26 ),
-	.B1(\soc_top_intr_controller_reg2hw[prio12][q] [1]),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_37 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_57 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13621__2398  (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio29][q] [1]),
-	.A2_N(\soc_top_intr_controller_gen_target[0].u_target_n_30 ),
-	.B1(\soc_top_intr_controller_reg2hw[prio28][q] [1]),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_36 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_56 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13622__5107  (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio21][q] [1]),
-	.A2_N(\soc_top_intr_controller_gen_target[0].u_target_n_28 ),
-	.B1(\soc_top_intr_controller_reg2hw[prio20][q] [1]),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_42 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_55 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13623__6260  (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio15][q] [1]),
-	.A2_N(\soc_top_intr_controller_gen_target[0].u_target_n_5 ),
-	.B1(\soc_top_intr_controller_reg2hw[prio14][q] [1]),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_43 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_54 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13624__4319  (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio23][q] [1]),
-	.A2_N(\soc_top_intr_controller_gen_target[0].u_target_n_10 ),
-	.B1(\soc_top_intr_controller_reg2hw[prio22][q] [1]),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_51 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_53 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target_g13625__8428  (
-	.A1_N(\soc_top_intr_controller_reg2hw[prio27][q] [1]),
-	.A2_N(\soc_top_intr_controller_gen_target[0].u_target_n_12 ),
-	.B1(\soc_top_intr_controller_reg2hw[prio26][q] [1]),
-	.B2(\soc_top_intr_controller_gen_target[0].u_target_n_38 ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_52 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13626__5526  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_10 ),
-	.B(\soc_top_intr_controller_reg2hw[prio23][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_51 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13627__6783  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_23 ),
-	.B(\soc_top_intr_controller_reg2hw[prio7][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_50 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13628__3680  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_1 ),
-	.B(\soc_top_intr_controller_reg2hw[prio35][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_49 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13629__1617  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_22 ),
-	.B(\soc_top_intr_controller_reg2hw[prio19][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_48 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13630__2802  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_3 ),
-	.B(\soc_top_intr_controller_reg2hw[prio31][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_47 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13631__1705  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_15 ),
-	.B(\soc_top_intr_controller_reg2hw[prio33][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_46 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13632__5122  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_4 ),
-	.B(\soc_top_intr_controller_reg2hw[prio17][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_45 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13633__8246  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_6 ),
-	.B(\soc_top_intr_controller_reg2hw[prio9][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_44 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13634__7098  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_5 ),
-	.B(\soc_top_intr_controller_reg2hw[prio15][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_43 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13635__6131  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_28 ),
-	.B(\soc_top_intr_controller_reg2hw[prio21][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_42 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13636__1881  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_11 ),
-	.B(\soc_top_intr_controller_reg2hw[prio5][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_41 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13637__5115  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_32 ),
-	.B(\soc_top_intr_controller_reg2hw[prio11][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_40 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13638__7482  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_19 ),
-	.B(\soc_top_intr_controller_reg2hw[prio25][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_39 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13639__4733  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_12 ),
-	.B(\soc_top_intr_controller_reg2hw[prio27][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_38 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13640__6161  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_26 ),
-	.B(\soc_top_intr_controller_reg2hw[prio13][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_37 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13641__9315  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_30 ),
-	.B(\soc_top_intr_controller_reg2hw[prio29][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_36 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13642__9945  (
-	.A(\soc_top_intr_controller_gen_target[0].u_target_n_21 ),
-	.B(\soc_top_intr_controller_reg2hw[prio3][q] [1]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_35 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13643__2883  (
-	.A(soc_top_intr_controller_ip[10]),
-	.B(\soc_top_intr_controller_reg2hw[ie0][10][q] ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_34 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13644__2346  (
-	.A(soc_top_intr_controller_ip[28]),
-	.B(\soc_top_intr_controller_reg2hw[ie0][28][q] ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_33 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13645__1666  (
-	.A(\soc_top_intr_controller_reg2hw[prio10][q] [0]),
-	.B_N(\soc_top_intr_controller_reg2hw[prio11][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_32 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13646__7410  (
-	.A(soc_top_intr_controller_ip[4]),
-	.B(\soc_top_intr_controller_reg2hw[ie0][4][q] ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_31 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13647__6417  (
-	.A(\soc_top_intr_controller_reg2hw[prio28][q] [0]),
-	.B_N(\soc_top_intr_controller_reg2hw[prio29][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_30 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13648__5477  (
-	.A(soc_top_intr_controller_ip[12]),
-	.B(\soc_top_intr_controller_reg2hw[ie0][12][q] ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_29 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13649__2398  (
-	.A(\soc_top_intr_controller_reg2hw[prio20][q] [0]),
-	.B_N(\soc_top_intr_controller_reg2hw[prio21][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_28 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13650__5107  (
-	.A(soc_top_intr_controller_ip[8]),
-	.B(\soc_top_intr_controller_reg2hw[ie0][8][q] ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_27 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13651__6260  (
-	.A(\soc_top_intr_controller_reg2hw[prio12][q] [0]),
-	.B_N(\soc_top_intr_controller_reg2hw[prio13][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_26 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13652__4319  (
-	.A(soc_top_intr_controller_ip[6]),
-	.B(\soc_top_intr_controller_reg2hw[ie0][6][q] ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_25 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13653__8428  (
-	.A(soc_top_intr_controller_ip[26]),
-	.B(\soc_top_intr_controller_reg2hw[ie0][26][q] ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_24 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13654__5526  (
-	.A(\soc_top_intr_controller_reg2hw[prio6][q] [0]),
-	.B_N(\soc_top_intr_controller_reg2hw[prio7][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_23 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13655__6783  (
-	.A(\soc_top_intr_controller_reg2hw[prio18][q] [0]),
-	.B_N(\soc_top_intr_controller_reg2hw[prio19][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_22 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13656__3680  (
-	.A(\soc_top_intr_controller_reg2hw[prio2][q] [0]),
-	.B_N(\soc_top_intr_controller_reg2hw[prio3][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_21 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13657__1617  (
-	.A(soc_top_intr_controller_ip[2]),
-	.B(\soc_top_intr_controller_reg2hw[ie0][2][q] ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_20 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13658__2802  (
-	.A(\soc_top_intr_controller_reg2hw[prio24][q] [0]),
-	.B_N(\soc_top_intr_controller_reg2hw[prio25][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_19 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13659__1705  (
-	.A(soc_top_intr_controller_ip[1]),
-	.B(\soc_top_intr_controller_reg2hw[ie0][1][q] ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_18 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13660__5122  (
-	.A(soc_top_intr_controller_ip[30]),
-	.B(\soc_top_intr_controller_reg2hw[ie0][30][q] ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_17 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13661__8246  (
-	.A(soc_top_intr_controller_ip[22]),
-	.B(\soc_top_intr_controller_reg2hw[ie0][22][q] ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_16 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13662__7098  (
-	.A(\soc_top_intr_controller_reg2hw[prio32][q] [0]),
-	.B_N(\soc_top_intr_controller_reg2hw[prio33][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_15 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13663__6131  (
-	.A(soc_top_intr_controller_ip[32]),
-	.B(\soc_top_intr_controller_reg2hw[ie0][32][q] ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_14 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13664__1881  (
-	.A(soc_top_intr_controller_ip[24]),
-	.B(\soc_top_intr_controller_reg2hw[ie0][24][q] ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_13 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13665__5115  (
-	.A(\soc_top_intr_controller_reg2hw[prio26][q] [0]),
-	.B_N(\soc_top_intr_controller_reg2hw[prio27][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_12 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13666__7482  (
-	.A(\soc_top_intr_controller_reg2hw[prio4][q] [0]),
-	.B_N(\soc_top_intr_controller_reg2hw[prio5][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_11 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13667__4733  (
-	.A(\soc_top_intr_controller_reg2hw[prio22][q] [0]),
-	.B_N(\soc_top_intr_controller_reg2hw[prio23][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_10 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13668__6161  (
-	.A(soc_top_intr_controller_ip[20]),
-	.B(\soc_top_intr_controller_reg2hw[ie0][20][q] ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_9 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13669__9315  (
-	.A(soc_top_intr_controller_ip[34]),
-	.B(\soc_top_intr_controller_reg2hw[ie0][34][q] ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_8 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13670__9945  (
-	.A(soc_top_intr_controller_ip[14]),
-	.B(\soc_top_intr_controller_reg2hw[ie0][14][q] ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_7 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13671__2883  (
-	.A(\soc_top_intr_controller_reg2hw[prio8][q] [0]),
-	.B_N(\soc_top_intr_controller_reg2hw[prio9][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_6 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13672__2346  (
-	.A(\soc_top_intr_controller_reg2hw[prio14][q] [0]),
-	.B_N(\soc_top_intr_controller_reg2hw[prio15][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_5 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13673__1666  (
-	.A(\soc_top_intr_controller_reg2hw[prio16][q] [0]),
-	.B_N(\soc_top_intr_controller_reg2hw[prio17][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_4 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13674__7410  (
-	.A(\soc_top_intr_controller_reg2hw[prio30][q] [0]),
-	.B_N(\soc_top_intr_controller_reg2hw[prio31][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_3 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13675__6417  (
-	.A(soc_top_intr_controller_ip[16]),
-	.B(\soc_top_intr_controller_reg2hw[ie0][16][q] ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_2 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target_g13676__5477  (
-	.A(\soc_top_intr_controller_reg2hw[prio34][q] [0]),
-	.B_N(\soc_top_intr_controller_reg2hw[prio35][q] [0]),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_1 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target_g13677__2398  (
-	.A(soc_top_intr_controller_ip[18]),
-	.B(\soc_top_intr_controller_reg2hw[ie0][18][q] ),
-	.Y(\soc_top_intr_controller_gen_target[0].u_target_n_0 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_1_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(soc_top_intr_controller_ip[1]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_1_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_2_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(soc_top_intr_controller_ip[2]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_2_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_3_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(soc_top_intr_controller_ip[3]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_3_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_4_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(soc_top_intr_controller_ip[4]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_4_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_5_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(soc_top_intr_controller_ip[5]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_5_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_6_q_reg[0]  (
-	.CLK(CTS_26),
-	.D(soc_top_intr_controller_ip[6]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_6_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_7_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(soc_top_intr_controller_ip[7]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_7_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_8_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(soc_top_intr_controller_ip[8]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_8_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_9_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(soc_top_intr_controller_ip[9]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_9_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_10_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(soc_top_intr_controller_ip[10]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_10_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_11_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(soc_top_intr_controller_ip[11]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_11_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_12_q_reg[0]  (
-	.CLK(CTS_18),
-	.D(soc_top_intr_controller_ip[12]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_12_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_13_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(soc_top_intr_controller_ip[13]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_13_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_14_q_reg[0]  (
-	.CLK(CTS_18),
-	.D(soc_top_intr_controller_ip[14]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_14_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_15_q_reg[0]  (
-	.CLK(CTS_28),
-	.D(soc_top_intr_controller_ip[15]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_15_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_16_q_reg[0]  (
-	.CLK(CTS_26),
-	.D(soc_top_intr_controller_ip[16]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_16_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_17_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(soc_top_intr_controller_ip[17]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_17_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_18_q_reg[0]  (
-	.CLK(CTS_26),
-	.D(soc_top_intr_controller_ip[18]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_18_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_19_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(soc_top_intr_controller_ip[19]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_19_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_20_q_reg[0]  (
-	.CLK(CTS_26),
-	.D(soc_top_intr_controller_ip[20]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_20_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_21_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(soc_top_intr_controller_ip[21]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_21_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_22_q_reg[0]  (
-	.CLK(CTS_26),
-	.D(soc_top_intr_controller_ip[22]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_22_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_23_q_reg[0]  (
-	.CLK(CTS_19),
-	.D(soc_top_intr_controller_ip[23]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_23_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_24_q_reg[0]  (
-	.CLK(CTS_16),
-	.D(soc_top_intr_controller_ip[24]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_24_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_25_q_reg[0]  (
-	.CLK(CTS_19),
-	.D(soc_top_intr_controller_ip[25]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_25_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_26_q_reg[0]  (
-	.CLK(CTS_16),
-	.D(soc_top_intr_controller_ip[26]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_26_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_27_q_reg[0]  (
-	.CLK(CTS_19),
-	.D(soc_top_intr_controller_ip[27]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_27_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_28_q_reg[0]  (
-	.CLK(CTS_26),
-	.D(soc_top_intr_controller_ip[28]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_28_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_29_q_reg[0]  (
-	.CLK(CTS_19),
-	.D(soc_top_intr_controller_ip[29]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_29_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_30_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(soc_top_intr_controller_ip[30]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_30_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_31_q_reg[0]  (
-	.CLK(CTS_19),
-	.D(soc_top_intr_controller_ip[31]),
-	.Q(soc_top_intr_controller_u_reg_ip_0_p_31_qs),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_1_p_32_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(soc_top_intr_controller_ip[32]),
-	.Q(soc_top_intr_controller_u_reg_reg_rdata_next[0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_1_p_33_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(soc_top_intr_controller_ip[33]),
-	.Q(soc_top_intr_controller_u_reg_reg_rdata_next[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_1_p_34_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(soc_top_intr_controller_ip[34]),
-	.Q(soc_top_intr_controller_u_reg_reg_rdata_next[2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_1_p_35_q_reg[0]  (
-	.CLK(CTS_20),
-	.D(soc_top_intr_controller_ip[35]),
-	.Q(soc_top_intr_controller_u_reg_reg_rdata_next[3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dlxtp_1 soc_top_reset_manager_rst_run_d_reg (
-	.D(soc_top_reset_manager_n_9),
-	.GATE(soc_top_reset_manager_n_12),
-	.Q(soc_top_reset_manager_rst_run_d), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_reset_manager_g187 (
-	.A(soc_top_reset_manager_n_9),
-	.Y(soc_top_reset_manager_n_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_reset_manager_g188__5107 (
-	.A(soc_top_reset_manager_n_13),
-	.B(wb_rst_i),
-	.Y(soc_top_reset_manager_n_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_reset_manager_g189__6260 (
-	.A(soc_top_reset_manager_rst_fsm_cs[0]),
-	.B(soc_top_reset_manager_rst_fsm_cs[1]),
-	.Y(soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_reset_manager_g190__4319 (
-	.A(soc_top_reset_manager_rst_fsm_cs[0]),
-	.B(soc_top_reset_manager_rst_fsm_cs[1]),
-	.X(soc_top_reset_manager_n_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_reset_manager_rst_fsm_cs_reg[1]  (
-	.CLK(CTS_79),
-	.D(soc_top_reset_manager_n_8),
-	.Q(soc_top_reset_manager_rst_fsm_cs[1]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_reset_manager_rst_fsm_cs_reg[0]  (
-	.CLK(CTS_79),
-	.D(soc_top_reset_manager_n_7),
-	.Q(soc_top_reset_manager_rst_fsm_cs[0]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 soc_top_reset_manager_g242__8428 (
-	.A1(soc_top_reset_manager_rst_fsm_cs[1]),
-	.A2(soc_top_reset_manager_n_6),
-	.B1(soc_top_reset_manager_n_13),
-	.B2(soc_top_reset_manager_n_0),
-	.C1(soc_top_reset_manager_n_4),
-	.Y(soc_top_reset_manager_n_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_reset_manager_g243__5526 (
-	.A1(soc_top_reset_manager_rst_fsm_cs[1]),
-	.A2(soc_top_reset_manager_n_2),
-	.B1(soc_top_reset_manager_n_5),
-	.Y(soc_top_reset_manager_n_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_reset_manager_g244__6783 (
-	.A1(FE_DBTN3_soc_top_prog_rst_ni),
-	.A2(soc_top_reset_manager_rst_run_q),
-	.B1(soc_top_reset_manager_rst_fsm_cs[0]),
-	.Y(soc_top_reset_manager_n_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_reset_manager_g245__3680 (
-	.A1(soc_top_prog_rst_ni),
-	.A2(soc_top_reset_manager_n_3),
-	.B1(soc_top_reset_manager_n_11),
-	.Y(soc_top_reset_manager_n_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_reset_manager_g247__1617 (
-	.A_N(soc_top_reset_manager_rst_fsm_cs[0]),
-	.B(soc_top_reset_manager_rst_fsm_cs[1]),
-	.Y(soc_top_reset_manager_n_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_reset_manager_g248 (
-	.A(soc_top_reset_manager_n_12),
-	.Y(soc_top_reset_manager_n_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_reset_manager_g254 (
-	.A(wb_rst_i),
-	.Y(soc_top_reset_manager_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 soc_top_reset_manager_rst_run_q_reg (
-	.CLK(CTS_79),
-	.D(soc_top_reset_manager_rst_run_d),
-	.Q(soc_top_reset_manager_rst_run_q),
-	.Q_N(soc_top_reset_manager_n_2),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 \soc_top_timer0_gen_harts[0].u_intr_hw_g42__2802  (
-	.A(\soc_top_timer0_reg2hw[intr_state0][0][q] ),
-	.B(soc_top_timer0_intr_timer_state_de[0]),
-	.X(soc_top_timer0_intr_timer_state_d[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 \soc_top_timer0_gen_harts[0].u_intr_hw_g43__1705  (
-	.A1(\soc_top_timer0_reg2hw[intr_test0][0][qe] ),
-	.A2(\soc_top_xbar_to_timer[a_data] [0]),
-	.B1(soc_top_timer0_intr_timer_set[0]),
-	.X(soc_top_timer0_intr_timer_state_de[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_gen_harts[0].u_intr_hw_intr_o_reg[0]  (
-	.CLK(CTS_22),
-	.D(\soc_top_timer0_gen_harts[0].u_intr_hw_n_0 ),
-	.Q(soc_top_intr_timer),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 \soc_top_timer0_gen_harts[0].u_intr_hw_g38__5122  (
-	.A(\soc_top_timer0_reg2hw[intr_state0][0][q] ),
-	.B(\soc_top_timer0_reg2hw[intr_enable0][0][q] ),
-	.X(\soc_top_timer0_gen_harts[0].u_intr_hw_n_0 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_intr_state0_q_reg[0]  (
-	.CLK(CTS_23),
-	.D(\soc_top_timer0_reg2hw[intr_state0][0][q] ),
-	.Q(\soc_top_timer0_reg2hw[intr_state0][0][q] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_timer0_u_reg_u_intr_state0_wr_data[0]),
-	.SCE(soc_top_timer0_u_reg_u_intr_state0_wr_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_timer0_u_reg_u_intr_state0_wr_en_data_arb_g98__8246 (
-	.A1(soc_top_timer0_u_reg_intr_state0_we),
-	.A2(\soc_top_xbar_to_timer[a_data] [0]),
-	.B1(soc_top_timer0_u_reg_u_intr_state0_wr_en_data_arb_n_1),
-	.Y(soc_top_timer0_u_reg_u_intr_state0_wr_data[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2i_1 soc_top_timer0_u_reg_u_intr_state0_wr_en_data_arb_g99__7098 (
-	.A0(\soc_top_timer0_reg2hw[intr_state0][0][q] ),
-	.A1(\soc_top_timer0_hw2reg[intr_state0][0][d] ),
-	.S(\soc_top_timer0_hw2reg[intr_state0][0][de] ),
-	.Y(soc_top_timer0_u_reg_u_intr_state0_wr_en_data_arb_n_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 soc_top_timer0_u_reg_u_intr_state0_wr_en_data_arb_g100__6131 (
-	.A(\soc_top_timer0_hw2reg[intr_state0][0][de] ),
-	.B(soc_top_timer0_u_reg_intr_state0_we),
-	.X(soc_top_timer0_u_reg_u_intr_state0_wr_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_addr_q_reg[0]  (
-	.CLK(CTS_77),
-	.D(soc_top_u_dut_n_82),
-	.Q(soc_top_iccm_ctrl_addr_o[0]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_addr_q_reg[1]  (
-	.CLK(CTS_77),
-	.D(soc_top_u_dut_n_91),
-	.Q(soc_top_iccm_ctrl_addr_o[1]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_addr_q_reg[2]  (
-	.CLK(CTS_77),
-	.D(soc_top_u_dut_n_98),
-	.Q(soc_top_iccm_ctrl_addr_o[2]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_addr_q_reg[3]  (
-	.CLK(CTS_77),
-	.D(soc_top_u_dut_n_103),
-	.Q(soc_top_iccm_ctrl_addr_o[3]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_addr_q_reg[4]  (
-	.CLK(CTS_103),
-	.D(soc_top_u_dut_n_109),
-	.Q(soc_top_iccm_ctrl_addr_o[4]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_addr_q_reg[5]  (
-	.CLK(CTS_103),
-	.D(soc_top_u_dut_n_114),
-	.Q(soc_top_iccm_ctrl_addr_o[5]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_addr_q_reg[6]  (
-	.CLK(CTS_103),
-	.D(soc_top_u_dut_n_120),
-	.Q(soc_top_iccm_ctrl_addr_o[6]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_addr_q_reg[7]  (
-	.CLK(CTS_103),
-	.D(soc_top_u_dut_n_124),
-	.Q(soc_top_iccm_ctrl_addr_o[7]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_addr_q_reg[8]  (
-	.CLK(CTS_103),
-	.D(soc_top_u_dut_n_129),
-	.Q(soc_top_iccm_ctrl_addr_o[8]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_addr_q_reg[9]  (
-	.CLK(CTS_103),
-	.D(soc_top_u_dut_n_132),
-	.Q(soc_top_iccm_ctrl_addr_o[9]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_addr_q_reg[10]  (
-	.CLK(CTS_77),
-	.D(soc_top_u_dut_n_134),
-	.Q(soc_top_iccm_ctrl_addr_o[10]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfstp_2 \soc_top_u_dut_ctrl_fsm_cs_reg[0]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_90),
-	.Q(soc_top_u_dut_ctrl_fsm_cs[0]),
-	.SET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfstp_2 \soc_top_u_dut_ctrl_fsm_cs_reg[1]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_117),
-	.Q(soc_top_u_dut_ctrl_fsm_cs[1]),
-	.SET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfstp_2 soc_top_u_dut_reset_q_reg (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_119),
-	.Q(soc_top_prog_rst_ni),
-	.SET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q0_reg[0]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_56),
-	.Q(soc_top_iccm_ctrl_data[24]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q0_reg[1]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_55),
-	.Q(soc_top_iccm_ctrl_data[25]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q0_reg[2]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_54),
-	.Q(soc_top_iccm_ctrl_data[26]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q0_reg[3]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_53),
-	.Q(soc_top_iccm_ctrl_data[27]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q0_reg[4]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_51),
-	.Q(soc_top_iccm_ctrl_data[28]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q0_reg[5]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_50),
-	.Q(soc_top_iccm_ctrl_data[29]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q0_reg[6]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_80),
-	.Q(soc_top_iccm_ctrl_data[30]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q0_reg[7]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_79),
-	.Q(soc_top_iccm_ctrl_data[31]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q1_reg[0]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_78),
-	.Q(soc_top_iccm_ctrl_data[16]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q1_reg[1]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_77),
-	.Q(soc_top_iccm_ctrl_data[17]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q1_reg[2]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_76),
-	.Q(soc_top_iccm_ctrl_data[18]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q1_reg[3]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_75),
-	.Q(soc_top_iccm_ctrl_data[19]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q1_reg[4]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_74),
-	.Q(soc_top_iccm_ctrl_data[20]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q1_reg[5]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_73),
-	.Q(soc_top_iccm_ctrl_data[21]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q1_reg[6]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_72),
-	.Q(soc_top_iccm_ctrl_data[22]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q1_reg[7]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_70),
-	.Q(soc_top_iccm_ctrl_data[23]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q2_reg[0]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_69),
-	.Q(soc_top_iccm_ctrl_data[8]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q2_reg[1]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_68),
-	.Q(soc_top_iccm_ctrl_data[9]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q2_reg[2]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_67),
-	.Q(soc_top_iccm_ctrl_data[10]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q2_reg[3]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_81),
-	.Q(soc_top_iccm_ctrl_data[11]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q2_reg[4]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_65),
-	.Q(soc_top_iccm_ctrl_data[12]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q2_reg[5]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_64),
-	.Q(soc_top_iccm_ctrl_data[13]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q2_reg[6]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_63),
-	.Q(soc_top_iccm_ctrl_data[14]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q2_reg[7]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_62),
-	.Q(soc_top_iccm_ctrl_data[15]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q3_reg[0]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_57),
-	.Q(soc_top_iccm_ctrl_data[0]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q3_reg[1]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_61),
-	.Q(soc_top_iccm_ctrl_data[1]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q3_reg[2]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_49),
-	.Q(soc_top_iccm_ctrl_data[2]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q3_reg[3]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_58),
-	.Q(soc_top_iccm_ctrl_data[3]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q3_reg[4]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_52),
-	.Q(soc_top_iccm_ctrl_data[4]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q3_reg[5]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_60),
-	.Q(soc_top_iccm_ctrl_data[5]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q3_reg[6]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_59),
-	.Q(soc_top_iccm_ctrl_data[6]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut_rx_byte_q3_reg[7]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_71),
-	.Q(soc_top_iccm_ctrl_data[7]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 soc_top_u_dut_we_q_reg (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_96),
-	.Q(soc_top_iccm_ctrl_we),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_dut_g4289__1881 (
-	.A(soc_top_u_dut_n_133),
-	.B(io_in[7]),
-	.Y(soc_top_u_dut_n_134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_dut_g4291__5115 (
-	.A1(soc_top_u_dut_n_131),
-	.A2(soc_top_u_dut_n_10),
-	.B1(soc_top_u_dut_n_11),
-	.B2(soc_top_iccm_ctrl_addr_o[10]),
-	.Y(soc_top_u_dut_n_133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_dut_g4292__7482 (
-	.A1(soc_top_u_dut_n_130),
-	.A2(soc_top_u_dut_n_28),
-	.B1(io_in[7]),
-	.Y(soc_top_u_dut_n_132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_dut_g4293__4733 (
-	.A1_N(soc_top_iccm_ctrl_addr_o[10]),
-	.A2_N(soc_top_u_dut_n_128),
-	.B1(soc_top_iccm_ctrl_addr_o[10]),
-	.B2(soc_top_u_dut_n_128),
-	.Y(soc_top_u_dut_n_131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 soc_top_u_dut_g4295__6161 (
-	.A1(soc_top_iccm_ctrl_addr_o[9]),
-	.A2(soc_top_u_dut_n_126),
-	.B1(soc_top_u_dut_n_10),
-	.C1(soc_top_u_dut_n_128),
-	.Y(soc_top_u_dut_n_130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_dut_g4296__9315 (
-	.A1(soc_top_u_dut_n_127),
-	.A2(soc_top_u_dut_n_30),
-	.B1(io_in[7]),
-	.Y(soc_top_u_dut_n_129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_dut_g4298__9945 (
-	.A(soc_top_u_dut_n_125),
-	.B(soc_top_u_dut_n_10),
-	.Y(soc_top_u_dut_n_127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_dut_g4299__2883 (
-	.A(soc_top_u_dut_n_126),
-	.B(soc_top_iccm_ctrl_addr_o[9]),
-	.Y(soc_top_u_dut_n_128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_dut_g4300__2346 (
-	.A(soc_top_iccm_ctrl_addr_o[8]),
-	.B(soc_top_u_dut_n_121),
-	.COUT(soc_top_u_dut_n_126),
-	.SUM(soc_top_u_dut_n_125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_dut_g4301__1666 (
-	.A1(soc_top_u_dut_n_123),
-	.A2(soc_top_u_dut_n_21),
-	.B1(io_in[7]),
-	.Y(soc_top_u_dut_n_124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_dut_g4303__7410 (
-	.A(soc_top_u_dut_n_122),
-	.B(soc_top_u_dut_n_10),
-	.Y(soc_top_u_dut_n_123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_dut_g4304__6417 (
-	.A(soc_top_iccm_ctrl_addr_o[7]),
-	.B(soc_top_u_dut_n_115),
-	.COUT(soc_top_u_dut_n_121),
-	.SUM(soc_top_u_dut_n_122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_dut_g4305__5477 (
-	.A1(soc_top_u_dut_n_118),
-	.A2(soc_top_u_dut_n_31),
-	.B1(io_in[7]),
-	.Y(soc_top_u_dut_n_120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_dut_g4308__2398 (
-	.A(soc_top_u_dut_n_12),
-	.B(soc_top_u_dut_n_113),
-	.Y(soc_top_u_dut_n_119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_dut_g4310__5107 (
-	.A(soc_top_u_dut_n_116),
-	.B(soc_top_u_dut_n_10),
-	.Y(soc_top_u_dut_n_118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 soc_top_u_dut_g4311__6260 (
-	.A1(soc_top_u_dut_n_108),
-	.A2(soc_top_u_dut_ctrl_fsm_cs[1]),
-	.A3(soc_top_u_dut_ctrl_fsm_cs[0]),
-	.A4(soc_top_rx_dv_i),
-	.B1(soc_top_u_dut_n_12),
-	.Y(soc_top_u_dut_n_117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_dut_g4312__4319 (
-	.A(soc_top_iccm_ctrl_addr_o[6]),
-	.B(soc_top_u_dut_n_110),
-	.COUT(soc_top_u_dut_n_115),
-	.SUM(soc_top_u_dut_n_116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_dut_g4313__8428 (
-	.A1(soc_top_u_dut_n_112),
-	.A2(soc_top_u_dut_n_29),
-	.B1(io_in[7]),
-	.Y(soc_top_u_dut_n_114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 soc_top_u_dut_g4314__5526 (
-	.A1(soc_top_u_dut_n_107),
-	.A2(soc_top_u_dut_ctrl_fsm_cs[1]),
-	.A3(soc_top_u_dut_ctrl_fsm_cs[0]),
-	.B1(soc_top_prog_rst_ni),
-	.Y(soc_top_u_dut_n_113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_dut_g4316__6783 (
-	.A(soc_top_u_dut_n_111),
-	.B(soc_top_u_dut_n_10),
-	.Y(soc_top_u_dut_n_112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_dut_g4317__3680 (
-	.A(soc_top_iccm_ctrl_addr_o[5]),
-	.B(soc_top_u_dut_n_104),
-	.COUT(soc_top_u_dut_n_110),
-	.SUM(soc_top_u_dut_n_111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_dut_g4318__1617 (
-	.A1(soc_top_u_dut_n_106),
-	.A2(soc_top_u_dut_n_27),
-	.B1(io_in[7]),
-	.Y(soc_top_u_dut_n_109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_dut_g4319 (
-	.A(soc_top_u_dut_n_107),
-	.Y(soc_top_u_dut_n_108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o41ai_1 soc_top_u_dut_g4320__2802 (
-	.A1(soc_top_iccm_ctrl_data[29]),
-	.A2(soc_top_iccm_ctrl_data[28]),
-	.A3(soc_top_iccm_ctrl_data[27]),
-	.A4(soc_top_u_dut_n_102),
-	.B1(wb_rst_i),
-	.Y(soc_top_u_dut_n_107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_dut_g4322__1705 (
-	.A(soc_top_u_dut_n_105),
-	.B(soc_top_u_dut_n_10),
-	.Y(soc_top_u_dut_n_106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_dut_g4323__5122 (
-	.A(soc_top_iccm_ctrl_addr_o[4]),
-	.B(soc_top_u_dut_n_99),
-	.COUT(soc_top_u_dut_n_104),
-	.SUM(soc_top_u_dut_n_105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_dut_g4324__8246 (
-	.A1(soc_top_u_dut_n_101),
-	.A2(soc_top_u_dut_n_26),
-	.B1(io_in[7]),
-	.Y(soc_top_u_dut_n_103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 soc_top_u_dut_g4325__7098 (
-	.A(soc_top_iccm_ctrl_data[26]),
-	.B(soc_top_iccm_ctrl_data[24]),
-	.C(soc_top_iccm_ctrl_data[25]),
-	.D(soc_top_u_dut_n_97),
-	.X(soc_top_u_dut_n_102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_dut_g4327__6131 (
-	.A(soc_top_u_dut_n_100),
-	.B(soc_top_u_dut_n_10),
-	.Y(soc_top_u_dut_n_101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_dut_g4328__1881 (
-	.A(soc_top_iccm_ctrl_addr_o[3]),
-	.B(soc_top_u_dut_n_92),
-	.COUT(soc_top_u_dut_n_99),
-	.SUM(soc_top_u_dut_n_100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_dut_g4329__5115 (
-	.A1(soc_top_u_dut_n_95),
-	.A2(soc_top_u_dut_n_32),
-	.B1(io_in[7]),
-	.Y(soc_top_u_dut_n_98), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_dut_g4331__7482 (
-	.A(soc_top_u_dut_n_94),
-	.B(soc_top_iccm_ctrl_data[6]),
-	.Y(soc_top_u_dut_n_97), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_dut_g4334__4733 (
-	.A(soc_top_u_dut_n_89),
-	.B(io_in[7]),
-	.Y(soc_top_u_dut_n_96), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_dut_g4335__6161 (
-	.A(soc_top_u_dut_n_93),
-	.B(soc_top_u_dut_n_10),
-	.Y(soc_top_u_dut_n_95), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 soc_top_u_dut_g4336__9315 (
-	.A(soc_top_iccm_ctrl_data[23]),
-	.B(soc_top_iccm_ctrl_data[31]),
-	.C(soc_top_iccm_ctrl_data[30]),
-	.D(soc_top_u_dut_n_86),
-	.Y(soc_top_u_dut_n_94), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_dut_g4337__9945 (
-	.A(soc_top_iccm_ctrl_addr_o[2]),
-	.B(soc_top_u_dut_n_84),
-	.COUT(soc_top_u_dut_n_92),
-	.SUM(soc_top_u_dut_n_93), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_dut_g4338__2883 (
-	.A1(soc_top_u_dut_n_87),
-	.A2(soc_top_u_dut_n_25),
-	.B1(io_in[7]),
-	.Y(soc_top_u_dut_n_91), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_dut_g4339__2346 (
-	.A1(soc_top_u_dut_n_12),
-	.A2(soc_top_u_dut_n_6),
-	.B1(soc_top_u_dut_n_88),
-	.Y(soc_top_u_dut_n_90), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_dut_g4340__1666 (
-	.A1(soc_top_iccm_ctrl_we),
-	.A2(soc_top_u_dut_ctrl_fsm_cs[0]),
-	.B1(soc_top_u_dut_n_88),
-	.Y(soc_top_u_dut_n_89), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a311oi_1 soc_top_u_dut_g4341__7410 (
-	.A1(soc_top_u_dut_n_46),
-	.A2(soc_top_rx_byte_i[0]),
-	.A3(soc_top_rx_byte_i[1]),
-	.B1(soc_top_u_dut_n_23),
-	.C1(soc_top_u_dut_n_83),
-	.Y(soc_top_u_dut_n_88), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_dut_g4343__6417 (
-	.A(soc_top_u_dut_n_85),
-	.B(soc_top_u_dut_n_10),
-	.Y(soc_top_u_dut_n_87), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 soc_top_u_dut_g4344__5477 (
-	.A_N(soc_top_u_dut_n_45),
-	.B(soc_top_u_dut_n_83),
-	.C(soc_top_u_dut_n_66),
-	.D(soc_top_iccm_ctrl_data[4]),
-	.Y(soc_top_u_dut_n_86), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_dut_g4345__2398 (
-	.A(soc_top_iccm_ctrl_addr_o[1]),
-	.B(soc_top_u_dut_n_39),
-	.COUT(soc_top_u_dut_n_84),
-	.SUM(soc_top_u_dut_n_85), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_dut_g4363__5107 (
-	.A1(soc_top_u_dut_n_18),
-	.A2(soc_top_u_dut_n_47),
-	.B1(io_in[7]),
-	.Y(soc_top_u_dut_n_82), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 soc_top_u_dut_g4364__6260 (
-	.A(soc_top_iccm_ctrl_data[15]),
-	.B(soc_top_iccm_ctrl_data[14]),
-	.C(soc_top_u_dut_n_44),
-	.Y(soc_top_u_dut_n_83), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4380__4319 (
-	.A1(soc_top_u_dut_n_34),
-	.A2(soc_top_rx_byte_i[3]),
-	.B1(soc_top_u_dut_n_37),
-	.B2(soc_top_iccm_ctrl_data[11]),
-	.X(soc_top_u_dut_n_81), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4381__8428 (
-	.A1(soc_top_u_dut_n_43),
-	.A2(soc_top_rx_byte_i[6]),
-	.B1(soc_top_u_dut_n_33),
-	.B2(soc_top_iccm_ctrl_data[30]),
-	.X(soc_top_u_dut_n_80), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4382__5526 (
-	.A1(soc_top_u_dut_n_43),
-	.A2(soc_top_rx_byte_i[7]),
-	.B1(soc_top_u_dut_n_33),
-	.B2(soc_top_iccm_ctrl_data[31]),
-	.X(soc_top_u_dut_n_79), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4383__6783 (
-	.A1(soc_top_u_dut_n_42),
-	.A2(soc_top_rx_byte_i[0]),
-	.B1(soc_top_u_dut_n_36),
-	.B2(soc_top_iccm_ctrl_data[16]),
-	.X(soc_top_u_dut_n_78), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4384__3680 (
-	.A1(soc_top_u_dut_n_42),
-	.A2(soc_top_rx_byte_i[1]),
-	.B1(soc_top_u_dut_n_36),
-	.B2(soc_top_iccm_ctrl_data[17]),
-	.X(soc_top_u_dut_n_77), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4385__1617 (
-	.A1(soc_top_u_dut_n_42),
-	.A2(soc_top_rx_byte_i[2]),
-	.B1(soc_top_u_dut_n_36),
-	.B2(soc_top_iccm_ctrl_data[18]),
-	.X(soc_top_u_dut_n_76), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4386__2802 (
-	.A1(soc_top_u_dut_n_42),
-	.A2(soc_top_rx_byte_i[3]),
-	.B1(soc_top_u_dut_n_36),
-	.B2(soc_top_iccm_ctrl_data[19]),
-	.X(soc_top_u_dut_n_75), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4387__1705 (
-	.A1(soc_top_u_dut_n_42),
-	.A2(soc_top_rx_byte_i[4]),
-	.B1(soc_top_u_dut_n_36),
-	.B2(soc_top_iccm_ctrl_data[20]),
-	.X(soc_top_u_dut_n_74), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4388__5122 (
-	.A1(soc_top_u_dut_n_42),
-	.A2(soc_top_rx_byte_i[5]),
-	.B1(soc_top_u_dut_n_36),
-	.B2(soc_top_iccm_ctrl_data[21]),
-	.X(soc_top_u_dut_n_73), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4389__8246 (
-	.A1(soc_top_u_dut_n_42),
-	.A2(soc_top_rx_byte_i[6]),
-	.B1(soc_top_u_dut_n_36),
-	.B2(soc_top_iccm_ctrl_data[22]),
-	.X(soc_top_u_dut_n_72), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4390__7098 (
-	.A1(soc_top_u_dut_n_35),
-	.A2(soc_top_rx_byte_i[7]),
-	.B1(soc_top_u_dut_n_41),
-	.B2(soc_top_iccm_ctrl_data[7]),
-	.X(soc_top_u_dut_n_71), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4391__6131 (
-	.A1(soc_top_u_dut_n_42),
-	.A2(soc_top_rx_byte_i[7]),
-	.B1(soc_top_u_dut_n_36),
-	.B2(soc_top_iccm_ctrl_data[23]),
-	.X(soc_top_u_dut_n_70), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4392__1881 (
-	.A1(soc_top_u_dut_n_34),
-	.A2(soc_top_rx_byte_i[0]),
-	.B1(soc_top_u_dut_n_37),
-	.B2(soc_top_iccm_ctrl_data[8]),
-	.X(soc_top_u_dut_n_69), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4393__5115 (
-	.A1(soc_top_u_dut_n_34),
-	.A2(soc_top_rx_byte_i[1]),
-	.B1(soc_top_u_dut_n_37),
-	.B2(soc_top_iccm_ctrl_data[9]),
-	.X(soc_top_u_dut_n_68), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4394__7482 (
-	.A1(soc_top_u_dut_n_34),
-	.A2(soc_top_rx_byte_i[2]),
-	.B1(soc_top_u_dut_n_37),
-	.B2(soc_top_iccm_ctrl_data[10]),
-	.X(soc_top_u_dut_n_67), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 soc_top_u_dut_g4395__4733 (
-	.A(soc_top_iccm_ctrl_data[21]),
-	.B(soc_top_iccm_ctrl_data[22]),
-	.C(soc_top_iccm_ctrl_data[20]),
-	.D(soc_top_u_dut_n_19),
-	.Y(soc_top_u_dut_n_66), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4397__6161 (
-	.A1(soc_top_u_dut_n_34),
-	.A2(soc_top_rx_byte_i[4]),
-	.B1(soc_top_u_dut_n_37),
-	.B2(soc_top_iccm_ctrl_data[12]),
-	.X(soc_top_u_dut_n_65), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4398__9315 (
-	.A1(soc_top_u_dut_n_34),
-	.A2(soc_top_rx_byte_i[5]),
-	.B1(soc_top_u_dut_n_37),
-	.B2(soc_top_iccm_ctrl_data[13]),
-	.X(soc_top_u_dut_n_64), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4399__9945 (
-	.A1(soc_top_u_dut_n_34),
-	.A2(soc_top_rx_byte_i[6]),
-	.B1(soc_top_u_dut_n_37),
-	.B2(soc_top_iccm_ctrl_data[14]),
-	.X(soc_top_u_dut_n_63), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4400__2883 (
-	.A1(soc_top_u_dut_n_34),
-	.A2(soc_top_rx_byte_i[7]),
-	.B1(soc_top_u_dut_n_37),
-	.B2(soc_top_iccm_ctrl_data[15]),
-	.X(soc_top_u_dut_n_62), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4401__2346 (
-	.A1(soc_top_u_dut_n_35),
-	.A2(soc_top_rx_byte_i[1]),
-	.B1(soc_top_u_dut_n_41),
-	.B2(soc_top_iccm_ctrl_data[1]),
-	.X(soc_top_u_dut_n_61), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4402__1666 (
-	.A1(soc_top_u_dut_n_35),
-	.A2(soc_top_rx_byte_i[5]),
-	.B1(soc_top_u_dut_n_41),
-	.B2(soc_top_iccm_ctrl_data[5]),
-	.X(soc_top_u_dut_n_60), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4403__7410 (
-	.A1(soc_top_u_dut_n_35),
-	.A2(soc_top_rx_byte_i[6]),
-	.B1(soc_top_u_dut_n_41),
-	.B2(soc_top_iccm_ctrl_data[6]),
-	.X(soc_top_u_dut_n_59), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4404__6417 (
-	.A1(soc_top_u_dut_n_35),
-	.A2(soc_top_rx_byte_i[3]),
-	.B1(soc_top_u_dut_n_41),
-	.B2(soc_top_iccm_ctrl_data[3]),
-	.X(soc_top_u_dut_n_58), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4405__5477 (
-	.A1(soc_top_u_dut_n_35),
-	.A2(soc_top_rx_byte_i[0]),
-	.B1(soc_top_u_dut_n_41),
-	.B2(soc_top_iccm_ctrl_data[0]),
-	.X(soc_top_u_dut_n_57), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4406__2398 (
-	.A1(soc_top_u_dut_n_43),
-	.A2(soc_top_rx_byte_i[0]),
-	.B1(soc_top_u_dut_n_33),
-	.B2(soc_top_iccm_ctrl_data[24]),
-	.X(soc_top_u_dut_n_56), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4407__5107 (
-	.A1(soc_top_u_dut_n_43),
-	.A2(soc_top_rx_byte_i[1]),
-	.B1(soc_top_u_dut_n_33),
-	.B2(soc_top_iccm_ctrl_data[25]),
-	.X(soc_top_u_dut_n_55), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4408__6260 (
-	.A1(soc_top_u_dut_n_43),
-	.A2(soc_top_rx_byte_i[2]),
-	.B1(soc_top_u_dut_n_33),
-	.B2(soc_top_iccm_ctrl_data[26]),
-	.X(soc_top_u_dut_n_54), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4409__4319 (
-	.A1(soc_top_u_dut_n_43),
-	.A2(soc_top_rx_byte_i[3]),
-	.B1(soc_top_u_dut_n_33),
-	.B2(soc_top_iccm_ctrl_data[27]),
-	.X(soc_top_u_dut_n_53), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4410__8428 (
-	.A1(soc_top_u_dut_n_35),
-	.A2(soc_top_rx_byte_i[4]),
-	.B1(soc_top_u_dut_n_41),
-	.B2(soc_top_iccm_ctrl_data[4]),
-	.X(soc_top_u_dut_n_52), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4411__5526 (
-	.A1(soc_top_u_dut_n_43),
-	.A2(soc_top_rx_byte_i[4]),
-	.B1(soc_top_u_dut_n_33),
-	.B2(soc_top_iccm_ctrl_data[28]),
-	.X(soc_top_u_dut_n_51), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4412__6783 (
-	.A1(soc_top_u_dut_n_43),
-	.A2(soc_top_rx_byte_i[5]),
-	.B1(soc_top_u_dut_n_33),
-	.B2(soc_top_iccm_ctrl_data[29]),
-	.X(soc_top_u_dut_n_50), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_dut_g4413__3680 (
-	.A1(soc_top_u_dut_n_35),
-	.A2(soc_top_rx_byte_i[2]),
-	.B1(soc_top_u_dut_n_41),
-	.B2(soc_top_iccm_ctrl_data[2]),
-	.X(soc_top_u_dut_n_49), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_dut_g4414__1617 (
-	.A1(soc_top_u_dut_n_22),
-	.A2(soc_top_u_dut_n_13),
-	.B1(io_in[7]),
-	.Y(soc_top_u_dut_n_48), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_dut_g4415__2802 (
-	.A(soc_top_u_dut_n_10),
-	.B(soc_top_u_dut_n_40),
-	.Y(soc_top_u_dut_n_47), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4b_1 soc_top_u_dut_g4417__1705 (
-	.A_N(soc_top_u_dut_n_9),
-	.B(soc_top_rx_byte_i[4]),
-	.C(soc_top_rx_byte_i[3]),
-	.D(soc_top_rx_byte_i[2]),
-	.X(soc_top_u_dut_n_46), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 soc_top_u_dut_g4418__5122 (
-	.A(soc_top_u_dut_n_7),
-	.B(soc_top_iccm_ctrl_data[5]),
-	.C(soc_top_iccm_ctrl_data[7]),
-	.D(soc_top_iccm_ctrl_data[0]),
-	.Y(soc_top_u_dut_n_45), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 soc_top_u_dut_g4419__8246 (
-	.A(soc_top_iccm_ctrl_data[13]),
-	.B(soc_top_iccm_ctrl_data[12]),
-	.C(soc_top_u_dut_n_17),
-	.X(soc_top_u_dut_n_44), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_dut_g4420__7098 (
-	.A(soc_top_iccm_ctrl_addr_o[0]),
-	.B(soc_top_u_dut_n_1),
-	.COUT(soc_top_u_dut_n_39),
-	.SUM(soc_top_u_dut_n_40), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_dut_g4421__6131 (
-	.A(soc_top_u_dut_n_20),
-	.B(io_in[7]),
-	.Y(soc_top_u_dut_n_38), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_dut_g4422__1881 (
-	.A(soc_top_u_dut_byte_count[0]),
-	.B(soc_top_u_dut_n_24),
-	.Y(soc_top_u_dut_n_43), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_dut_g4423__5115 (
-	.A(soc_top_u_dut_n_3),
-	.B(soc_top_u_dut_n_24),
-	.Y(soc_top_u_dut_n_42), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 soc_top_u_dut_g4424__7482 (
-	.A(io_in[7]),
-	.B_N(soc_top_u_dut_n_23),
-	.Y(soc_top_u_dut_n_41), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_dut_g4425__4733 (
-	.A1(soc_top_u_dut_n_16),
-	.A2(soc_top_u_dut_n_3),
-	.B1(io_in[7]),
-	.Y(soc_top_u_dut_n_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_dut_g4426__6161 (
-	.A1(soc_top_u_dut_n_14),
-	.A2(soc_top_u_dut_byte_count[0]),
-	.B1(io_in[7]),
-	.Y(soc_top_u_dut_n_36), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_dut_g4427__9315 (
-	.A(soc_top_u_dut_n_23),
-	.B(io_in[7]),
-	.Y(soc_top_u_dut_n_35), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 soc_top_u_dut_g4428__9945 (
-	.A(soc_top_u_dut_n_15),
-	.B(soc_top_u_dut_n_3),
-	.C(soc_top_u_dut_byte_count[1]),
-	.X(soc_top_u_dut_n_34), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_dut_g4429__2883 (
-	.A1(soc_top_u_dut_n_14),
-	.A2(soc_top_u_dut_n_3),
-	.B1(io_in[7]),
-	.Y(soc_top_u_dut_n_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_dut_g4430__2346 (
-	.A(soc_top_u_dut_n_11),
-	.B(soc_top_iccm_ctrl_addr_o[2]),
-	.Y(soc_top_u_dut_n_32), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_dut_g4431__1666 (
-	.A(soc_top_u_dut_n_11),
-	.B(soc_top_iccm_ctrl_addr_o[6]),
-	.Y(soc_top_u_dut_n_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_dut_g4432__7410 (
-	.A(soc_top_u_dut_n_11),
-	.B(soc_top_iccm_ctrl_addr_o[8]),
-	.Y(soc_top_u_dut_n_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_dut_g4433__6417 (
-	.A(soc_top_u_dut_n_11),
-	.B(soc_top_iccm_ctrl_addr_o[5]),
-	.Y(soc_top_u_dut_n_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_dut_g4434__5477 (
-	.A(soc_top_u_dut_n_11),
-	.B(soc_top_iccm_ctrl_addr_o[9]),
-	.Y(soc_top_u_dut_n_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_dut_g4435__2398 (
-	.A(soc_top_u_dut_n_11),
-	.B(soc_top_iccm_ctrl_addr_o[4]),
-	.Y(soc_top_u_dut_n_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_dut_g4436__5107 (
-	.A(soc_top_u_dut_n_11),
-	.B(soc_top_iccm_ctrl_addr_o[3]),
-	.Y(soc_top_u_dut_n_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_dut_g4437__6260 (
-	.A(soc_top_u_dut_n_11),
-	.B(soc_top_iccm_ctrl_addr_o[1]),
-	.Y(soc_top_u_dut_n_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_dut_g4438__4319 (
-	.A(soc_top_u_dut_n_8),
-	.B(soc_top_u_dut_n_0),
-	.Y(soc_top_u_dut_n_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_dut_g4439__8428 (
-	.A(soc_top_u_dut_n_11),
-	.B(soc_top_iccm_ctrl_addr_o[7]),
-	.Y(soc_top_u_dut_n_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_dut_g4440__5526 (
-	.A(soc_top_u_dut_byte_count[0]),
-	.B(soc_top_u_dut_n_0),
-	.Y(soc_top_u_dut_n_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 soc_top_u_dut_g4441__6783 (
-	.A(soc_top_iccm_ctrl_data[19]),
-	.B(soc_top_iccm_ctrl_data[16]),
-	.C(soc_top_iccm_ctrl_data[17]),
-	.D(soc_top_iccm_ctrl_data[18]),
-	.X(soc_top_u_dut_n_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_dut_g4442__3680 (
-	.A(soc_top_u_dut_n_11),
-	.B(soc_top_iccm_ctrl_addr_o[0]),
-	.Y(soc_top_u_dut_n_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 soc_top_u_dut_g4443__1617 (
-	.A(soc_top_iccm_ctrl_data[10]),
-	.B(soc_top_iccm_ctrl_data[8]),
-	.C(soc_top_iccm_ctrl_data[11]),
-	.D(soc_top_iccm_ctrl_data[9]),
-	.Y(soc_top_u_dut_n_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_dut_g4444__2802 (
-	.A(soc_top_u_dut_n_15),
-	.B(soc_top_u_dut_n_4),
-	.Y(soc_top_u_dut_n_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_dut_g4445__1705 (
-	.A(soc_top_u_dut_n_16),
-	.B(soc_top_u_dut_byte_count[0]),
-	.Y(soc_top_u_dut_n_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_dut_g4446__5122 (
-	.A(soc_top_u_dut_n_5),
-	.B(soc_top_u_dut_byte_count[1]),
-	.Y(soc_top_u_dut_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_dut_g4447__8246 (
-	.A(soc_top_u_dut_n_4),
-	.B(soc_top_u_dut_n_5),
-	.Y(soc_top_u_dut_n_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_dut_g4448__7098 (
-	.A(soc_top_u_dut_n_5),
-	.B(io_in[7]),
-	.Y(soc_top_u_dut_n_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_dut_g4449__6131 (
-	.A(soc_top_u_dut_byte_count[1]),
-	.B(soc_top_u_dut_n_5),
-	.Y(soc_top_u_dut_n_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_dut_g4450 (
-	.A(soc_top_u_dut_n_11),
-	.Y(soc_top_u_dut_n_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 soc_top_u_dut_g4451__1881 (
-	.A(soc_top_rx_byte_i[5]),
-	.B(soc_top_rx_byte_i[7]),
-	.C(soc_top_rx_byte_i[6]),
-	.Y(soc_top_u_dut_n_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_dut_g4452__5115 (
-	.A1(soc_top_u_dut_byte_count[1]),
-	.A2(soc_top_u_dut_n_3),
-	.B1(soc_top_u_dut_n_4),
-	.B2(soc_top_u_dut_byte_count[0]),
-	.Y(soc_top_u_dut_n_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 soc_top_u_dut_g4453__7482 (
-	.A(soc_top_iccm_ctrl_data[3]),
-	.B(soc_top_iccm_ctrl_data[2]),
-	.C(soc_top_iccm_ctrl_data[1]),
-	.X(soc_top_u_dut_n_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_dut_g4454__4733 (
-	.A1(soc_top_u_dut_ctrl_fsm_cs[0]),
-	.A2(soc_top_u_dut_ctrl_fsm_cs[1]),
-	.B1(soc_top_u_dut_n_2),
-	.Y(soc_top_u_dut_n_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_dut_g4455__6161 (
-	.A(soc_top_u_dut_n_1),
-	.B(soc_top_u_dut_n_0),
-	.Y(soc_top_u_dut_n_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_dut_g4456__9315 (
-	.A(soc_top_u_dut_n_2),
-	.B(soc_top_rx_dv_i),
-	.Y(soc_top_u_dut_n_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_dut_g4457 (
-	.A(soc_top_u_dut_n_0),
-	.Y(soc_top_u_dut_n_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_dut_g4500 (
-	.A(io_in[7]),
-	.Y(soc_top_u_dut_n_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 soc_top_u_dut_g2__9945 (
-	.A(soc_top_u_dut_ctrl_fsm_cs[0]),
-	.B_N(soc_top_u_dut_ctrl_fsm_cs[1]),
-	.Y(soc_top_u_dut_n_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 soc_top_u_dut_g4501__2883 (
-	.A(soc_top_u_dut_ctrl_fsm_cs[1]),
-	.B_N(soc_top_u_dut_ctrl_fsm_cs[0]),
-	.Y(soc_top_u_dut_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_dut_byte_count_reg[1]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_48),
-	.Q(soc_top_u_dut_byte_count[1]),
-	.Q_N(soc_top_u_dut_n_4),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_dut_byte_count_reg[0]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_dut_n_38),
-	.Q(soc_top_u_dut_byte_count[0]),
-	.Q_N(soc_top_u_dut_n_3),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_spi_host_spi_host_clgen_clk_out_reg (
-	.CLK(CTS_19),
-	.D(io_out[4]),
-	.Q(io_out[4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_spi_host_spi_host_clgen_n_1),
-	.SCE(soc_top_u_spi_host_spi_host_clgen_n_38), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfsbp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[0]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_clgen_cnt[0]),
-	.Q_N(soc_top_u_spi_host_spi_host_clgen_cnt[0]),
-	.SCD(soc_top_u_spi_host_spi_host_divider[0]),
-	.SCE(soc_top_u_spi_host_spi_host_clgen_n_35),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfstp_2 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[1]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_clgen_n_44),
-	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[1]),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[2]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_clgen_n_16),
-	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[2]),
-	.SCD(soc_top_u_spi_host_spi_host_divider[2]),
-	.SCE(soc_top_u_spi_host_spi_host_clgen_n_35),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfstp_2 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[3]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_clgen_n_45),
-	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[3]),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfstp_2 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[4]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_clgen_n_46),
-	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[4]),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfstp_2 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[5]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_clgen_n_54),
-	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[5]),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[7]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_clgen_n_65),
-	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[7]),
-	.SCD(soc_top_u_spi_host_spi_host_divider[7]),
-	.SCE(soc_top_u_spi_host_spi_host_clgen_n_35),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfstp_2 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[8]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_clgen_n_43),
-	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[8]),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[9]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_clgen_n_47),
-	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[9]),
-	.SCD(soc_top_u_spi_host_spi_host_divider[9]),
-	.SCE(soc_top_u_spi_host_spi_host_clgen_n_35),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfstp_2 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[10]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_clgen_n_66),
-	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[10]),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfstp_2 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[11]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_clgen_n_67),
-	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[11]),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[12]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_clgen_n_36),
-	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[12]),
-	.SCD(soc_top_u_spi_host_spi_host_divider[12]),
-	.SCE(soc_top_u_spi_host_spi_host_clgen_n_35),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfstp_2 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[13]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_clgen_n_52),
-	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[13]),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfstp_2 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[14]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_clgen_n_61),
-	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[14]),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfstp_2 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[15]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_clgen_n_64),
-	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[15]),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 soc_top_u_spi_host_spi_host_clgen_neg_edge_reg (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_clgen_n_57),
-	.Q(soc_top_u_spi_host_spi_host_neg_edge),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 soc_top_u_spi_host_spi_host_clgen_pos_edge_reg (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_clgen_n_55),
-	.Q(soc_top_u_spi_host_spi_host_pos_edge),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 soc_top_u_spi_host_spi_host_clgen_g2240__2346 (
-	.A1(soc_top_u_spi_host_spi_host_clgen_cnt[11]),
-	.A2(soc_top_u_spi_host_spi_host_clgen_n_58),
-	.B1(soc_top_u_spi_host_spi_host_clgen_n_0),
-	.B2(soc_top_u_spi_host_spi_host_clgen_n_35),
-	.C1(soc_top_u_spi_host_spi_host_clgen_n_41),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_67), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_clgen_g2242__1666 (
-	.A(soc_top_u_spi_host_spi_host_clgen_n_62),
-	.B(soc_top_u_spi_host_spi_host_clgen_n_58),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_66), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_clgen_g2244__7410 (
-	.A1(soc_top_u_spi_host_spi_host_clgen_n_7),
-	.A2(soc_top_u_spi_host_spi_host_clgen_n_59),
-	.B1(soc_top_u_spi_host_spi_host_clgen_n_23),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_65), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 soc_top_u_spi_host_spi_host_clgen_g2245__6417 (
-	.A1(soc_top_u_spi_host_spi_host_clgen_n_34),
-	.A2(soc_top_u_spi_host_spi_host_clgen_n_50),
-	.A3(soc_top_u_spi_host_spi_host_clgen_cnt[15]),
-	.B1(soc_top_u_spi_host_spi_host_clgen_n_35),
-	.B2(soc_top_u_spi_host_spi_host_divider[15]),
-	.X(soc_top_u_spi_host_spi_host_clgen_n_64), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_spi_host_spi_host_clgen_g2246__5477 (
-	.A1_N(soc_top_u_spi_host_spi_host_divider[6]),
-	.A2_N(soc_top_u_spi_host_spi_host_clgen_n_35),
-	.B1(soc_top_u_spi_host_spi_host_clgen_n_60),
-	.B2(soc_top_u_spi_host_spi_host_clgen_n_35),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_63), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 soc_top_u_spi_host_spi_host_clgen_g2249__2398 (
-	.A1(soc_top_u_spi_host_spi_host_clgen_n_34),
-	.A2(soc_top_u_spi_host_spi_host_clgen_n_42),
-	.A3(soc_top_u_spi_host_spi_host_clgen_cnt[10]),
-	.B1(soc_top_u_spi_host_spi_host_clgen_n_35),
-	.B2(soc_top_u_spi_host_spi_host_divider[10]),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_62), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_spi_host_spi_host_clgen_g2250__5107 (
-	.A1_N(soc_top_u_spi_host_spi_host_divider[14]),
-	.A2_N(soc_top_u_spi_host_spi_host_clgen_n_35),
-	.B1(soc_top_u_spi_host_spi_host_clgen_n_51),
-	.B2(soc_top_u_spi_host_spi_host_clgen_n_35),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_61), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_spi_host_spi_host_clgen_g2251__6260 (
-	.A(soc_top_u_spi_host_spi_host_clgen_n_6),
-	.B(soc_top_u_spi_host_spi_host_clgen_n_37),
-	.COUT(soc_top_u_spi_host_spi_host_clgen_n_59),
-	.SUM(soc_top_u_spi_host_spi_host_clgen_n_60), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_clgen_g2255__4319 (
-	.A1(io_out[4]),
-	.A2(soc_top_u_spi_host_spi_host_clgen_n_53),
-	.B1(soc_top_u_spi_host_spi_host_clgen_n_39),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_57), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_clgen_g2256__8428 (
-	.A(soc_top_u_spi_host_spi_host_clgen_n_34),
-	.B(soc_top_u_spi_host_spi_host_clgen_n_56),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_58), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 soc_top_u_spi_host_spi_host_clgen_g2260__5526 (
-	.A1(io_out[4]),
-	.A2(soc_top_u_spi_host_spi_host_clgen_cnt[0]),
-	.A3(soc_top_u_spi_host_spi_host_clgen_n_31),
-	.B1(soc_top_u_spi_host_spi_host_clgen_n_10),
-	.B2(soc_top_u_spi_host_spi_host_clgen_n_48),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_55), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_spi_host_spi_host_clgen_g2261__6783 (
-	.A1_N(soc_top_u_spi_host_spi_host_divider[5]),
-	.A2_N(soc_top_u_spi_host_spi_host_clgen_n_35),
-	.B1(soc_top_u_spi_host_spi_host_clgen_n_40),
-	.B2(soc_top_u_spi_host_spi_host_clgen_n_35),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_54), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_clgen_g2262__3680 (
-	.A(soc_top_u_spi_host_spi_host_clgen_cnt[10]),
-	.B(soc_top_u_spi_host_spi_host_clgen_n_42),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_56), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_spi_host_spi_host_clgen_g2268__1617 (
-	.A_N(soc_top_u_spi_host_spi_host_clgen_n_48),
-	.B(soc_top_u_spi_host_spi_host_tip),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_53), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_spi_host_spi_host_clgen_g2269__2802 (
-	.A1_N(soc_top_u_spi_host_spi_host_divider[13]),
-	.A2_N(soc_top_u_spi_host_spi_host_clgen_n_35),
-	.B1(soc_top_u_spi_host_spi_host_clgen_n_33),
-	.B2(soc_top_u_spi_host_spi_host_clgen_n_35),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_52), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_spi_host_spi_host_clgen_g2270__1705 (
-	.A1(soc_top_u_spi_host_spi_host_clgen_n_32),
-	.A2(soc_top_u_spi_host_spi_host_clgen_cnt[14]),
-	.B1(soc_top_u_spi_host_spi_host_clgen_n_49),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_51), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_spi_host_spi_host_clgen_g2273 (
-	.A(soc_top_u_spi_host_spi_host_clgen_n_49),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_50), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_clgen_g2274__5122 (
-	.A1(soc_top_u_spi_host_spi_host_clgen_n_3),
-	.A2(soc_top_u_spi_host_spi_host_clgen_n_27),
-	.B1(soc_top_u_spi_host_spi_host_clgen_n_42),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_47), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_clgen_g2275__8246 (
-	.A(soc_top_u_spi_host_spi_host_clgen_cnt[14]),
-	.B(soc_top_u_spi_host_spi_host_clgen_n_32),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_49), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 soc_top_u_spi_host_spi_host_clgen_g2276__7098 (
-	.A(soc_top_u_spi_host_spi_host_divider[6]),
-	.B(soc_top_u_spi_host_spi_host_divider[5]),
-	.C(soc_top_u_spi_host_spi_host_divider[7]),
-	.D(soc_top_u_spi_host_spi_host_clgen_n_28),
-	.X(soc_top_u_spi_host_spi_host_clgen_n_48), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_spi_host_spi_host_clgen_g2277__6131 (
-	.A1_N(soc_top_u_spi_host_spi_host_divider[4]),
-	.A2_N(soc_top_u_spi_host_spi_host_clgen_n_35),
-	.B1(soc_top_u_spi_host_spi_host_clgen_n_26),
-	.B2(soc_top_u_spi_host_spi_host_clgen_n_35),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_46), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_spi_host_spi_host_clgen_g2278__1881 (
-	.A1_N(soc_top_u_spi_host_spi_host_divider[3]),
-	.A2_N(soc_top_u_spi_host_spi_host_clgen_n_35),
-	.B1(soc_top_u_spi_host_spi_host_clgen_n_20),
-	.B2(soc_top_u_spi_host_spi_host_clgen_n_35),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_45), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_spi_host_spi_host_clgen_g2279__5115 (
-	.A1_N(soc_top_u_spi_host_spi_host_divider[1]),
-	.A2_N(soc_top_u_spi_host_spi_host_clgen_n_35),
-	.B1(soc_top_u_spi_host_spi_host_clgen_n_9),
-	.B2(soc_top_u_spi_host_spi_host_clgen_n_35),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_44), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_spi_host_spi_host_clgen_g2280__7482 (
-	.A1_N(soc_top_u_spi_host_spi_host_divider[8]),
-	.A2_N(soc_top_u_spi_host_spi_host_clgen_n_35),
-	.B1(soc_top_u_spi_host_spi_host_clgen_n_29),
-	.B2(soc_top_u_spi_host_spi_host_clgen_n_35),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_43), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_clgen_g2281__4733 (
-	.A(soc_top_u_spi_host_spi_host_clgen_n_35),
-	.B(soc_top_u_spi_host_spi_host_divider[11]),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_41), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_clgen_g2282__6161 (
-	.A(soc_top_u_spi_host_spi_host_clgen_n_27),
-	.B(soc_top_u_spi_host_spi_host_clgen_n_3),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_42), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_spi_host_spi_host_clgen_g2283__9315 (
-	.A1(soc_top_u_spi_host_spi_host_clgen_n_22),
-	.A2(soc_top_u_spi_host_spi_host_clgen_cnt[5]),
-	.B1(soc_top_u_spi_host_spi_host_clgen_n_37),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_40), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 soc_top_u_spi_host_spi_host_clgen_g2284__9945 (
-	.A(soc_top_u_spi_host_spi_host_clgen_cnt[0]),
-	.B(soc_top_u_spi_host_spi_host_clgen_n_1),
-	.C(soc_top_u_spi_host_spi_host_clgen_n_31),
-	.X(soc_top_u_spi_host_spi_host_clgen_n_39), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 soc_top_u_spi_host_spi_host_clgen_g2285__2883 (
-	.A1(soc_top_u_spi_host_spi_host_last_bit),
-	.A2(soc_top_u_spi_host_spi_host_clgen_n_1),
-	.B1(soc_top_u_spi_host_spi_host_clgen_n_4),
-	.C1(soc_top_u_spi_host_spi_host_clgen_n_31),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_38), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_clgen_g2286__2346 (
-	.A(soc_top_u_spi_host_spi_host_clgen_n_30),
-	.B(soc_top_u_spi_host_spi_host_clgen_n_24),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_36), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_clgen_g2287__1666 (
-	.A(soc_top_u_spi_host_spi_host_clgen_cnt[5]),
-	.B(soc_top_u_spi_host_spi_host_clgen_n_22),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_spi_host_spi_host_clgen_g2288 (
-	.A(soc_top_u_spi_host_spi_host_clgen_n_35),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_34), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 soc_top_u_spi_host_spi_host_clgen_g2289__7410 (
-	.A1(soc_top_u_spi_host_spi_host_clgen_n_24),
-	.A2(soc_top_u_spi_host_spi_host_clgen_cnt[13]),
-	.B1_N(soc_top_u_spi_host_spi_host_clgen_n_32),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_clgen_g2290__6417 (
-	.A1(soc_top_u_spi_host_spi_host_clgen_n_4),
-	.A2(soc_top_u_spi_host_spi_host_clgen_n_25),
-	.B1(soc_top_u_spi_host_spi_host_tip),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_35), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 soc_top_u_spi_host_spi_host_clgen_g2291__5477 (
-	.A(soc_top_u_spi_host_spi_host_clgen_cnt[13]),
-	.B(soc_top_u_spi_host_spi_host_clgen_n_24),
-	.X(soc_top_u_spi_host_spi_host_clgen_n_32), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_spi_host_spi_host_clgen_g2292__2398 (
-	.A_N(soc_top_u_spi_host_spi_host_clgen_n_25),
-	.B(soc_top_u_spi_host_spi_host_tip),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_clgen_g2293__5107 (
-	.A1(soc_top_u_spi_host_spi_host_clgen_n_11),
-	.A2(soc_top_u_spi_host_spi_host_clgen_n_23),
-	.B1(soc_top_u_spi_host_spi_host_clgen_cnt[12]),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_spi_host_spi_host_clgen_g2294__6260 (
-	.A1(soc_top_u_spi_host_spi_host_clgen_n_23),
-	.A2(soc_top_u_spi_host_spi_host_clgen_cnt[8]),
-	.B1(soc_top_u_spi_host_spi_host_clgen_n_27),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 soc_top_u_spi_host_spi_host_clgen_g2295__4319 (
-	.A(soc_top_u_spi_host_spi_host_divider[2]),
-	.B(soc_top_u_spi_host_spi_host_divider[0]),
-	.C(soc_top_u_spi_host_spi_host_divider[1]),
-	.D(soc_top_u_spi_host_spi_host_clgen_n_21),
-	.X(soc_top_u_spi_host_spi_host_clgen_n_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 soc_top_u_spi_host_spi_host_clgen_g2296__8428 (
-	.A1(soc_top_u_spi_host_spi_host_clgen_n_18),
-	.A2(soc_top_u_spi_host_spi_host_clgen_cnt[4]),
-	.B1_N(soc_top_u_spi_host_spi_host_clgen_n_22),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_clgen_g2297__5526 (
-	.A(soc_top_u_spi_host_spi_host_clgen_cnt[8]),
-	.B(soc_top_u_spi_host_spi_host_clgen_n_23),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 soc_top_u_spi_host_spi_host_clgen_g2298__6783 (
-	.A(soc_top_u_spi_host_spi_host_clgen_cnt[13]),
-	.B(soc_top_u_spi_host_spi_host_clgen_cnt[12]),
-	.C(soc_top_u_spi_host_spi_host_clgen_n_11),
-	.D(soc_top_u_spi_host_spi_host_clgen_n_19),
-	.X(soc_top_u_spi_host_spi_host_clgen_n_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 soc_top_u_spi_host_spi_host_clgen_g2299__3680 (
-	.A(soc_top_u_spi_host_spi_host_clgen_cnt[12]),
-	.B(soc_top_u_spi_host_spi_host_clgen_n_11),
-	.C(soc_top_u_spi_host_spi_host_clgen_n_23),
-	.X(soc_top_u_spi_host_spi_host_clgen_n_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_spi_host_spi_host_clgen_g2300__1617 (
-	.A_N(soc_top_u_spi_host_spi_host_clgen_n_18),
-	.B(soc_top_u_spi_host_spi_host_clgen_n_12),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 soc_top_u_spi_host_spi_host_clgen_g2301__2802 (
-	.A(soc_top_u_spi_host_spi_host_clgen_cnt[4]),
-	.B(soc_top_u_spi_host_spi_host_clgen_n_18),
-	.X(soc_top_u_spi_host_spi_host_clgen_n_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 soc_top_u_spi_host_spi_host_clgen_g2302__1705 (
-	.A(soc_top_u_spi_host_spi_host_divider[13]),
-	.B(soc_top_u_spi_host_spi_host_divider[3]),
-	.C(soc_top_u_spi_host_spi_host_divider[12]),
-	.D(soc_top_u_spi_host_spi_host_clgen_n_17),
-	.X(soc_top_u_spi_host_spi_host_clgen_n_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 soc_top_u_spi_host_spi_host_clgen_g2303__5122 (
-	.A1(soc_top_u_spi_host_spi_host_clgen_n_14),
-	.A2(soc_top_u_spi_host_spi_host_clgen_cnt[3]),
-	.B1_N(soc_top_u_spi_host_spi_host_clgen_n_18),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 soc_top_u_spi_host_spi_host_clgen_g2304__8246 (
-	.A(soc_top_u_spi_host_spi_host_clgen_cnt[14]),
-	.B(soc_top_u_spi_host_spi_host_clgen_cnt[2]),
-	.C(soc_top_u_spi_host_spi_host_clgen_cnt[1]),
-	.D(soc_top_u_spi_host_spi_host_clgen_n_15),
-	.X(soc_top_u_spi_host_spi_host_clgen_n_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 soc_top_u_spi_host_spi_host_clgen_g2305__7098 (
-	.A(soc_top_u_spi_host_spi_host_clgen_cnt[3]),
-	.B(soc_top_u_spi_host_spi_host_clgen_n_14),
-	.X(soc_top_u_spi_host_spi_host_clgen_n_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 soc_top_u_spi_host_spi_host_clgen_g2306__6131 (
-	.A(soc_top_u_spi_host_spi_host_divider[8]),
-	.B(soc_top_u_spi_host_spi_host_divider[15]),
-	.C(soc_top_u_spi_host_spi_host_divider[14]),
-	.D(soc_top_u_spi_host_spi_host_clgen_n_13),
-	.X(soc_top_u_spi_host_spi_host_clgen_n_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_clgen_g2307__1881 (
-	.A1(soc_top_u_spi_host_spi_host_clgen_n_5),
-	.A2(soc_top_u_spi_host_spi_host_clgen_n_8),
-	.B1(soc_top_u_spi_host_spi_host_clgen_n_14),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 soc_top_u_spi_host_spi_host_clgen_g2308__5115 (
-	.A(soc_top_u_spi_host_spi_host_clgen_cnt[3]),
-	.B(soc_top_u_spi_host_spi_host_clgen_cnt[15]),
-	.C_N(soc_top_u_spi_host_spi_host_clgen_n_12),
-	.X(soc_top_u_spi_host_spi_host_clgen_n_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_clgen_g2309__7482 (
-	.A(soc_top_u_spi_host_spi_host_clgen_n_8),
-	.B(soc_top_u_spi_host_spi_host_clgen_n_5),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 soc_top_u_spi_host_spi_host_clgen_g2310__4733 (
-	.A(soc_top_u_spi_host_spi_host_divider[4]),
-	.B(soc_top_u_spi_host_spi_host_divider[10]),
-	.C(soc_top_u_spi_host_spi_host_divider[9]),
-	.D(soc_top_u_spi_host_spi_host_divider[11]),
-	.X(soc_top_u_spi_host_spi_host_clgen_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 soc_top_u_spi_host_spi_host_clgen_g2311__6161 (
-	.A(soc_top_u_spi_host_spi_host_clgen_cnt[4]),
-	.B(soc_top_u_spi_host_spi_host_clgen_cnt[5]),
-	.C(soc_top_u_spi_host_spi_host_clgen_cnt[7]),
-	.D(soc_top_u_spi_host_spi_host_clgen_cnt[6]),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 soc_top_u_spi_host_spi_host_clgen_g2312__9315 (
-	.A(soc_top_u_spi_host_spi_host_clgen_cnt[8]),
-	.B(soc_top_u_spi_host_spi_host_clgen_cnt[10]),
-	.C(soc_top_u_spi_host_spi_host_clgen_cnt[11]),
-	.D(soc_top_u_spi_host_spi_host_clgen_cnt[9]),
-	.X(soc_top_u_spi_host_spi_host_clgen_n_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_spi_host_spi_host_clgen_g2313__9945 (
-	.A1(FE_DBTN0_soc_top_u_spi_host_spi_host_tip),
-	.A2(soc_top_u_spi_host_spi_host_ctrl[8]),
-	.B1(io_out[4]),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_spi_host_spi_host_clgen_g2314__2883 (
-	.A1(soc_top_u_spi_host_spi_host_clgen_cnt[1]),
-	.A2(soc_top_u_spi_host_spi_host_clgen_n_4),
-	.B1(soc_top_u_spi_host_spi_host_clgen_n_8),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_clgen_g2315__2346 (
-	.A(soc_top_u_spi_host_spi_host_clgen_n_4),
-	.B(soc_top_u_spi_host_spi_host_clgen_cnt[1]),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_spi_host_spi_host_clgen_g2316 (
-	.A(soc_top_u_spi_host_spi_host_clgen_cnt[7]),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_spi_host_spi_host_clgen_g2318 (
-	.A(soc_top_u_spi_host_spi_host_clgen_cnt[2]),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_spi_host_spi_host_clgen_g2319 (
-	.A(soc_top_u_spi_host_spi_host_clgen_cnt[0]),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_spi_host_spi_host_clgen_g2325 (
-	.A(io_out[4]),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_spi_host_spi_host_clgen_g2326 (
-	.A(soc_top_u_spi_host_spi_host_clgen_cnt[9]),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_spi_host_spi_host_clgen_g2__1666 (
-	.A_N(soc_top_u_spi_host_spi_host_clgen_n_56),
-	.B(soc_top_u_spi_host_spi_host_clgen_cnt[11]),
-	.Y(soc_top_u_spi_host_spi_host_clgen_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfsbp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[6]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_clgen_n_63),
-	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[6]),
-	.Q_N(soc_top_u_spi_host_spi_host_clgen_n_6),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_spi_host_spi_host_shift_g4360 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_337),
-	.Y(soc_top_u_spi_host_spi_host_last_bit), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 soc_top_u_spi_host_spi_host_shift_g4370__7410 (
-	.A(soc_top_u_spi_host_spi_host_shift_cnt[5]),
-	.B(soc_top_u_spi_host_spi_host_shift_n_334),
-	.X(soc_top_u_spi_host_spi_host_shift_n_337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 soc_top_u_spi_host_spi_host_shift_g4371__6417 (
-	.A(soc_top_u_spi_host_spi_host_shift_cnt[4]),
-	.B(soc_top_u_spi_host_spi_host_shift_n_336),
-	.X(soc_top_u_spi_host_spi_host_shift_n_334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 soc_top_u_spi_host_spi_host_shift_g4372__5477 (
-	.A(soc_top_u_spi_host_spi_host_shift_cnt[3]),
-	.B(soc_top_u_spi_host_spi_host_shift_n_333),
-	.X(soc_top_u_spi_host_spi_host_shift_n_336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 soc_top_u_spi_host_spi_host_shift_g4373__2398 (
-	.A(soc_top_u_spi_host_spi_host_shift_cnt[2]),
-	.B(soc_top_u_spi_host_spi_host_shift_n_335),
-	.X(soc_top_u_spi_host_spi_host_shift_n_333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 soc_top_u_spi_host_spi_host_shift_g4374__5107 (
-	.A(soc_top_u_spi_host_spi_host_shift_cnt[0]),
-	.B(soc_top_u_spi_host_spi_host_shift_cnt[1]),
-	.X(soc_top_u_spi_host_spi_host_shift_n_335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_spi_host_shift_cnt_reg[0]  (
-	.CLK(CTS_16),
-	.D(soc_top_u_spi_host_spi_host_ctrl[0]),
-	.Q(soc_top_u_spi_host_spi_host_shift_cnt[0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_spi_host_spi_host_shift_n_41),
-	.SCE(soc_top_u_spi_host_spi_host_tip), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_spi_host_shift_cnt_reg[1]  (
-	.CLK(CTS_16),
-	.D(soc_top_u_spi_host_spi_host_ctrl[1]),
-	.Q(soc_top_u_spi_host_spi_host_shift_cnt[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_spi_host_spi_host_shift_n_108),
-	.SCE(soc_top_u_spi_host_spi_host_tip), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_spi_host_shift_cnt_reg[2]  (
-	.CLK(CTS_16),
-	.D(soc_top_u_spi_host_spi_host_ctrl[2]),
-	.Q(soc_top_u_spi_host_spi_host_shift_cnt[2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_spi_host_spi_host_shift_n_58),
-	.SCE(soc_top_u_spi_host_spi_host_tip), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_spi_host_shift_cnt_reg[3]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_ctrl[3]),
-	.Q(soc_top_u_spi_host_spi_host_shift_cnt[3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_spi_host_spi_host_shift_n_57),
-	.SCE(soc_top_u_spi_host_spi_host_tip), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_spi_host_shift_cnt_reg[4]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_ctrl[4]),
-	.Q(soc_top_u_spi_host_spi_host_shift_cnt[4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_spi_host_spi_host_shift_n_64),
-	.SCE(soc_top_u_spi_host_spi_host_tip), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_spi_host_spi_host_shift_cnt_reg[5]  (
-	.CLK(CTS_16),
-	.D(soc_top_u_spi_host_spi_host_shift_n_145),
-	.Q(soc_top_u_spi_host_spi_host_shift_cnt[5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[0]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_136),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[1]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_132),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[2]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_120),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[3]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_128),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[4]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_119),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[5]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_118),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[6]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_117),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[7]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_138),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[8]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_140),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[9]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_143),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[10]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_116),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[11]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_115),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[12]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_114),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[13]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_113),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[14]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_121),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[15]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_111),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[16]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_110),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[17]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_109),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[18]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_135),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[19]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_142),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[20]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_141),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[21]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_139),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[22]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_137),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[23]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_144),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[24]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_134),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[25]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_133),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[26]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_131),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[27]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_130),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[28]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_129),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[29]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_127),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[30]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_126),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_reg[31]  (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_112),
-	.Q(soc_top_u_spi_host_spi_host_shift_data[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[0]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_310),
-	.Q(soc_top_u_spi_host_spi_host_rx[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[1]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_309),
-	.Q(soc_top_u_spi_host_spi_host_rx[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[2]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_330),
-	.Q(soc_top_u_spi_host_spi_host_rx[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[3]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_316),
-	.Q(soc_top_u_spi_host_spi_host_rx[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[4]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_328),
-	.Q(soc_top_u_spi_host_spi_host_rx[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[5]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_327),
-	.Q(soc_top_u_spi_host_spi_host_rx[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[6]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_315),
-	.Q(soc_top_u_spi_host_spi_host_rx[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[7]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_329),
-	.Q(soc_top_u_spi_host_spi_host_rx[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[8]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_306),
-	.Q(soc_top_u_spi_host_spi_host_rx[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[9]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_305),
-	.Q(soc_top_u_spi_host_spi_host_rx[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[10]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_312),
-	.Q(soc_top_u_spi_host_spi_host_rx[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[11]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_311),
-	.Q(soc_top_u_spi_host_spi_host_rx[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[12]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_320),
-	.Q(soc_top_u_spi_host_spi_host_rx[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[13]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_319),
-	.Q(soc_top_u_spi_host_spi_host_rx[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[14]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_322),
-	.Q(soc_top_u_spi_host_spi_host_rx[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[15]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_321),
-	.Q(soc_top_u_spi_host_spi_host_rx[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[16]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_302),
-	.Q(soc_top_u_spi_host_spi_host_rx[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[17]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_301),
-	.Q(soc_top_u_spi_host_spi_host_rx[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[18]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_307),
-	.Q(soc_top_u_spi_host_spi_host_rx[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[19]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_308),
-	.Q(soc_top_u_spi_host_spi_host_rx[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[20]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_324),
-	.Q(soc_top_u_spi_host_spi_host_rx[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[21]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_323),
-	.Q(soc_top_u_spi_host_spi_host_rx[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[22]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_326),
-	.Q(soc_top_u_spi_host_spi_host_rx[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[23]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_325),
-	.Q(soc_top_u_spi_host_spi_host_rx[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[24]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_300),
-	.Q(soc_top_u_spi_host_spi_host_rx[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[25]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_314),
-	.Q(soc_top_u_spi_host_spi_host_rx[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[26]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_304),
-	.Q(soc_top_u_spi_host_spi_host_rx[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[27]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_303),
-	.Q(soc_top_u_spi_host_spi_host_rx[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[28]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_299),
-	.Q(soc_top_u_spi_host_spi_host_rx[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[29]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_313),
-	.Q(soc_top_u_spi_host_spi_host_rx[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[30]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_318),
-	.Q(soc_top_u_spi_host_spi_host_rx[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift_data_rx_reg[31]  (
-	.CLK(CTS_22),
-	.D(soc_top_u_spi_host_spi_host_shift_n_317),
-	.Q(soc_top_u_spi_host_spi_host_rx[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 soc_top_u_spi_host_spi_host_shift_s_out_reg (
-	.CLK(CTS_19),
-	.D(soc_top_u_spi_host_spi_host_shift_n_293),
-	.Q(sd_o),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_spi_host_spi_host_shift_tip_reg (
-	.CLK(CTS_16),
-	.D(soc_top_u_spi_host_spi_host_tip),
-	.Q(soc_top_u_spi_host_spi_host_tip),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_spi_host_spi_host_shift_n_22),
-	.SCE(soc_top_u_spi_host_spi_host_shift_n_63), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8623__6260 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_205),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_298),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_281),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8624__4319 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_211),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_298),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_275),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8625__8428 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_212),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_297),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_278),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8626__5526 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_211),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_297),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_277),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8627__6783 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_212),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_296),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_260),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8628__3680 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_211),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_296),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_259),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8629__1617 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_212),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_295),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_262),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8630__2802 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_211),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_295),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_261),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8631__1705 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_208),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_298),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_283),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8632__5122 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_207),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_298),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_267),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8633__8246 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_208),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_297),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_270),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8634__7098 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_207),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_297),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_269),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8635__6131 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_208),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_296),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_252),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8636__1881 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_207),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_296),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_251),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8637__5115 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_206),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_298),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_279),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8638__7482 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_212),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_298),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_276),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8639__4733 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_209),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_295),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_257),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8640__6161 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_207),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_295),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_253),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8641__9315 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_210),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_298),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_272),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8642__9945 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_209),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_298),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_271),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8643__2883 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_205),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_297),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_280),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8644__2346 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_206),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_297),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_282),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8645__1666 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_206),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_296),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_263),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8646__7410 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_205),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_296),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_264),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8647__6417 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_210),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_297),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_274),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8648__5477 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_209),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_297),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_273),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8649__2398 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_210),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_296),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_256),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8650__5107 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_209),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_296),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_255),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8651__6260 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_205),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_295),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_266),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8652__4319 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_206),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_295),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_265),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8653__8428 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_210),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_295),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_258),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8654__5526 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_208),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_295),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_254),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8656__6783 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_294),
-	.B(soc_top_u_spi_host_spi_host_shift_n_231),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8657__3680 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_294),
-	.B(soc_top_u_spi_host_spi_host_shift_n_233),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8658__1617 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_294),
-	.B(soc_top_u_spi_host_spi_host_shift_n_227),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8659__2802 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_294),
-	.B(soc_top_u_spi_host_spi_host_shift_n_229),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 soc_top_u_spi_host_spi_host_shift_g8660__1705 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_236),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_248),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_285),
-	.C1(soc_top_u_spi_host_spi_host_shift_n_292),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8661__5122 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_203),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_218),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_291),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 soc_top_u_spi_host_spi_host_shift_g8662__8246 (
-	.A1(io_in[2]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_69),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_287),
-	.C1(soc_top_u_spi_host_spi_host_shift_n_286),
-	.D1(soc_top_u_spi_host_spi_host_shift_n_288),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 soc_top_u_spi_host_spi_host_shift_g8663__7098 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_105),
-	.A2(sd_o),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_268),
-	.C1(soc_top_u_spi_host_spi_host_shift_n_289),
-	.D1(soc_top_u_spi_host_spi_host_shift_n_290),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 soc_top_u_spi_host_spi_host_shift_g8664__6131 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_201),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_225),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_241),
-	.C1(soc_top_u_spi_host_spi_host_shift_n_240),
-	.D1(soc_top_u_spi_host_spi_host_shift_n_284),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 soc_top_u_spi_host_spi_host_shift_g8665__1881 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_202),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_221),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_202),
-	.B2(soc_top_u_spi_host_spi_host_shift_n_224),
-	.C1(soc_top_u_spi_host_spi_host_shift_n_250),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_spi_host_spi_host_shift_g8666__5115 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_239),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_204),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_235),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_spi_host_spi_host_shift_g8667__7482 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_237),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_244),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_238),
-	.B2(soc_top_u_spi_host_spi_host_shift_n_245),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_spi_host_spi_host_shift_g8668__4733 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_237),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_242),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_238),
-	.B2(soc_top_u_spi_host_spi_host_shift_n_243),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_spi_host_spi_host_shift_g8669__6161 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_236),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_246),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_235),
-	.B2(soc_top_u_spi_host_spi_host_shift_n_247),
-	.X(soc_top_u_spi_host_spi_host_shift_n_285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221a_1 soc_top_u_spi_host_spi_host_shift_g8670__9315 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_203),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_226),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_201),
-	.B2(soc_top_u_spi_host_spi_host_shift_n_220),
-	.C1(soc_top_u_spi_host_spi_host_shift_n_249),
-	.X(soc_top_u_spi_host_spi_host_shift_n_284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8671__9945 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_232),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_208),
-	.B1(soc_top_u_spi_host_spi_host_rx[14]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8672__2883 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_234),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_206),
-	.B1(soc_top_u_spi_host_spi_host_rx[1]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8673__2346 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_232),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_205),
-	.B1(soc_top_u_spi_host_spi_host_rx[2]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8674__1666 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_234),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_205),
-	.B1(soc_top_u_spi_host_spi_host_rx[0]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8675__7410 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_232),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_206),
-	.B1(soc_top_u_spi_host_spi_host_rx[3]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8676__6417 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_234),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_212),
-	.B1(soc_top_u_spi_host_spi_host_rx[4]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8677__5477 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_234),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_211),
-	.B1(soc_top_u_spi_host_spi_host_rx[5]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8678__2398 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_232),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_212),
-	.B1(soc_top_u_spi_host_spi_host_rx[6]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8679__5107 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_232),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_211),
-	.B1(soc_top_u_spi_host_spi_host_rx[7]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8680__6260 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_234),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_210),
-	.B1(soc_top_u_spi_host_spi_host_rx[8]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8681__4319 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_234),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_209),
-	.B1(soc_top_u_spi_host_spi_host_rx[9]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8682__8428 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_232),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_210),
-	.B1(soc_top_u_spi_host_spi_host_rx[10]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8683__5526 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_232),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_209),
-	.B1(soc_top_u_spi_host_spi_host_rx[11]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8684__6783 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_234),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_208),
-	.B1(soc_top_u_spi_host_spi_host_rx[12]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8685__3680 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_234),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_207),
-	.B1(soc_top_u_spi_host_spi_host_rx[13]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_spi_host_spi_host_shift_g8686__1617 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_222),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_190),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_0),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8687__2802 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_232),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_207),
-	.B1(soc_top_u_spi_host_spi_host_rx[15]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8688__1705 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_230),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_205),
-	.B1(soc_top_u_spi_host_spi_host_rx[16]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8689__5122 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_230),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_206),
-	.B1(soc_top_u_spi_host_spi_host_rx[17]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8690__8246 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_228),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_205),
-	.B1(soc_top_u_spi_host_spi_host_rx[18]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8691__7098 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_228),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_206),
-	.B1(soc_top_u_spi_host_spi_host_rx[19]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8692__6131 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_230),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_212),
-	.B1(soc_top_u_spi_host_spi_host_rx[20]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8693__1881 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_230),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_211),
-	.B1(soc_top_u_spi_host_spi_host_rx[21]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8694__5115 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_228),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_212),
-	.B1(soc_top_u_spi_host_spi_host_rx[22]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8695__7482 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_228),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_211),
-	.B1(soc_top_u_spi_host_spi_host_rx[23]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8696__4733 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_230),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_210),
-	.B1(soc_top_u_spi_host_spi_host_rx[24]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8697__6161 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_230),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_209),
-	.B1(soc_top_u_spi_host_spi_host_rx[25]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8698__9315 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_228),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_210),
-	.B1(soc_top_u_spi_host_spi_host_rx[26]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8699__9945 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_228),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_209),
-	.B1(soc_top_u_spi_host_spi_host_rx[27]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8700__2883 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_230),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_208),
-	.B1(soc_top_u_spi_host_spi_host_rx[28]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8701__2346 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_230),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_207),
-	.B1(soc_top_u_spi_host_spi_host_rx[29]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8702__1666 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_228),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_208),
-	.B1(soc_top_u_spi_host_spi_host_rx[30]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8703__7410 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_228),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_207),
-	.B1(soc_top_u_spi_host_spi_host_rx[31]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 soc_top_u_spi_host_spi_host_shift_g8704__6417 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_194),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_195),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_0),
-	.X(soc_top_u_spi_host_spi_host_shift_n_250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 soc_top_u_spi_host_spi_host_shift_g8705__5477 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_182),
-	.B(soc_top_u_spi_host_spi_host_shift_n_202),
-	.C_N(soc_top_u_spi_host_spi_host_shift_data[15]),
-	.X(soc_top_u_spi_host_spi_host_shift_n_249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 soc_top_u_spi_host_spi_host_shift_g8706__2398 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_188),
-	.A2(soc_top_u_spi_host_spi_host_rx[16]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_189),
-	.B2(soc_top_u_spi_host_spi_host_rx[17]),
-	.C1(soc_top_u_spi_host_spi_host_shift_n_219),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 soc_top_u_spi_host_spi_host_shift_g8707__5107 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_187),
-	.A2(soc_top_u_spi_host_spi_host_rx[26]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_191),
-	.B2(soc_top_u_spi_host_spi_host_rx[27]),
-	.C1(soc_top_u_spi_host_spi_host_shift_n_223),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 soc_top_u_spi_host_spi_host_shift_g8708__6260 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_187),
-	.A2(soc_top_u_spi_host_spi_host_rx[24]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_191),
-	.B2(soc_top_u_spi_host_spi_host_rx[25]),
-	.C1(soc_top_u_spi_host_spi_host_shift_n_217),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 soc_top_u_spi_host_spi_host_shift_g8709__4319 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_187),
-	.A2(soc_top_u_spi_host_spi_host_rx[10]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_191),
-	.B2(soc_top_u_spi_host_spi_host_rx[11]),
-	.C1(soc_top_u_spi_host_spi_host_shift_n_216),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 soc_top_u_spi_host_spi_host_shift_g8710__8428 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_187),
-	.A2(soc_top_u_spi_host_spi_host_rx[8]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_191),
-	.B2(soc_top_u_spi_host_spi_host_rx[9]),
-	.C1(soc_top_u_spi_host_spi_host_shift_n_215),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 soc_top_u_spi_host_spi_host_shift_g8711__5526 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_188),
-	.A2(soc_top_u_spi_host_spi_host_rx[2]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_189),
-	.B2(soc_top_u_spi_host_spi_host_rx[3]),
-	.C1(soc_top_u_spi_host_spi_host_shift_n_214),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 soc_top_u_spi_host_spi_host_shift_g8712__6783 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_188),
-	.A2(soc_top_u_spi_host_spi_host_rx[0]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_189),
-	.B2(soc_top_u_spi_host_spi_host_rx[1]),
-	.C1(soc_top_u_spi_host_spi_host_shift_n_213),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 soc_top_u_spi_host_spi_host_shift_g8713__3680 (
-	.A_N(soc_top_u_spi_host_spi_host_shift_n_203),
-	.B(soc_top_u_spi_host_spi_host_shift_n_180),
-	.C(soc_top_u_spi_host_spi_host_shift_data[25]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 soc_top_u_spi_host_spi_host_shift_g8714__1617 (
-	.A_N(soc_top_u_spi_host_spi_host_shift_n_201),
-	.B(soc_top_u_spi_host_spi_host_shift_n_179),
-	.C(soc_top_u_spi_host_spi_host_shift_data[26]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 soc_top_u_spi_host_spi_host_shift_g8715__2802 (
-	.A1(soc_top_u_spi_host_spi_host_rx[22]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_192),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_193),
-	.B2(soc_top_u_spi_host_spi_host_rx[23]),
-	.C1(soc_top_u_spi_host_spi_host_shift_n_188),
-	.C2(soc_top_u_spi_host_spi_host_rx[18]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_spi_host_spi_host_shift_g8716 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_234),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_spi_host_spi_host_shift_g8717 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_232),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_spi_host_spi_host_shift_g8718 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_230),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_spi_host_spi_host_shift_g8719 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_228),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 soc_top_u_spi_host_spi_host_shift_g8720__1705 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_184),
-	.A2(soc_top_u_spi_host_spi_host_shift_data[16]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_175),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[20]),
-	.C1(soc_top_u_spi_host_spi_host_shift_n_196),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 soc_top_u_spi_host_spi_host_shift_g8721__5122 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_178),
-	.A2(soc_top_u_spi_host_spi_host_shift_data[23]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_180),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[27]),
-	.C1(soc_top_u_spi_host_spi_host_shift_n_197),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 soc_top_u_spi_host_spi_host_shift_g8722__8246 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_179),
-	.A2(soc_top_u_spi_host_spi_host_shift_data[10]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_180),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[11]),
-	.C1(soc_top_u_spi_host_spi_host_shift_n_198),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8723__7098 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_200),
-	.B(soc_top_u_spi_host_spi_host_shift_n_154),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8724__6131 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_200),
-	.B(soc_top_u_spi_host_spi_host_shift_n_155),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8725__1881 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_199),
-	.B(soc_top_u_spi_host_spi_host_shift_n_155),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8726__5115 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_199),
-	.B(soc_top_u_spi_host_spi_host_shift_n_154),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8727__7482 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_200),
-	.B(soc_top_u_spi_host_spi_host_shift_n_156),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8728__4733 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_200),
-	.B(soc_top_u_spi_host_spi_host_shift_n_157),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8729__6161 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_199),
-	.B(soc_top_u_spi_host_spi_host_shift_n_156),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8730__9315 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_199),
-	.B(soc_top_u_spi_host_spi_host_shift_n_157),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_spi_host_spi_host_shift_g8731__9945 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_186),
-	.A2(soc_top_u_spi_host_spi_host_rx[30]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_185),
-	.B2(soc_top_u_spi_host_spi_host_rx[31]),
-	.X(soc_top_u_spi_host_spi_host_shift_n_223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 soc_top_u_spi_host_spi_host_shift_g8732__2883 (
-	.A1(soc_top_u_spi_host_spi_host_shift_data[4]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_175),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_178),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[5]),
-	.C1(soc_top_u_spi_host_spi_host_shift_n_184),
-	.C2(soc_top_u_spi_host_spi_host_shift_data[0]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 soc_top_u_spi_host_spi_host_shift_g8733__2346 (
-	.A1(soc_top_u_spi_host_spi_host_shift_data[3]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_181),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_184),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[2]),
-	.C1(soc_top_u_spi_host_spi_host_shift_n_175),
-	.C2(soc_top_u_spi_host_spi_host_shift_data[6]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 soc_top_u_spi_host_spi_host_shift_g8734__1666 (
-	.A1(soc_top_u_spi_host_spi_host_shift_data[22]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_175),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_184),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[18]),
-	.C1(soc_top_u_spi_host_spi_host_shift_n_181),
-	.C2(soc_top_u_spi_host_spi_host_shift_data[19]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_spi_host_spi_host_shift_g8735__7410 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_192),
-	.A2(soc_top_u_spi_host_spi_host_rx[20]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_193),
-	.B2(soc_top_u_spi_host_spi_host_rx[21]),
-	.X(soc_top_u_spi_host_spi_host_shift_n_219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 soc_top_u_spi_host_spi_host_shift_g8736__6417 (
-	.A1(soc_top_u_spi_host_spi_host_shift_data[29]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_183),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_179),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[24]),
-	.C1(soc_top_u_spi_host_spi_host_shift_n_1),
-	.C2(soc_top_u_spi_host_spi_host_shift_data[28]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_spi_host_spi_host_shift_g8737__5477 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_186),
-	.A2(soc_top_u_spi_host_spi_host_rx[28]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_185),
-	.B2(soc_top_u_spi_host_spi_host_rx[29]),
-	.X(soc_top_u_spi_host_spi_host_shift_n_217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_spi_host_spi_host_shift_g8738__2398 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_186),
-	.A2(soc_top_u_spi_host_spi_host_rx[14]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_185),
-	.B2(soc_top_u_spi_host_spi_host_rx[15]),
-	.X(soc_top_u_spi_host_spi_host_shift_n_216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_spi_host_spi_host_shift_g8739__5107 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_186),
-	.A2(soc_top_u_spi_host_spi_host_rx[12]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_185),
-	.B2(soc_top_u_spi_host_spi_host_rx[13]),
-	.X(soc_top_u_spi_host_spi_host_shift_n_215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_spi_host_spi_host_shift_g8740__6260 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_192),
-	.A2(soc_top_u_spi_host_spi_host_rx[6]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_193),
-	.B2(soc_top_u_spi_host_spi_host_rx[7]),
-	.X(soc_top_u_spi_host_spi_host_shift_n_214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_spi_host_spi_host_shift_g8741__4319 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_192),
-	.A2(soc_top_u_spi_host_spi_host_rx[4]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_193),
-	.B2(soc_top_u_spi_host_spi_host_rx[5]),
-	.X(soc_top_u_spi_host_spi_host_shift_n_213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8742__8428 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_189),
-	.B(soc_top_u_spi_host_spi_host_rx[19]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8743__5526 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_192),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8744__6783 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_193),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8745__3680 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_187),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8746__1617 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_191),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8747__2802 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_186),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8748__1705 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_185),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8749__5122 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_189),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8750__8246 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_188),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_spi_host_spi_host_shift_g8751 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_200),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_spi_host_spi_host_shift_g8752__7098 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_178),
-	.A2(soc_top_u_spi_host_spi_host_shift_data[7]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_1),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[14]),
-	.X(soc_top_u_spi_host_spi_host_shift_n_198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_spi_host_spi_host_shift_g8753__6131 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_1),
-	.A2(soc_top_u_spi_host_spi_host_shift_data[30]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_183),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[31]),
-	.X(soc_top_u_spi_host_spi_host_shift_n_197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_spi_host_spi_host_shift_g8754__1881 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_181),
-	.A2(soc_top_u_spi_host_spi_host_shift_data[17]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_178),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[21]),
-	.X(soc_top_u_spi_host_spi_host_shift_n_196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8755__5115 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_179),
-	.A2(soc_top_u_spi_host_spi_host_shift_data[8]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_183),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[13]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8756__7482 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_180),
-	.A2(soc_top_u_spi_host_spi_host_shift_data[9]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_1),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[12]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 soc_top_u_spi_host_spi_host_shift_g8758__4733 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_146),
-	.B(soc_top_u_spi_host_spi_host_shift_n_105),
-	.C(soc_top_u_spi_host_spi_host_shift_n_177),
-	.X(soc_top_u_spi_host_spi_host_shift_n_203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 soc_top_u_spi_host_spi_host_shift_g8759__6161 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_147),
-	.B(soc_top_u_spi_host_spi_host_shift_n_105),
-	.C(soc_top_u_spi_host_spi_host_shift_n_176),
-	.X(soc_top_u_spi_host_spi_host_shift_n_202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 soc_top_u_spi_host_spi_host_shift_g8760__9315 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_147),
-	.B(soc_top_u_spi_host_spi_host_shift_n_105),
-	.C(soc_top_u_spi_host_spi_host_shift_n_177),
-	.X(soc_top_u_spi_host_spi_host_shift_n_201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8761__9945 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_172),
-	.A2(soc_top_u_spi_host_spi_host_ctrl[11]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_59),
-	.B2(soc_top_u_spi_host_spi_host_shift_n_5),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8762__2883 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_181),
-	.B(soc_top_u_spi_host_spi_host_shift_data[1]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8763__2346 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_168),
-	.B(soc_top_u_spi_host_spi_host_shift_n_174),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8764__1666 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_165),
-	.B(soc_top_u_spi_host_spi_host_shift_n_174),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8765__7410 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_166),
-	.B(soc_top_u_spi_host_spi_host_shift_n_173),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8766__6417 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_166),
-	.B(soc_top_u_spi_host_spi_host_shift_n_174),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8767__5477 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_171),
-	.B(soc_top_u_spi_host_spi_host_shift_n_174),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8768__2398 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_171),
-	.B(soc_top_u_spi_host_spi_host_shift_n_173),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8769__5107 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_165),
-	.B(soc_top_u_spi_host_spi_host_shift_n_173),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8770__6260 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_168),
-	.B(soc_top_u_spi_host_spi_host_shift_n_173),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_spi_host_spi_host_shift_g8771 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_182),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8773__4319 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_61),
-	.B(soc_top_u_spi_host_spi_host_shift_n_169),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8774__8428 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_170),
-	.B(soc_top_u_spi_host_spi_host_shift_n_61),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8775__5526 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_60),
-	.B(soc_top_u_spi_host_spi_host_shift_n_169),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8776__6783 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_60),
-	.B(soc_top_u_spi_host_spi_host_shift_n_164),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_spi_host_spi_host_shift_g8777 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_176),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_spi_host_spi_host_shift_g8778 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_174),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_spi_host_spi_host_shift_g8779__3680 (
-	.A1_N(soc_top_u_spi_host_spi_host_shift_n_43),
-	.A2_N(soc_top_u_spi_host_spi_host_shift_n_163),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_43),
-	.B2(soc_top_u_spi_host_spi_host_shift_n_163),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8780__1617 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_61),
-	.B(soc_top_u_spi_host_spi_host_shift_n_164),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8781__2802 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_60),
-	.B(soc_top_u_spi_host_spi_host_shift_n_167),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_spi_host_spi_host_shift_g8782__1705 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_5),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_159),
-	.B1(soc_top_u_spi_host_spi_host_ctrl[11]),
-	.B2(soc_top_u_spi_host_spi_host_shift_n_40),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8783__5122 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_61),
-	.B(soc_top_u_spi_host_spi_host_shift_n_167),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_spi_host_spi_host_shift_g8784__8246 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_5),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_158),
-	.B1(soc_top_u_spi_host_spi_host_ctrl[11]),
-	.B2(soc_top_u_spi_host_spi_host_shift_n_68),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8786__7098 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_161),
-	.B(soc_top_u_spi_host_spi_host_shift_n_122),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8787__6131 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_150),
-	.B(soc_top_u_spi_host_spi_host_shift_n_162),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8788__1881 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_162),
-	.B(soc_top_u_spi_host_spi_host_shift_n_150),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8789__5115 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_160),
-	.B(soc_top_u_spi_host_spi_host_shift_n_123),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_spi_host_spi_host_shift_g8790__7482 (
-	.A_N(soc_top_u_spi_host_spi_host_shift_n_150),
-	.B(soc_top_u_spi_host_spi_host_shift_n_162),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8791__4733 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_161),
-	.B(soc_top_u_spi_host_spi_host_shift_n_123),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8792__6161 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_160),
-	.B(soc_top_u_spi_host_spi_host_shift_n_122),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_spi_host_spi_host_shift_g8793__9315 (
-	.A_N(soc_top_u_spi_host_spi_host_shift_n_162),
-	.B(soc_top_u_spi_host_spi_host_shift_n_150),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_spi_host_spi_host_shift_g8794__9945 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_153),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_18),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_15),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_spi_host_spi_host_shift_g8795__2883 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_149),
-	.A2(soc_top_u_spi_host_spi_host_ctrl[11]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_67),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_spi_host_spi_host_shift_g8796 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_160),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_spi_host_spi_host_shift_g8797__2346 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_43),
-	.B(soc_top_u_spi_host_spi_host_shift_n_152),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_spi_host_spi_host_shift_g8798__1666 (
-	.A1_N(soc_top_u_spi_host_spi_host_shift_n_53),
-	.A2_N(soc_top_u_spi_host_spi_host_shift_n_153),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_53),
-	.B2(soc_top_u_spi_host_spi_host_shift_n_153),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_spi_host_spi_host_shift_g8799__7410 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_5),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_148),
-	.B1(soc_top_u_spi_host_spi_host_ctrl[11]),
-	.B2(soc_top_u_spi_host_spi_host_shift_n_55),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8800__6417 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_19),
-	.B(soc_top_u_spi_host_spi_host_shift_n_151),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 soc_top_u_spi_host_spi_host_shift_g8802__5477 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_19),
-	.B_N(soc_top_u_spi_host_spi_host_shift_n_151),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 soc_top_u_spi_host_spi_host_shift_g8803__2398 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_69),
-	.B_N(soc_top_u_spi_host_spi_host_shift_n_151),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8804__5107 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_151),
-	.B(soc_top_u_spi_host_spi_host_shift_n_69),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 soc_top_u_spi_host_spi_host_shift_g8805__6260 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_125),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_18),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_15),
-	.X(soc_top_u_spi_host_spi_host_shift_n_152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8806__4319 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_31),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_124),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_30),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_spi_host_spi_host_shift_g8808__8428 (
-	.A1_N(soc_top_u_spi_host_spi_host_shift_n_53),
-	.A2_N(soc_top_u_spi_host_spi_host_shift_n_125),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_53),
-	.B2(soc_top_u_spi_host_spi_host_shift_n_125),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_spi_host_spi_host_shift_g8809__5526 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_42),
-	.B(soc_top_u_spi_host_spi_host_shift_n_124),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8810__6783 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_106),
-	.A2(soc_top_u_spi_host_spi_host_ctrl[11]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_65),
-	.B2(soc_top_u_spi_host_spi_host_shift_n_5),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_spi_host_spi_host_shift_g8811__3680 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_391),
-	.A2(soc_top_u_spi_host_spi_host_ctrl[11]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_66),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_spi_host_spi_host_shift_g8831 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_146),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 soc_top_u_spi_host_spi_host_shift_g8832__1617 (
-	.A1(soc_top_u_spi_host_spi_host_ctrl[0]),
-	.A2(soc_top_u_spi_host_spi_host_tip),
-	.A3(soc_top_u_spi_host_spi_host_shift_n_56),
-	.B1(FE_DBTN0_soc_top_u_spi_host_spi_host_tip),
-	.B2(soc_top_u_spi_host_spi_host_shift_n_54),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_spi_host_spi_host_shift_g8851__2802 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_5),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_72),
-	.B1(soc_top_u_spi_host_spi_host_ctrl[11]),
-	.B2(soc_top_u_spi_host_spi_host_shift_n_39),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8852__1705 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_78),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8853__5122 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_93),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8854__8246 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_103),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8855__7098 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_101),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8856__6131 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_83),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8857__1881 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_100),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8858__5115 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_91),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8859__7482 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_99),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8860__4733 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_98),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8861__6161 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_89),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8862__9315 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_97),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8863__9945 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_96),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8864__2883 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_95),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8865__2346 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_94),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8866__1666 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_92),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8867__7410 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_86),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8868__6417 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_87),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8869__5477 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_104),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8870__2398 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_82),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_spi_host_spi_host_shift_g8871 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_123),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8872__5107 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_76),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8873__6260 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_88),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8874__4319 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_84),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8875__8428 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_102),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8876__5526 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_90),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8877__6783 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_81),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8878__3680 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_80),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8879__1617 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_79),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8880__2802 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_77),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8881__1705 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_85),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8882__5122 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_75),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8883__8246 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_74),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8884__7098 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_73),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8885__6131 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_3),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_24),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_36),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_spi_host_spi_host_shift_g8887__5115 (
-	.A1_N(soc_top_u_spi_host_spi_host_shift_n_52),
-	.A2_N(soc_top_u_spi_host_spi_host_shift_n_62),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_52),
-	.B2(soc_top_u_spi_host_spi_host_shift_n_62),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_spi_host_spi_host_shift_g8888__7482 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_31),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_70),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_30),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_spi_host_spi_host_shift_g8889__4733 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_62),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_29),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_16),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_spi_host_spi_host_shift_g8890__6161 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_8),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_61),
-	.B1(soc_top_u_spi_host_spi_host_ctrl[9]),
-	.B2(soc_top_u_spi_host_spi_host_shift_n_60),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8892__9315 (
-	.A1(\soc_top_xbar_to_timer[a_data] [29]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_50),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_51),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[29]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8893__9945 (
-	.A1(\soc_top_xbar_to_timer[a_data] [19]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_48),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_49),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[19]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8894__2883 (
-	.A1(\soc_top_xbar_to_timer[a_data] [5]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_46),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_47),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[5]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8895__2346 (
-	.A1(\soc_top_xbar_to_timer[a_data] [20]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_48),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_49),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[20]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8896__1666 (
-	.A1(\soc_top_xbar_to_timer[a_data] [21]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_48),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_49),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[21]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8897__7410 (
-	.A1(\soc_top_xbar_to_timer[a_data] [22]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_48),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_49),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[22]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_99), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8898__6417 (
-	.A1(\soc_top_xbar_to_timer[a_data] [0]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_46),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_47),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[0]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_98), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8899__5477 (
-	.A1(\soc_top_xbar_to_timer[a_data] [24]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_50),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_51),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[24]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_97), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8900__2398 (
-	.A1(\soc_top_xbar_to_timer[a_data] [25]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_50),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_51),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[25]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_96), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8901__5107 (
-	.A1(\soc_top_xbar_to_timer[a_data] [1]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_46),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_47),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[1]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_95), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8902__6260 (
-	.A1(\soc_top_xbar_to_timer[a_data] [26]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_50),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_51),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[26]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_94), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8903__4319 (
-	.A1(\soc_top_xbar_to_timer[a_data] [9]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_44),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_45),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[9]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_93), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8904__8428 (
-	.A1(\soc_top_xbar_to_timer[a_data] [27]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_50),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_51),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[27]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_92), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8905__5526 (
-	.A1(\soc_top_xbar_to_timer[a_data] [7]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_46),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_47),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[7]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_91), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8906__6783 (
-	.A1(\soc_top_xbar_to_timer[a_data] [6]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_46),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_47),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[6]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_90), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8907__3680 (
-	.A1(\soc_top_xbar_to_timer[a_data] [18]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_48),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_49),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[18]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_89), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_spi_host_spi_host_shift_g8908__1617 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_337),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_38),
-	.B1(FE_DBTN0_soc_top_u_spi_host_spi_host_tip),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8909__2802 (
-	.A1(\soc_top_xbar_to_timer[a_data] [2]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_46),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_47),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[2]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_88), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8910__1705 (
-	.A1(\soc_top_xbar_to_timer[a_data] [3]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_46),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_47),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[3]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_87), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8911__5122 (
-	.A1(\soc_top_xbar_to_timer[a_data] [28]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_50),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_51),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[28]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_86), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8912__8246 (
-	.A1(\soc_top_xbar_to_timer[a_data] [31]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_50),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_51),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[31]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_85), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8913__7098 (
-	.A1(\soc_top_xbar_to_timer[a_data] [4]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_46),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_47),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[4]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_84), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8914__6131 (
-	.A1(\soc_top_xbar_to_timer[a_data] [8]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_44),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_45),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[8]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_83), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8915__1881 (
-	.A1(\soc_top_xbar_to_timer[a_data] [30]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_50),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_51),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[30]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_82), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8916__5115 (
-	.A1(\soc_top_xbar_to_timer[a_data] [10]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_44),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_45),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[10]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_81), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8917__7482 (
-	.A1(\soc_top_xbar_to_timer[a_data] [11]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_44),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_45),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[11]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_80), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8918__4733 (
-	.A1(\soc_top_xbar_to_timer[a_data] [12]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_44),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_45),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[12]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_79), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8919__6161 (
-	.A1(\soc_top_xbar_to_timer[a_data] [23]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_48),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_49),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[23]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_78), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8920__9315 (
-	.A1(\soc_top_xbar_to_timer[a_data] [13]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_44),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_45),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[13]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_77), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8921__9945 (
-	.A1(\soc_top_xbar_to_timer[a_data] [14]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_44),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_45),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[14]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_76), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8922__2883 (
-	.A1(\soc_top_xbar_to_timer[a_data] [15]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_44),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_45),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[15]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_75), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8923__2346 (
-	.A1(\soc_top_xbar_to_timer[a_data] [16]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_48),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_49),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[16]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_74), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_spi_host_spi_host_shift_g8924__1666 (
-	.A1(\soc_top_xbar_to_timer[a_data] [17]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_48),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_49),
-	.B2(soc_top_u_spi_host_spi_host_shift_data[17]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_73), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_spi_host_spi_host_shift_g8925__7410 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_13),
-	.B(soc_top_u_spi_host_spi_host_shift_n_52),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_72), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_spi_host_spi_host_shift_g8927__6417 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_20),
-	.A2(soc_top_u_spi_host_spi_host_shift_cnt[3]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_23),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_68), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_spi_host_spi_host_shift_g8928__5477 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_336),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_26),
-	.B1(soc_top_u_spi_host_spi_host_ctrl[11]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_67), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_spi_host_spi_host_shift_g8929__2398 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_333),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_25),
-	.B1(soc_top_u_spi_host_spi_host_ctrl[11]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_66), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 soc_top_u_spi_host_spi_host_shift_g8930__5107 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_3),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_11),
-	.B1_N(soc_top_u_spi_host_spi_host_shift_n_33),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_65), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_spi_host_spi_host_shift_g8931__6260 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_10),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_32),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_17),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_64), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 soc_top_u_spi_host_spi_host_shift_g8932__4319 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_9),
-	.A2(FE_DBTN0_soc_top_u_spi_host_spi_host_tip),
-	.A3(soc_top_u_spi_host_spi_host_shift_n_337),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_21),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_63), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_spi_host_spi_host_shift_g8933__8428 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_29),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_14),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_16),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_70), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8934__5526 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_27),
-	.B(soc_top_u_spi_host_spi_host_shift_n_37),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_69), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_spi_host_spi_host_shift_g8935 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_61),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_60), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_spi_host_spi_host_shift_g8936__6783 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_10),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_23),
-	.B1(soc_top_u_spi_host_spi_host_ctrl[9]),
-	.B2(soc_top_u_spi_host_spi_host_shift_n_334),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_59), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 soc_top_u_spi_host_spi_host_shift_g8937__3680 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_36),
-	.A2(soc_top_u_spi_host_spi_host_shift_cnt[2]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_34),
-	.X(soc_top_u_spi_host_spi_host_shift_n_58), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 soc_top_u_spi_host_spi_host_shift_g8938__1617 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_35),
-	.A2(soc_top_u_spi_host_spi_host_shift_cnt[3]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_32),
-	.X(soc_top_u_spi_host_spi_host_shift_n_57), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 soc_top_u_spi_host_spi_host_shift_g8939__2802 (
-	.A(soc_top_u_spi_host_spi_host_ctrl[1]),
-	.B(soc_top_u_spi_host_spi_host_ctrl[3]),
-	.C(soc_top_u_spi_host_spi_host_ctrl[4]),
-	.D(soc_top_u_spi_host_spi_host_ctrl[2]),
-	.X(soc_top_u_spi_host_spi_host_shift_n_56), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 soc_top_u_spi_host_spi_host_shift_g8940__1705 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_6),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_33),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_20),
-	.X(soc_top_u_spi_host_spi_host_shift_n_55), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_spi_host_spi_host_shift_g8941__5122 (
-	.A1_N(soc_top_u_spi_host_spi_host_shift_cnt[5]),
-	.A2_N(soc_top_u_spi_host_spi_host_shift_n_17),
-	.B1(soc_top_u_spi_host_spi_host_shift_cnt[5]),
-	.B2(soc_top_u_spi_host_spi_host_shift_n_17),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_54), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_spi_host_spi_host_shift_g8942__8246 (
-	.A1(soc_top_u_spi_host_spi_host_ctrl[9]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_13),
-	.B1(soc_top_u_spi_host_spi_host_shift_cnt[0]),
-	.B2(FE_DBTN10_soc_top_u_spi_host_spi_host_ctrl_0),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_62), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_spi_host_spi_host_shift_g8943__7098 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_5),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_14),
-	.B1(soc_top_u_spi_host_spi_host_shift_cnt[0]),
-	.B2(soc_top_u_spi_host_spi_host_shift_n_12),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_61), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_spi_host_spi_host_shift_g8944 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_51),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_50), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_spi_host_spi_host_shift_g8945 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_49),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_48), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_spi_host_spi_host_shift_g8946 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_47),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_46), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_spi_host_spi_host_shift_g8947 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_45),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_44), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_spi_host_spi_host_shift_g8948__6131 (
-	.A_N(soc_top_u_spi_host_spi_host_shift_n_15),
-	.B(soc_top_u_spi_host_spi_host_shift_n_18),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_53), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_spi_host_spi_host_shift_g8949__1881 (
-	.A_N(soc_top_u_spi_host_spi_host_shift_n_16),
-	.B(soc_top_u_spi_host_spi_host_shift_n_29),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_52), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8950__5115 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_28),
-	.B(\soc_top_xbar_to_timer[a_mask] [3]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_51), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8951__7482 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_28),
-	.B(\soc_top_xbar_to_timer[a_mask] [2]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_49), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8952__4733 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_28),
-	.B(\soc_top_xbar_to_timer[a_mask] [0]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_47), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8953__6161 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_28),
-	.B(\soc_top_xbar_to_timer[a_mask] [1]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_45), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 soc_top_u_spi_host_spi_host_shift_g8954__9315 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_9),
-	.A2(soc_top_u_spi_host_spi_host_shift_cnt[0]),
-	.B1(soc_top_u_spi_host_spi_host_shift_n_24),
-	.X(soc_top_u_spi_host_spi_host_shift_n_41), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 soc_top_u_spi_host_spi_host_shift_g8955__9945 (
-	.A1(soc_top_u_spi_host_spi_host_shift_n_336),
-	.A2(soc_top_u_spi_host_spi_host_shift_cnt[4]),
-	.B1_N(soc_top_u_spi_host_spi_host_shift_n_334),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_40), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 soc_top_u_spi_host_spi_host_shift_g8956__2883 (
-	.A1(soc_top_u_spi_host_spi_host_shift_cnt[0]),
-	.A2(soc_top_u_spi_host_spi_host_shift_cnt[1]),
-	.B1_N(soc_top_u_spi_host_spi_host_shift_n_335),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_39), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_spi_host_spi_host_shift_g8957__2346 (
-	.A1_N(soc_top_u_spi_host_spi_host_neg_edge),
-	.A2_N(soc_top_u_spi_host_spi_host_ctrl[10]),
-	.B1(soc_top_u_spi_host_spi_host_ctrl[10]),
-	.B2(soc_top_u_spi_host_spi_host_shift_n_9),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_38), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_spi_host_spi_host_shift_g8958__1666 (
-	.A1(io_out[4]),
-	.A2(soc_top_u_spi_host_spi_host_shift_n_337),
-	.B1(soc_top_u_spi_host_spi_host_pos_edge),
-	.B2(soc_top_u_spi_host_spi_host_ctrl[9]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_spi_host_spi_host_shift_g8959__7410 (
-	.A(soc_top_u_spi_host_spi_host_shift_cnt[4]),
-	.B(soc_top_u_spi_host_spi_host_ctrl[4]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_43), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_spi_host_spi_host_shift_g8960__6417 (
-	.A_N(soc_top_u_spi_host_spi_host_shift_n_31),
-	.B(soc_top_u_spi_host_spi_host_shift_n_30),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_42), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_spi_host_spi_host_shift_g8961 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_34),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_35), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8962__5477 (
-	.A(soc_top_u_spi_host_spi_host_neg_edge),
-	.B(soc_top_u_spi_host_spi_host_shift_n_8),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8963__2398 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_333),
-	.B(soc_top_u_spi_host_spi_host_shift_cnt[3]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8964__5107 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_335),
-	.B(soc_top_u_spi_host_spi_host_shift_cnt[2]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_spi_host_spi_host_shift_g8965__6260 (
-	.A_N(soc_top_u_spi_host_spi_host_shift_n_335),
-	.B(soc_top_u_spi_host_spi_host_pos_edge),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_36), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8966__4319 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_9),
-	.B(soc_top_u_spi_host_spi_host_shift_n_333),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_34), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8967__8428 (
-	.A(soc_top_u_spi_host_spi_host_ctrl[9]),
-	.B(soc_top_u_spi_host_spi_host_shift_n_335),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8968__5526 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_9),
-	.B(soc_top_u_spi_host_spi_host_shift_n_336),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_32), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8969__6783 (
-	.A(soc_top_u_spi_host_spi_host_ctrl[2]),
-	.B(soc_top_u_spi_host_spi_host_shift_n_6),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8970__3680 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_6),
-	.B(soc_top_u_spi_host_spi_host_ctrl[2]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_spi_host_spi_host_shift_g8971__1617 (
-	.A_N(soc_top_u_spi_host_spi_host_ctrl[1]),
-	.B(soc_top_u_spi_host_spi_host_shift_cnt[1]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 soc_top_u_spi_host_spi_host_shift_g8972__2802 (
-	.A(soc_top_u_spi_host_spi_host_n_186),
-	.B(FE_DBTN0_soc_top_u_spi_host_spi_host_tip),
-	.X(soc_top_u_spi_host_spi_host_shift_n_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_spi_host_spi_host_shift_g8973 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_21),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_spi_host_spi_host_shift_g8974 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_14),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8975__1705 (
-	.A(soc_top_u_spi_host_spi_host_ctrl[0]),
-	.B(soc_top_u_spi_host_spi_host_shift_n_5),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8976__5122 (
-	.A(soc_top_u_spi_host_spi_host_ctrl[9]),
-	.B(soc_top_u_spi_host_spi_host_shift_cnt[0]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8977__8246 (
-	.A(soc_top_u_spi_host_spi_host_shift_cnt[0]),
-	.B(soc_top_u_spi_host_spi_host_shift_n_9),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_spi_host_spi_host_shift_g8978__7098 (
-	.A(soc_top_u_spi_host_spi_host_ctrl[9]),
-	.B(soc_top_u_spi_host_spi_host_shift_n_336),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8979__6131 (
-	.A(FE_DBTN0_soc_top_u_spi_host_spi_host_tip),
-	.B(soc_top_u_spi_host_spi_host_ctrl[8]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_spi_host_spi_host_shift_g8980__1881 (
-	.A_N(soc_top_u_spi_host_spi_host_shift_n_333),
-	.B(soc_top_u_spi_host_spi_host_shift_n_8),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8981__5115 (
-	.A(soc_top_u_spi_host_spi_host_tip),
-	.B(soc_top_u_spi_host_spi_host_ctrl[15]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_spi_host_spi_host_shift_g8982__7482 (
-	.A_N(soc_top_u_spi_host_spi_host_ctrl[3]),
-	.B(soc_top_u_spi_host_spi_host_shift_cnt[3]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_spi_host_spi_host_shift_g8983__4733 (
-	.A_N(soc_top_u_spi_host_spi_host_shift_n_334),
-	.B(soc_top_u_spi_host_spi_host_pos_edge),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 soc_top_u_spi_host_spi_host_shift_g8984__6161 (
-	.A(soc_top_u_spi_host_spi_host_shift_cnt[1]),
-	.B_N(soc_top_u_spi_host_spi_host_ctrl[1]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 soc_top_u_spi_host_spi_host_shift_g8985__9315 (
-	.A(soc_top_u_spi_host_spi_host_shift_cnt[3]),
-	.B_N(soc_top_u_spi_host_spi_host_ctrl[3]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_spi_host_spi_host_shift_g8986__9945 (
-	.A(FE_DBTN10_soc_top_u_spi_host_spi_host_ctrl_0),
-	.B(soc_top_u_spi_host_spi_host_shift_cnt[0]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_spi_host_spi_host_shift_g9005 (
-	.A(soc_top_u_spi_host_spi_host_shift_cnt[4]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_spi_host_spi_host_shift_g9012 (
-	.A(soc_top_u_spi_host_spi_host_pos_edge),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_spi_host_spi_host_shift_g9013 (
-	.A(soc_top_u_spi_host_spi_host_ctrl[9]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_spi_host_spi_host_shift_g9035 (
-	.A(soc_top_u_spi_host_spi_host_shift_cnt[2]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_spi_host_spi_host_shift_g9038 (
-	.A(soc_top_u_spi_host_spi_host_shift_cnt[1]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_spi_host_spi_host_shift_g9040 (
-	.A(soc_top_u_spi_host_spi_host_ctrl[11]),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 soc_top_u_spi_host_spi_host_shift_g2__2883 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_61),
-	.B_N(soc_top_u_spi_host_spi_host_shift_n_170),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 soc_top_u_spi_host_spi_host_shift_g9059__2346 (
-	.A_N(soc_top_u_spi_host_spi_host_shift_n_105),
-	.B(soc_top_u_spi_host_spi_host_shift_n_177),
-	.C(soc_top_u_spi_host_spi_host_shift_n_147),
-	.Y(soc_top_u_spi_host_spi_host_shift_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_spi_host_spi_host_shift_g2 (
-	.A(soc_top_u_spi_host_spi_host_shift_n_70),
-	.B(soc_top_u_spi_host_spi_host_shift_n_42),
-	.X(soc_top_u_spi_host_spi_host_shift_n_391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 soc_top_u_top_u_core_core_clock_gate_i_tie_1_cell (
-	.HI(soc_top_u_top_u_core_core_clock_gate_i_logic_1_1_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_fflags_csr_rdata_q_reg[0]  (
-	.CLK(CTS_102),
-	.D(\soc_top_u_top_u_core_cs_registers_i_fflags_q[NX] ),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_fflags_q[NX] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_fflag_wdata[0]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_fflags_csr_rdata_q_reg[1]  (
-	.CLK(CTS_102),
-	.D(\soc_top_u_top_u_core_cs_registers_i_fflags_q[UF] ),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_fflags_q[UF] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_fflag_wdata[1]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_fflags_csr_rdata_q_reg[4]  (
-	.CLK(CTS_102),
-	.D(\soc_top_u_top_u_core_cs_registers_i_fflags_q[NV] ),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_fflags_q[NV] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_fflag_wdata[4]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_fflags_csr_rdata_q_reg[2]  (
-	.CLK(CTS_102),
-	.D(\soc_top_u_top_u_core_cs_registers_i_fflags_q[OF] ),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_fflags_q[OF] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_fflag_wdata[2]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_fflags_csr_rdata_q_reg[3]  (
-	.CLK(CTS_102),
-	.D(\soc_top_u_top_u_core_cs_registers_i_fflags_q[DZ] ),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_fflags_q[DZ] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_fflag_wdata[3]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_frm_csr_rdata_q_reg[0]  (
-	.CLK(CTS_95),
-	.D(soc_top_u_top_u_core_fp_frm_csr[0]),
-	.Q(soc_top_u_top_u_core_fp_frm_csr[0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_frmd[0]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_frm_csr_rdata_q_reg[1]  (
-	.CLK(CTS_95),
-	.D(soc_top_u_top_u_core_fp_frm_csr[1]),
-	.Q(soc_top_u_top_u_core_fp_frm_csr[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_frmd[1]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_frm_csr_rdata_q_reg[2]  (
-	.CLK(CTS_95),
-	.D(soc_top_u_top_u_core_fp_frm_csr[2]),
-	.Q(soc_top_u_top_u_core_fp_frm_csr[2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_frmd[2]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_control_csr_rdata_q_reg[0]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_cs_registers_i_tmatch_control_rdata[2]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_tmatch_control_rdata[2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15364),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_control_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[25]  (
-	.CLK(CTS_56),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [25]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15349),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[1]  (
-	.CLK(CTS_93),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [1]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15363),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[14]  (
-	.CLK(CTS_46),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [14]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15338),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[15]  (
-	.CLK(CTS_61),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [15]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15339),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[16]  (
-	.CLK(CTS_101),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [16]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15340),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[17]  (
-	.CLK(CTS_93),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [17]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15341),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[18]  (
-	.CLK(CTS_101),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [18]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15342),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[19]  (
-	.CLK(CTS_101),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [19]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15343),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[20]  (
-	.CLK(CTS_101),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [20]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15344),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[21]  (
-	.CLK(CTS_101),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [21]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15345),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[2]  (
-	.CLK(CTS_93),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [2]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15364),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[3]  (
-	.CLK(CTS_93),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [3]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15365),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[22]  (
-	.CLK(CTS_101),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [22]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15346),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[23]  (
-	.CLK(CTS_56),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [23]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15347),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[24]  (
-	.CLK(CTS_56),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [24]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15348),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[0]  (
-	.CLK(CTS_93),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [0]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15362),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[13]  (
-	.CLK(CTS_46),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [13]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15337),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[26]  (
-	.CLK(CTS_56),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [26]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15350),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[27]  (
-	.CLK(CTS_56),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [27]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15357),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[5]  (
-	.CLK(CTS_99),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [5]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15353),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[28]  (
-	.CLK(CTS_56),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [28]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15351),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[29]  (
-	.CLK(CTS_101),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [29]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15358),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[6]  (
-	.CLK(CTS_99),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [6]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15354),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[7]  (
-	.CLK(CTS_61),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [7]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15360),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[30]  (
-	.CLK(CTS_101),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [30]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15352),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[31]  (
-	.CLK(CTS_101),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [31]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15361),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[8]  (
-	.CLK(CTS_99),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [8]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15334),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[9]  (
-	.CLK(CTS_99),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [9]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15335),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[10]  (
-	.CLK(CTS_46),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [10]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15336),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[11]  (
-	.CLK(CTS_99),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [11]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15355),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[12]  (
-	.CLK(CTS_99),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [12]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15356),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[4]  (
-	.CLK(CTS_100),
-	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [4]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15359),
-	.SCE(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_we [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[2]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_debug_single_step),
-	.Q(soc_top_u_top_u_core_debug_single_step),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_cs_registers_i_dcsr_d[step] ),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[1]  (
-	.CLK(CTS_100),
-	.D(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_n_5937),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5941),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[0]  (
-	.CLK(CTS_100),
-	.D(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_n_5936),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5941),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[15]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_debug_ebreakm),
-	.Q(soc_top_u_top_u_core_debug_ebreakm),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_cs_registers_i_dcsr_d[ebreakm] ),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[6]  (
-	.CLK(CTS_99),
-	.D(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [0]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_n_5938),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[11]  (
-	.CLK(CTS_100),
-	.D(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[stepie] ),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[stepie] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_cs_registers_i_dcsr_d[stepie] ),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[8]  (
-	.CLK(CTS_100),
-	.D(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [2]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_n_5940),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[7]  (
-	.CLK(CTS_99),
-	.D(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [1]),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_n_5939),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[12]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_debug_ebreaku),
-	.Q(soc_top_u_top_u_core_debug_ebreaku),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_cs_registers_i_dcsr_d[ebreaku] ),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[13]  (
-	.CLK(CTS_100),
-	.D(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ),
-	.Q(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_cs_registers_i_dcsr_d[ebreaks] ),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[20]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_csr_depc[20]),
-	.Q(soc_top_u_top_u_core_csr_depc[20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[20]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[2]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_csr_depc[2]),
-	.Q(soc_top_u_top_u_core_csr_depc[2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[2]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[3]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_csr_depc[3]),
-	.Q(soc_top_u_top_u_core_csr_depc[3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[3]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[16]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_csr_depc[16]),
-	.Q(soc_top_u_top_u_core_csr_depc[16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[16]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[17]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_csr_depc[17]),
-	.Q(soc_top_u_top_u_core_csr_depc[17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[17]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[18]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_csr_depc[18]),
-	.Q(soc_top_u_top_u_core_csr_depc[18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[18]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[19]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_csr_depc[19]),
-	.Q(soc_top_u_top_u_core_csr_depc[19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[19]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[1]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_csr_depc[1]),
-	.Q(soc_top_u_top_u_core_csr_depc[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[1]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[21]  (
-	.CLK(CTS_95),
-	.D(soc_top_u_top_u_core_csr_depc[21]),
-	.Q(soc_top_u_top_u_core_csr_depc[21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[21]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[22]  (
-	.CLK(CTS_95),
-	.D(soc_top_u_top_u_core_csr_depc[22]),
-	.Q(soc_top_u_top_u_core_csr_depc[22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[22]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[23]  (
-	.CLK(CTS_95),
-	.D(soc_top_u_top_u_core_csr_depc[23]),
-	.Q(soc_top_u_top_u_core_csr_depc[23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[23]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[4]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_csr_depc[4]),
-	.Q(soc_top_u_top_u_core_csr_depc[4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[4]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[5]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_csr_depc[5]),
-	.Q(soc_top_u_top_u_core_csr_depc[5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[5]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[24]  (
-	.CLK(CTS_95),
-	.D(soc_top_u_top_u_core_csr_depc[24]),
-	.Q(soc_top_u_top_u_core_csr_depc[24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[24]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[25]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_csr_depc[25]),
-	.Q(soc_top_u_top_u_core_csr_depc[25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[25]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[15]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_csr_depc[15]),
-	.Q(soc_top_u_top_u_core_csr_depc[15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[15]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[27]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_csr_depc[27]),
-	.Q(soc_top_u_top_u_core_csr_depc[27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[27]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[6]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_csr_depc[6]),
-	.Q(soc_top_u_top_u_core_csr_depc[6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[6]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[28]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_csr_depc[28]),
-	.Q(soc_top_u_top_u_core_csr_depc[28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[28]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[29]  (
-	.CLK(CTS_95),
-	.D(soc_top_u_top_u_core_csr_depc[29]),
-	.Q(soc_top_u_top_u_core_csr_depc[29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[29]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[7]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_csr_depc[7]),
-	.Q(soc_top_u_top_u_core_csr_depc[7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[7]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[30]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_csr_depc[30]),
-	.Q(soc_top_u_top_u_core_csr_depc[30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[30]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[31]  (
-	.CLK(CTS_95),
-	.D(soc_top_u_top_u_core_csr_depc[31]),
-	.Q(soc_top_u_top_u_core_csr_depc[31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[31]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[8]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_csr_depc[8]),
-	.Q(soc_top_u_top_u_core_csr_depc[8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[8]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[9]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_csr_depc[9]),
-	.Q(soc_top_u_top_u_core_csr_depc[9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[9]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[10]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_csr_depc[10]),
-	.Q(soc_top_u_top_u_core_csr_depc[10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[10]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[11]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_csr_depc[11]),
-	.Q(soc_top_u_top_u_core_csr_depc[11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[11]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[12]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_csr_depc[12]),
-	.Q(soc_top_u_top_u_core_csr_depc[12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[12]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[13]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_csr_depc[13]),
-	.Q(soc_top_u_top_u_core_csr_depc[13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[13]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[14]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_csr_depc[14]),
-	.Q(soc_top_u_top_u_core_csr_depc[14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[14]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[26]  (
-	.CLK(CTS_95),
-	.D(soc_top_u_top_u_core_csr_depc[26]),
-	.Q(soc_top_u_top_u_core_csr_depc[26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_depc_d[26]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[25]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[25]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15349),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[1]  (
-	.CLK(CTS_61),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[1]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15363),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[14]  (
-	.CLK(CTS_46),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[14]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15338),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[15]  (
-	.CLK(CTS_61),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[15]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15339),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[16]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[16]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15340),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[17]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[17]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15341),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[18]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[18]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15342),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[19]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[19]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15343),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[20]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[20]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15344),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[21]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[21]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15345),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[2]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[2]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15364),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[3]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[3]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15365),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[22]  (
-	.CLK(CTS_62),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[22]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15346),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[23]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[23]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15347),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[24]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[24]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15348),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[0]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[0]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15362),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[13]  (
-	.CLK(CTS_61),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[13]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15337),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[26]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[26]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15350),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[27]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[27]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15357),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[5]  (
-	.CLK(CTS_46),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[5]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15353),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[28]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[28]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15351),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[29]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[29]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15358),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[6]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[6]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15354),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[7]  (
-	.CLK(CTS_46),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[7]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15360),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[30]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[30]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15352),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[31]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[31]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15361),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[8]  (
-	.CLK(CTS_46),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[8]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15334),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[9]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[9]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15335),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[10]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[10]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15336),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[11]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[11]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15355),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[12]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[12]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15356),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[4]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[4]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15359),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[25]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[25]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15349),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[1]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[1]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15363),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[14]  (
-	.CLK(CTS_61),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[14]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15338),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[15]  (
-	.CLK(CTS_61),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[15]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15339),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[16]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[16]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15340),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[17]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[17]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15341),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[18]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[18]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15342),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[19]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[19]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15343),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[20]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[20]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15344),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[21]  (
-	.CLK(CTS_62),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[21]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15345),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[2]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[2]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15364),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[3]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[3]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15365),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[22]  (
-	.CLK(CTS_62),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[22]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15346),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[23]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[23]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15347),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[24]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[24]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15348),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[0]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[0]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15362),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[13]  (
-	.CLK(CTS_61),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[13]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15337),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[26]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[26]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15350),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[27]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[27]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15357),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[5]  (
-	.CLK(CTS_61),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[5]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15353),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[28]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[28]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15351),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[29]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[29]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15358),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[6]  (
-	.CLK(CTS_46),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[6]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15354),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[7]  (
-	.CLK(CTS_46),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[7]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15360),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[30]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[30]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15352),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[31]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[31]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15361),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[8]  (
-	.CLK(CTS_46),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[8]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15334),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[9]  (
-	.CLK(CTS_46),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[9]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15335),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[10]  (
-	.CLK(CTS_46),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[10]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15336),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[11]  (
-	.CLK(CTS_46),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[11]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15355),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[12]  (
-	.CLK(CTS_46),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[12]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15356),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[4]  (
-	.CLK(CTS_61),
-	.D(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[4]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15359),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[0]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_cs_registers_i_mcause_q[0]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mcause_q[0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mcause_d[0]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[3]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_cs_registers_i_mcause_q[3]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mcause_q[3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mcause_d[3]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[4]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_cs_registers_i_mcause_q[4]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mcause_q[4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mcause_d[4]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[5]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_cs_registers_i_mcause_q[5]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mcause_q[5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mcause_d[5]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[1]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_cs_registers_i_mcause_q[1]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mcause_q[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mcause_d[1]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[2]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_cs_registers_i_mcause_q[2]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mcause_q[2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mcause_d[2]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[20]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_csr_mepc[20]),
-	.Q(soc_top_u_top_u_core_csr_mepc[20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[20]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[2]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_csr_mepc[2]),
-	.Q(soc_top_u_top_u_core_csr_mepc[2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[2]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[3]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_csr_mepc[3]),
-	.Q(soc_top_u_top_u_core_csr_mepc[3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[3]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[16]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_csr_mepc[16]),
-	.Q(soc_top_u_top_u_core_csr_mepc[16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[16]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[17]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_csr_mepc[17]),
-	.Q(soc_top_u_top_u_core_csr_mepc[17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[17]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[18]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_csr_mepc[18]),
-	.Q(soc_top_u_top_u_core_csr_mepc[18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[18]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[19]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_csr_mepc[19]),
-	.Q(soc_top_u_top_u_core_csr_mepc[19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[19]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[1]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_csr_mepc[1]),
-	.Q(soc_top_u_top_u_core_csr_mepc[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[1]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[21]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_csr_mepc[21]),
-	.Q(soc_top_u_top_u_core_csr_mepc[21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[21]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[22]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_csr_mepc[22]),
-	.Q(soc_top_u_top_u_core_csr_mepc[22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[22]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[23]  (
-	.CLK(CTS_102),
-	.D(soc_top_u_top_u_core_csr_mepc[23]),
-	.Q(soc_top_u_top_u_core_csr_mepc[23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[23]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[4]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_csr_mepc[4]),
-	.Q(soc_top_u_top_u_core_csr_mepc[4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[4]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[5]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_csr_mepc[5]),
-	.Q(soc_top_u_top_u_core_csr_mepc[5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[5]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[24]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_csr_mepc[24]),
-	.Q(soc_top_u_top_u_core_csr_mepc[24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[24]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[25]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_csr_mepc[25]),
-	.Q(soc_top_u_top_u_core_csr_mepc[25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[25]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[15]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_csr_mepc[15]),
-	.Q(soc_top_u_top_u_core_csr_mepc[15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[15]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[27]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_csr_mepc[27]),
-	.Q(soc_top_u_top_u_core_csr_mepc[27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[27]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[6]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_csr_mepc[6]),
-	.Q(soc_top_u_top_u_core_csr_mepc[6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[6]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[28]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_csr_mepc[28]),
-	.Q(soc_top_u_top_u_core_csr_mepc[28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[28]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[29]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_csr_mepc[29]),
-	.Q(soc_top_u_top_u_core_csr_mepc[29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[29]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[7]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_csr_mepc[7]),
-	.Q(soc_top_u_top_u_core_csr_mepc[7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[7]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[30]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_csr_mepc[30]),
-	.Q(soc_top_u_top_u_core_csr_mepc[30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[30]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[31]  (
-	.CLK(CTS_95),
-	.D(soc_top_u_top_u_core_csr_mepc[31]),
-	.Q(soc_top_u_top_u_core_csr_mepc[31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[31]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[8]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_csr_mepc[8]),
-	.Q(soc_top_u_top_u_core_csr_mepc[8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[8]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[9]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_csr_mepc[9]),
-	.Q(soc_top_u_top_u_core_csr_mepc[9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[9]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[10]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_csr_mepc[10]),
-	.Q(soc_top_u_top_u_core_csr_mepc[10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[10]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[11]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_csr_mepc[11]),
-	.Q(soc_top_u_top_u_core_csr_mepc[11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[11]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[12]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_csr_mepc[12]),
-	.Q(soc_top_u_top_u_core_csr_mepc[12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[12]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[13]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_csr_mepc[13]),
-	.Q(soc_top_u_top_u_core_csr_mepc[13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[13]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[14]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_csr_mepc[14]),
-	.Q(soc_top_u_top_u_core_csr_mepc[14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[14]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[26]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_csr_mepc[26]),
-	.Q(soc_top_u_top_u_core_csr_mepc[26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mepc_d[26]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[12]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[12]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15351),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[5]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[5]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15345),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[6]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[6]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15346),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[7]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[7]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15347),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[8]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[8]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15348),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[9]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[9]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15349),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[10]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[10]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15350),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[11]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[11]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15357),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[0]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[0]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15340),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[4]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[4]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15344),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[2]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[2]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15342),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[13]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[13]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15358),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[14]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[14]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15352),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[15]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15355),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[16]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[16]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15360),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[3]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[3]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15343),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[17]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[17]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15365),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[1]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[1]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15341),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[25]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[25]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15349),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[1]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[1]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15363),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[14]  (
-	.CLK(CTS_61),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[14]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15338),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[15]  (
-	.CLK(CTS_61),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[15]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15339),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[16]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[16]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15340),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[17]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[17]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15341),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[18]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[18]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15342),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[19]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[19]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15343),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[20]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[20]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15344),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[21]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[21]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15345),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[2]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[2]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15364),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[3]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[3]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15365),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[22]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[22]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15346),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[23]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[23]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15347),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[24]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[24]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15348),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[0]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[0]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15362),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[13]  (
-	.CLK(CTS_46),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[13]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15337),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[26]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[26]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15350),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[27]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[27]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15357),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[5]  (
-	.CLK(CTS_46),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[5]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15353),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[28]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[28]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15351),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[29]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[29]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15358),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[6]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[6]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15354),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[7]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[7]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15360),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[30]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[30]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15352),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[31]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[31]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15361),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[8]  (
-	.CLK(CTS_46),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[8]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15334),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[9]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[9]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15335),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[10]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[10]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15336),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[11]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[11]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15355),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[12]  (
-	.CLK(CTS_46),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[12]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15356),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[4]  (
-	.CLK(CTS_61),
-	.D(soc_top_u_top_u_core_cs_registers_i_mscratch_q[4]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(n_15359),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[4]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[7]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[7]),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_n_5929),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5931),
-	.SET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[0]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_csr_mstatus_tw),
-	.Q(soc_top_u_top_u_core_csr_mstatus_tw),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_cs_registers_i_mstatus_d[tw] ),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[3]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[12]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_n_5928),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[5]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_csr_mstatus_mie),
-	.Q(soc_top_u_top_u_core_csr_mstatus_mie),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_n_5930),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[1]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[17]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(\soc_top_u_top_u_core_cs_registers_i_mstatus_d[mprv] ),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[2]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[11]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_n_5927),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[25]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[25]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[25]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[1]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[1]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[1]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[14]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[14]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[14]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[15]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[15]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[15]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[16]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[16]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[16]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[17]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[17]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[17]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[18]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[18]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[18]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[19]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[19]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[19]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[20]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[20]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[20]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[21]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[21]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[21]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[2]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[2]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[2]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[3]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[3]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[3]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[22]  (
-	.CLK(CTS_95),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[22]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[22]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[23]  (
-	.CLK(CTS_95),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[23]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[23]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[24]  (
-	.CLK(CTS_95),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[24]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[24]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[0]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[0]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[0]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[13]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[13]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[13]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[26]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[26]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[26]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[27]  (
-	.CLK(CTS_95),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[27]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[27]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[5]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[5]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[5]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[28]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[28]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[28]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[29]  (
-	.CLK(CTS_95),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[29]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[29]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[6]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[6]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[6]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[7]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[7]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[7]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[30]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[30]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[30]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[31]  (
-	.CLK(CTS_95),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[31]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[31]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[8]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[8]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[8]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[9]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[9]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[9]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[10]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[10]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[10]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[11]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[11]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[11]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[12]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[12]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[12]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[4]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_cs_registers_i_mtval_q[4]),
-	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtval_d[4]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[21]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_csr_mtvec[21]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[21]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[21]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[3]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_csr_mtvec[3]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[3]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[4]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_csr_mtvec[4]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[4]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[17]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_csr_mtvec[17]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[17]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[17]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[18]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_csr_mtvec[18]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[18]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[18]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[19]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_csr_mtvec[19]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[19]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[19]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[20]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_csr_mtvec[20]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[20]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[20]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[2]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_csr_mtvec[2]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[2]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[22]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_csr_mtvec[22]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[22]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[22]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[23]  (
-	.CLK(CTS_102),
-	.D(soc_top_u_top_u_core_csr_mtvec[23]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[23]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[23]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[24]  (
-	.CLK(CTS_102),
-	.D(soc_top_u_top_u_core_csr_mtvec[24]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[24]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[24]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[5]  (
-	.CLK(CTS_97),
-	.D(soc_top_u_top_u_core_csr_mtvec[5]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[5]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[6]  (
-	.CLK(CTS_97),
-	.D(soc_top_u_top_u_core_csr_mtvec[6]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[6]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[25]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_csr_mtvec[25]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[25]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[25]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[26]  (
-	.CLK(CTS_56),
-	.D(soc_top_u_top_u_core_csr_mtvec[26]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[26]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[26]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[9]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_csr_mtvec[9]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[9]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[9]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[28]  (
-	.CLK(CTS_102),
-	.D(soc_top_u_top_u_core_csr_mtvec[28]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[28]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[28]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[7]  (
-	.CLK(CTS_97),
-	.D(soc_top_u_top_u_core_csr_mtvec[7]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[7]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[7]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[29]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_csr_mtvec[29]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[29]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[29]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[30]  (
-	.CLK(CTS_98),
-	.D(soc_top_u_top_u_core_csr_mtvec[30]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[30]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[30]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[8]  (
-	.CLK(CTS_97),
-	.D(soc_top_u_top_u_core_csr_mtvec[8]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[8]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[31]  (
-	.CLK(CTS_101),
-	.D(soc_top_u_top_u_core_csr_mtvec[31]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[31]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[31]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[27]  (
-	.CLK(CTS_102),
-	.D(soc_top_u_top_u_core_csr_mtvec[27]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[27]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[27]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[10]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_csr_mtvec[10]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[10]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[10]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[11]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_csr_mtvec[11]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[11]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[12]  (
-	.CLK(CTS_99),
-	.D(soc_top_u_top_u_core_csr_mtvec[12]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[12]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[12]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[13]  (
-	.CLK(CTS_61),
-	.D(soc_top_u_top_u_core_csr_mtvec[13]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[13]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[14]  (
-	.CLK(CTS_61),
-	.D(soc_top_u_top_u_core_csr_mtvec[14]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[14]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[14]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[15]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_csr_mtvec[15]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[15]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[15]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[16]  (
-	.CLK(CTS_93),
-	.D(soc_top_u_top_u_core_csr_mtvec[16]),
-	.Q(soc_top_u_top_u_core_csr_mtvec[16]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_top_u_core_cs_registers_i_mtvec_d[16]),
-	.SCE(soc_top_u_top_u_core_cs_registers_i_mtvec_en), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2091__1666  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_85 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_110 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[2][is_nan] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2092__7410  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_85 ),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_110 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[2][is_inf] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2093__6417  (
-	.A(\soc_top_u_top_u_core_fp_operands[2] [22]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_85 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_109 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[2][is_signalling] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2094__5477  (
-	.A(\soc_top_u_top_u_core_fp_operands[2] [22]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_109 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_110 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2095__2398  (
-	.A(\soc_top_u_top_u_core_fp_operands[2] [19]),
-	.B(\soc_top_u_top_u_core_fp_operands[2] [17]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_55 ),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_95 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_109 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2096__5107  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [22]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_102 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[1][is_signalling] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2097__6260  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [22]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_8 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[0][is_signalling] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2099  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_8 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[0][is_nan] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2100__4319  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_79 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_98 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[1][is_zero] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2101__8428  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_98 ),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_75 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[1][is_inf] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2104  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_102 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[1][is_nan] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2105__5526  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_96 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_76 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[0][is_inf] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2106__6783  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_77 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_96 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[0][is_subnormal] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2107__3680  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_79 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_97 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[1][is_subnormal] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2108__1617  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_98 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_75 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_102 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2109  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_97 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_98 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2110__2802  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_4 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_94 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_97 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2112__1705  (
-	.A(\soc_top_u_top_u_core_fp_operands[2] [0]),
-	.B(\soc_top_u_top_u_core_fp_operands[2] [10]),
-	.C(\soc_top_u_top_u_core_fp_operands[2] [13]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_92 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_95 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2113__5122  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_86 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_93 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_96 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2114__8246  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_81 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_30 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_119 ),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_70 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_94 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2115__7098  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_90 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_89 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_93 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2116__6131  (
-	.A(\soc_top_u_top_u_core_fp_operands[2] [2]),
-	.B(\soc_top_u_top_u_core_fp_operands[2] [15]),
-	.C(\soc_top_u_top_u_core_fp_operands[2] [9]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_82 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_92 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2117__1881  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_83 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_84 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[2][is_normal] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2118__5115  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_6 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_80 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_90 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2119__7482  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_7 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_89 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2120__4733  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_76 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_78 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[0][is_normal] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2121__6161  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_75 ),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_79 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[1][is_normal] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2122__9315  (
-	.A_N(\soc_top_u_top_u_core_fp_operands[0] [14]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_66 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_63 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_86 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2124  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_84 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_85 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2125__9945  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_0 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_71 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_83 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2126__2883  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_54 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_53 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_35 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_82 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2127__2346  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [14]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_72 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_81 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2128__1666  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_115 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_117 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_80 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2129__7410  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_68 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_73 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_84 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2130  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_77 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_78 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2132__5477  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_61 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_57 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_79 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2133__2398  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_47 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_48 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_37 ),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_41 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_77 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2134__5107  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_59 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_58 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_76 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2135__6260  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_56 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_60 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_75 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2136__4319  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_114 ),
-	.B(\soc_top_u_top_u_core_fp_operands[2] [26]),
-	.C(\soc_top_u_top_u_core_fp_operands[2] [23]),
-	.D(\soc_top_u_top_u_core_fp_operands[2] [25]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_73 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2137__8428  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_32 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_62 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_72 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2138__5526  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_118 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_38 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_71 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2139__6783  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_2 ),
-	.B(soc_top_u_top_u_core_lsu_wdata[13]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_70 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2143__1617  (
-	.A(\soc_top_u_top_u_core_fp_operands[2] [24]),
-	.B(\soc_top_u_top_u_core_fp_operands[2] [28]),
-	.C(\soc_top_u_top_u_core_fp_operands[2] [30]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_68 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2144__2802  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [17]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_39 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_67 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2145__1705  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [0]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [22]),
-	.C(\soc_top_u_top_u_core_fp_operands[0] [15]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_66 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2150__7098  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [19]),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [16]),
-	.C(\soc_top_u_top_u_core_fp_operands[0] [18]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_63 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2151__6131  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [2]),
-	.B(soc_top_u_top_u_core_lsu_wdata[0]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [5]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_62 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2152__1881  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_42 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_43 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_61 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2153__5115  (
-	.A(soc_top_u_top_u_core_lsu_wdata[24]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][2] ),
-	.C(soc_top_u_top_u_core_lsu_wdata[23]),
-	.D(soc_top_u_top_u_core_lsu_wdata[26]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_60 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2154__7482  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [30]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][5] ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][4] ),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][6] ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_59 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2155__4733  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][1] ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][2] ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][0] ),
-	.D(\soc_top_u_top_u_core_fp_operands[0] [26]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_58 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2156__6161  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_44 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_45 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_57 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2157__9315  (
-	.A(soc_top_u_top_u_core_lsu_wdata[30]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][5] ),
-	.C(soc_top_u_top_u_core_lsu_wdata[29]),
-	.D(soc_top_u_top_u_core_lsu_wdata[27]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_56 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2158__9945  (
-	.A(\soc_top_u_top_u_core_fp_operands[2] [18]),
-	.B(\soc_top_u_top_u_core_fp_operands[2] [11]),
-	.C(\soc_top_u_top_u_core_fp_operands[2] [14]),
-	.D(\soc_top_u_top_u_core_fp_operands[2] [12]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_55 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2159__2883  (
-	.A(\soc_top_u_top_u_core_fp_operands[2] [1]),
-	.B(\soc_top_u_top_u_core_fp_operands[2] [7]),
-	.C(\soc_top_u_top_u_core_fp_operands[2] [16]),
-	.D(\soc_top_u_top_u_core_fp_operands[2] [8]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_54 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2160__2346  (
-	.A(\soc_top_u_top_u_core_fp_operands[2] [21]),
-	.B(\soc_top_u_top_u_core_fp_operands[2] [5]),
-	.C(\soc_top_u_top_u_core_fp_operands[2] [6]),
-	.D(\soc_top_u_top_u_core_fp_operands[2] [20]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_53 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2164__6417  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [4]),
-	.B(soc_top_u_top_u_core_lsu_wdata[3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_49 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2165__5477  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][4] ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][2] ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_48 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2166__2398  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [26]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][1] ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_47 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2167__5107  (
-	.A(\soc_top_u_top_u_core_fp_operands[2] [30]),
-	.B(\soc_top_u_top_u_core_fp_operands[2] [28]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_46 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2169__6260  (
-	.A(FE_DBTN5_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_exponent_5),
-	.B(FE_DBTN19_soc_top_u_top_u_core_lsu_wdata_30),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_45 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2170__4319  (
-	.A(FE_DBTN17_soc_top_u_top_u_core_lsu_wdata_27),
-	.B(FE_DBTN18_soc_top_u_top_u_core_lsu_wdata_29),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_44 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2171__8428  (
-	.A(FE_DBTN4_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_exponent_2),
-	.B(FE_DBTN15_soc_top_u_top_u_core_lsu_wdata_24),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_43 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2172__5526  (
-	.A(FE_DBTN14_soc_top_u_top_u_core_lsu_wdata_23),
-	.B(FE_DBTN16_soc_top_u_top_u_core_lsu_wdata_26),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_42 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2173__6783  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][6] ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][0] ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_41 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2176__1617  (
-	.A(FE_DBTN24_soc_top_u_top_u_core_fp_operands_0_21),
-	.B(FE_DBTN20_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_0_value_mantissa_3),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_39 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2177__2802  (
-	.A(\soc_top_u_top_u_core_fp_operands[2] [26]),
-	.B(\soc_top_u_top_u_core_fp_operands[2] [24]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_38 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2179__1705  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][5] ),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [30]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_37 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2180__5122  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [9]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [8]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_36 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2181__8246  (
-	.A(\soc_top_u_top_u_core_fp_operands[2] [4]),
-	.B(\soc_top_u_top_u_core_fp_operands[2] [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_35 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2184__1881  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [16]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [15]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_32 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2185__5115  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [7]),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [4]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_31 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2186__7482  (
-	.A(soc_top_u_top_u_core_lsu_wdata[11]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [12]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_30 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2__9945  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_96 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_78 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[0][is_zero] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2217__2883  (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_96 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_76 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_8 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2218__2346  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [8]),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [20]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_7 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2219__1666  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [11]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [12]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_6 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2221__6417  (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [10]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_36 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_116 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_4 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2222__5477  (
-	.A_N(\soc_top_u_top_u_core_fp_operands[0] [13]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_67 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_3 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2223__2398  (
-	.A_N(soc_top_u_top_u_core_lsu_wdata[17]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_49 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_2 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2225__6260  (
-	.A_N(\soc_top_u_top_u_core_fp_operands[2] [25]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_46 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_0 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2  (
-	.A(\soc_top_u_top_u_core_fp_operands[2] [27]),
-	.B(\soc_top_u_top_u_core_fp_operands[2] [29]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_114 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2226  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [10]),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [2]),
-	.C(\soc_top_u_top_u_core_fp_operands[0] [6]),
-	.D_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_31 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_115 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2227  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [22]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [6]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [1]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [7]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_116 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2228  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [5]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [1]),
-	.C(\soc_top_u_top_u_core_fp_operands[0] [9]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_117 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2229  (
-	.A(\soc_top_u_top_u_core_fp_operands[2] [23]),
-	.B(\soc_top_u_top_u_core_fp_operands[2] [27]),
-	.C(\soc_top_u_top_u_core_fp_operands[2] [29]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_118 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_g2230  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [20]),
-	.B(soc_top_u_top_u_core_lsu_wdata[21]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [18]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [19]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_n_119 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2918__4319  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_147 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_161 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2919__8428  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_146 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_134 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_145 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2922__6783  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_142 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [1]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_147 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2923__3680  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_142 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_24 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_146 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2924__1617  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_141 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_121 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_145 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2926__1705  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_120 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_132 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_100 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_128 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2927__5122  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_117 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_113 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_123 ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_122 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_141 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2928__8246  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [2]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_137 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_142 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2929__7098  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_112 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_120 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_137 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2930__6131  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_115 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_120 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_86 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_113 ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_135 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2931__1881  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_127 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_125 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_119 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_138 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2932__5115  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_128 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_131 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2933__7482  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_129 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_76 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_135 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2934__4733  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_130 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_108 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_137 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2935__6161  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_104 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_158 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_129 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_134 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2936__9315  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_129 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_106 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_133 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2937__9945  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_112 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_99 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_114 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_73 ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_124 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_132 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2938  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_130 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_131 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2939  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_129 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_128 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2940__2883  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_68 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_121 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_130 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2941__2346  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_108 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_121 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_129 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2942__1666  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_124 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_71 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_127 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2943__7410  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_120 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_108 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_68 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_lzc_zeroes ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2944__6417  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_114 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_105 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_118 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_125 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2945__5477  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_159 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_114 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_123 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2946__2398  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_4 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_79 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_116 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_122 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2947__5107  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_6 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_115 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_124 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2948  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_121 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_120 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2949__6260  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_98 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_107 ),
-	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_110 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_112 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_119 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2950__4319  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_89 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_115 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_118 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2951__8428  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_111 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_46 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_96 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_117 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2952__5526  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_116 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_84 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_121 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2953  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_116 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_115 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2954__6783  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_113 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_8 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_116 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2955__3680  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_8 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_112 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_114 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2956  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_113 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_112 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2957__1617  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [35]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_109 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_113 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2958__2802  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_92 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_102 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_111 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2959  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_109 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_110 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2960__1705  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_92 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_97 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_107 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2961__5122  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_92 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_52 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_20 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_109 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2962__8246  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_158 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_59 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_108 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2963__7098  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [6]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_53 ),
-	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_94 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_101 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_106 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2964__6131  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [34]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_88 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_74 ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_90 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_105 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2965__1881  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_95 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_93 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_49 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_160 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_104 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2966__5115  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_51 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_86 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_64 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_102 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2967__7482  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_94 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_83 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_70 ),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_81 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_101 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2968__4733  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_77 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_160 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_93 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_100 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2970__9315  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_86 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_5 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_92 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_99 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2971__9945  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [38]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_91 ),
-	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [37]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_98 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2972__2883  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_86 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_80 ),
-	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_75 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_97 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2973__2346  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_92 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_52 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_96 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2975__1666  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_77 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_160 ),
-	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_48 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_162 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_95 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2976__7410  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [27]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_90 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_8 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2977  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_94 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_93 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2978  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_91 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_92 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2980__6417  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_162 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_54 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_94 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2981__5477  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_85 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_65 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_91 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2982__2398  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [25]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_19 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_89 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2983__5107  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [33]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_82 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_88 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2985__6260  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_159 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_36 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_90 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2986  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_85 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_86 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2987__4319  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [19]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [20]),
-	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_79 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_84 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2988__8428  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [10]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_40 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_76 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_83 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2990__6783  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_5 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_69 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_29 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_85 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2993__3680  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [32]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_73 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_82 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2994__1617  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [14]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_43 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_160 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_81 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2995__2802  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_gen_lzc.in_tmp [4]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_55 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_80 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2997  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_77 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_76 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2998__1705  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_46 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [48]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [50]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_75 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2999__5122  (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_gen_lzc.in_tmp [20]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_73 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [29]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_74 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3000__8246  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [22]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [21]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_79 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3002__6131  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_160 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_66 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_77 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3007  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_72 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_73 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3008__1881  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_32 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [20]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [22]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_71 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3009__5115  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_25 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [16]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [18]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_70 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3010__7482  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [43]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_63 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_69 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3012__6161  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_62 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_58 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_72 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3017__9315  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_154 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_155 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_66 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3018__9945  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_153 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_157 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_65 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3019__2883  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_gen_lzc.in_tmp [50]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [1]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [2]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_68 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3020__2346  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_60 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_57 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_6 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3021__1666  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_45 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_39 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_5 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3022  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_63 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_64 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3026__6417  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [26]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [25]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_60 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3027__5477  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [3]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [4]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_59 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3028__2398  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [31]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [32]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_58 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3029__5107  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [23]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [24]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_57 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3030__6260  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [41]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [40]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_56 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3031__4319  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [45]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_29 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_55 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3032__8428  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [7]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [8]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_54 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3033__5526  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [5]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [4]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_53 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3034__6783  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_11 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_27 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_63 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3035__3680  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [33]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [34]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_62 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3036  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_157 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_51 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3037  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_155 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_48 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3038  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_45 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_46 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3040__2802  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [13]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [12]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_43 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3043__8246  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [9]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [8]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_40 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3044__7098  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [38]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [37]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_52 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3045__6131  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_23 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_10 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_39 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3048__7482  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_25 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_17 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_49 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3050__6161  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_34 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_21 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_45 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3052  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [28]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_36 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3054  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [50]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_34 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3056  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [21]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_32 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3059  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [42]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_30 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3060  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [44]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_29 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3062  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_gen_lzc.in_tmp [4]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_27 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3064  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [17]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_25 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3065  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [1]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_24 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3066  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [47]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_23 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3068  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [49]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_21 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3069  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [36]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_20 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3070  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [24]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_19 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3072  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [18]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_17 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3079  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [45]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_11 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3080  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [48]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_10 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2__9315  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [25]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_4 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3082__9945  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [26]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_3 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3083__2883  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_73 ),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_62 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_2 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3084__2346  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_56 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_86 ),
-	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_30 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_1 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3086  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [40]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [39]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_153 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3087  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [12]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [11]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_154 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3088  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [13]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [14]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_155 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3090  (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [41]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_30 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_157 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3091  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [6]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [5]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_94 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_158 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3092  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_gen_lzc.in_tmp [20]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [29]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_72 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_159 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3093  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [16]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [15]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_49 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_160 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g3094  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_138 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_121 ),
-	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_133 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_161 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_g2  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [9]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [10]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_77 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc_n_162 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1260__7410  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_23 ),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_33 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_10 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [4]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1261__6417  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_31 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_33 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_19 ),
-	.B2(FE_DBTN8_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a_4),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1262__5477  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_22 ),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [4]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_32 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_33 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1263__2398  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_13 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_33 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1265__5107  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [1]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [0]),
-	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_18 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_33 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1266__6260  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [8]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_29 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_33 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1267__4319  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_25 ),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [10]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_26 ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_30 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_32 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1268__8428  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_11 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_7 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_27 ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_28 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_31 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1269  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_29 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_30 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1270__5526  (
-	.A_N(\soc_top_u_top_u_core_fp_operands[0] [9]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_28 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_29 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1271__6783  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [10]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_25 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_28 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1272__3680  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_24 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_20 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_27 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1273__1617  (
-	.A1(FE_DBTN21_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_0_value_mantissa_22),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [21]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Hb_a_D ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_21 ),
-	.D1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_20 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_26 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1274__2802  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_13 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_12 ),
-	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_6 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_17 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_24 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1275__1705  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [11]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_20 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_25 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1276__5122  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_13 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_12 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_20 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_23 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1277__8246  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_4 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_14 ),
-	.B1(\soc_top_u_top_u_core_fp_operands[0] [7]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_16 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_22 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1278__7098  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_9 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_13 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_8 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_11 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_21 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1279__6131  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_5 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_10 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_18 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_19 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1280__1881  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [12]),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [13]),
-	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_17 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_20 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1281__5115  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [2]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_14 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_18 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1282__7482  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [6]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_15 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_16 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1283__4733  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [14]),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [15]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_13 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_17 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1284__6161  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [5]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_10 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_15 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1285  (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [3]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_10 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_14 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1286  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [16]),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [17]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_11 ),
-	.D_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_6 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_13 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1287  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_12 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_11 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1288  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [21]),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [20]),
-	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_7 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_12 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1289  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [4]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [5]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_10 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1290  (
-	.A1(FE_DBTN22_soc_top_u_top_u_core_fp_operands_0_14),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [13]),
-	.B1(\soc_top_u_top_u_core_fp_operands[0] [15]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_9 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1291  (
-	.A1(FE_DBTN23_soc_top_u_top_u_core_fp_operands_0_18),
-	.A2(\soc_top_u_top_u_core_fp_operands[0] [17]),
-	.B1(\soc_top_u_top_u_core_fp_operands[0] [19]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_8 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1292  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [22]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Hb_a_D ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_7 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1293  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [19]),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [18]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_6 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1294  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [2]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [1]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_4 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_g1295  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [6]),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [7]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_5 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1260  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_23 ),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_33 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_10 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [4]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1261  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_31 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_33 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_19 ),
-	.B2(FE_DBTN9_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b_4),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1262  (
-	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_22 ),
-	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [4]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_32 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_33 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1263  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_13 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_33 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1265  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [1]),
-	.A2(soc_top_u_top_u_core_lsu_wdata[0]),
-	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_18 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_33 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1266  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [8]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_29 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_33 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1267  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_25 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [10]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_26 ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_30 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_32 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1268  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_11 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_7 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_27 ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_28 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_31 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1269  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_29 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_30 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1270  (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [9]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_28 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_29 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1271  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [10]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_25 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_28 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1272  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_24 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_20 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_27 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1273  (
-	.A1(FE_DBTN13_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_mantissa_22),
-	.A2(soc_top_u_top_u_core_lsu_wdata[21]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Hb_b_D ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_21 ),
-	.D1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_20 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_26 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1274  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_13 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_12 ),
-	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_6 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_17 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_24 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1275  (
-	.A(soc_top_u_top_u_core_lsu_wdata[11]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_20 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_25 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1276  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_13 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_12 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_20 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_23 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1277  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_4 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_14 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [7]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_16 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_22 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1278  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_9 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_13 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_8 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_11 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_21 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1279  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_5 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_10 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_18 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_19 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1280  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [12]),
-	.B(soc_top_u_top_u_core_lsu_wdata[13]),
-	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_17 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_20 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1281  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [2]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_14 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_18 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1282  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [6]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_15 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_16 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1283  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [14]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [15]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_13 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_17 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1284  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [5]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_10 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_15 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1285  (
-	.A_N(soc_top_u_top_u_core_lsu_wdata[3]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_10 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_14 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1286  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [16]),
-	.B(soc_top_u_top_u_core_lsu_wdata[17]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_11 ),
-	.D_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_6 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_13 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1287  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_12 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_11 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1288  (
-	.A(soc_top_u_top_u_core_lsu_wdata[21]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [20]),
-	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_7 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_12 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1289  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [4]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [5]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_10 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1290  (
-	.A1(FE_DBTN11_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_mantissa_14),
-	.A2(soc_top_u_top_u_core_lsu_wdata[13]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [15]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_9 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1291  (
-	.A1(FE_DBTN12_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_i_class_inputs_gen_num_values_1_value_mantissa_18),
-	.A2(soc_top_u_top_u_core_lsu_wdata[17]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [19]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_8 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1292  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [22]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Hb_b_D ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_7 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1293  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [19]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [18]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_6 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1294  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [2]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [1]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_4 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_g1295  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [6]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [7]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_5 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1608  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [22]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[1][is_nan] ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[1][is_signalling] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1609  (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_38 ),
-	.B(soc_top_u_top_u_core_lsu_wdata[24]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][2] ),
-	.D(soc_top_u_top_u_core_lsu_wdata[23]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[1][is_nan] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1610  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_34 ),
-	.B(soc_top_u_top_u_core_lsu_wdata[26]),
-	.C(soc_top_u_top_u_core_lsu_wdata[27]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][5] ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_38 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1611  (
-	.A(soc_top_u_top_u_core_lsu_wdata[26]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][2] ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_36 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[1][is_zero] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1612  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_28 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_5 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_6 ),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_4 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_36 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1613  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [22]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_nan] ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_signalling] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1614  (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_28 ),
-	.B(soc_top_u_top_u_core_lsu_wdata[30]),
-	.C(soc_top_u_top_u_core_lsu_wdata[29]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_34 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1615  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_29 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_24 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_zero] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1616  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_23 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_29 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_nan] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1617  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_23 ),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_29 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_inf] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1618  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_29 ),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_24 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_subnormal] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1619  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [8]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [22]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_9 ),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_25 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_29 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1620  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [22]),
-	.B(soc_top_u_top_u_core_lsu_wdata[11]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_11 ),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_26 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_28 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1621  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_24 ),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_23 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_info_q[0][is_normal] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1622  (
-	.A_N(soc_top_u_top_u_core_lsu_wdata[0]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_21 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_14 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_26 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1623  (
-	.A_N(\soc_top_u_top_u_core_fp_operands[0] [9]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_22 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_13 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_25 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1624  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [26]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][2] ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][1] ),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_20 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_24 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1625  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_19 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][1] ),
-	.C(\soc_top_u_top_u_core_fp_operands[0] [26]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][2] ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_23 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1626  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [11]),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [13]),
-	.C(\soc_top_u_top_u_core_fp_operands[0] [15]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_18 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_22 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1627  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [2]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [1]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [15]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_17 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_21 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1628  (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][4] ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_16 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_20 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1629  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_15 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][0] ),
-	.C(\soc_top_u_top_u_core_fp_operands[0] [30]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_19 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1630  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_7 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_10 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_3 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_18 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1631  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_12 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_2 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_8 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_17 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1632  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][5] ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][6] ),
-	.C(\soc_top_u_top_u_core_fp_operands[0] [30]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][0] ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_16 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1633  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][5] ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][4] ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][6] ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_15 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1634  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [6]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [19]),
-	.C(soc_top_u_top_u_core_lsu_wdata[17]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_14 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1635  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [1]),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [6]),
-	.C(\soc_top_u_top_u_core_fp_operands[0] [14]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_13 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1636  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [5]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [7]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [8]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [16]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_12 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1637  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [18]),
-	.B(soc_top_u_top_u_core_lsu_wdata[13]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [12]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [14]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_11 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1638  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [21]),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [20]),
-	.C(\soc_top_u_top_u_core_fp_operands[0] [19]),
-	.D(\soc_top_u_top_u_core_fp_operands[0] [18]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_10 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1639  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [2]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [5]),
-	.C(\soc_top_u_top_u_core_fp_operands[0] [7]),
-	.D(\soc_top_u_top_u_core_fp_operands[0] [10]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_9 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1640  (
-	.A(soc_top_u_top_u_core_lsu_wdata[3]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [4]),
-	.C(soc_top_u_top_u_core_lsu_wdata[21]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [20]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_8 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1641  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [0]),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [16]),
-	.C(\soc_top_u_top_u_core_fp_operands[0] [17]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [12]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_7 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1642  (
-	.A(soc_top_u_top_u_core_lsu_wdata[29]),
-	.B(soc_top_u_top_u_core_lsu_wdata[30]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_6 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1643  (
-	.A(soc_top_u_top_u_core_lsu_wdata[23]),
-	.B(soc_top_u_top_u_core_lsu_wdata[24]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_5 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1644  (
-	.A(soc_top_u_top_u_core_lsu_wdata[27]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[exponent][5] ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_4 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1645  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [4]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [3]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_3 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_g1646  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [10]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[1].value[mantissa] [9]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_i_class_a_n_2 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_g774  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_12 ),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_15 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_info[0][is_nan]_2238 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_g775  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_15 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_13 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_info[0][is_subnormal] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_g776  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_12 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_15 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_info[0][is_inf]_2237 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_g777  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_15 ),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_13 ),
-	.Y(n_16656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_g778  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_11 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_3 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_5 ),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_15 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_g779  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_13 ),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_12 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_info[0][is_normal] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_g780  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][5] ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][4] ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][6] ),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_10 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_13 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_g781  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_9 ),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [30]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][6] ),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][5] ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_12 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_g782  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [19]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [12]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_4 ),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_8 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_11 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_g783  (
-	.A_N(\soc_top_u_top_u_core_fp_operands[0] [30]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_7 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_10 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_g784  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_6 ),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [26]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][4] ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_9 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_g785  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [21]),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [16]),
-	.C(\soc_top_u_top_u_core_fp_operands[0] [15]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_2 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_8 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_g786  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][0] ),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [26]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][2] ),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][1] ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_7 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_g787  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][1] ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][2] ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[exponent][0] ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_6 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_g788  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [6]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [5]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [3]),
-	.D(\soc_top_u_top_u_core_fp_operands[0] [4]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_5 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_g789  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [0]),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [13]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [11]),
-	.D(\soc_top_u_top_u_core_fp_operands[0] [14]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_4 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_g790  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [1]),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [7]),
-	.C(\soc_top_u_top_u_core_fp_operands[0] [10]),
-	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [8]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_3 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_g791  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [20]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_class_inputs_gen_num_values[0].value[mantissa] [22]),
-	.C(\soc_top_u_top_u_core_fp_operands[0] [17]),
-	.D(\soc_top_u_top_u_core_fp_operands[0] [18]),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_2 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_g792  (
-	.A(\soc_top_u_top_u_core_fp_operands[0] [2]),
-	.B(\soc_top_u_top_u_core_fp_operands[0] [9]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_fmt_init_inputs[0].active_format.i_fpnew_classifier_n_1 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1531  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_33 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_1 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_46 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_13 ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_52 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1532  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_46 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_6 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_48 ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_47 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o311ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1533  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [3]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_4 ),
-	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_45 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_37 ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_42 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_52 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1534  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [0]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [1]),
-	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_47 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mant_is_zero ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1535  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_38 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_46 ),
-	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_45 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1536  (
-	.A1(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_29 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_39 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_12 ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_44 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1537  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_34 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [4]),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_43 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_48 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1538  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [2]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [3]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_45 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_47 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1539  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_45 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_44 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1540  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_14 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_40 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_46 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1541  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_41 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_14 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_45 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1542  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_18 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_0 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_39 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_43 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1543  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_30 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_24 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_39 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_42 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1544  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_40 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_41 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1545  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [4]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_26 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_40 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1546  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_26 ),
-	.B(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_39 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1547  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_16 ),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [4]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_38 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1548  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_28 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_32 ),
-	.B1(FE_DBTN7_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_4),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_37 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1550  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [16]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_32 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1551  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_1 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_25 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_10 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_8 ),
-	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_31 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_34 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1552  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_2 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [23]),
-	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_16 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_17 ),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_27 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_33 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1553  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [17]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_31 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_32 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1554  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [15]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_21 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_15 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_30 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1555  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [18]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [19]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_31 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1556  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_10 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_17 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_1 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_29 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1557  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [19]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_1 ),
-	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [18]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_28 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1558  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_3 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [27]),
-	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_10 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [31]),
-	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_23 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_27 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1559  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [8]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_24 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_26 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1560  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_16 ),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_11 ),
-	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_7 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_22 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_25 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1562  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [30]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_20 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_23 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1563  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [9]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_0 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_24 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1565  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [14]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_19 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_21 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1566  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [22]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [23]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_16 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_22 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1567  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [29]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_11 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_20 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1568  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [13]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_12 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_19 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1569  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_12 ),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_18 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1570  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_17 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_16 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1571  (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [11]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_12 ),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [10]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_15 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1573  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [24]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [25]),
-	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_10 ),
-	.D_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_7 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_17 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1574  (
-	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [7]),
-	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_6 ),
-	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_9 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_13 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1575  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [4]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_9 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_14 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1577  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_11 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_10 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1578  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [12]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [13]),
-	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_5 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_12 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1579  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [28]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [29]),
-	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_8 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_11 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1580  (
-	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [5]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_6 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_9 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1581  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [30]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [31]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_8 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1582  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [26]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [27]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_7 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1583  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [6]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [7]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_6 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1584  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [2]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [1]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_4 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1585  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [26]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [25]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_3 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1586  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [22]),
-	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [21]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_2 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1587  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [14]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [15]),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_5 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g2  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [20]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [21]),
-	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_22 ),
-	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_1 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_g1588  (
-	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [10]),
-	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [11]),
-	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_12 ),
-	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_0 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_id_stage_i_controller_i_g6470 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_120),
-	.Y(soc_top_u_top_u_core_pc_mux_id[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6505 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_215),
-	.B(soc_top_u_top_u_core_lsu_load_err),
-	.C(soc_top_u_top_u_core_lsu_store_err),
-	.X(soc_top_u_top_u_core_id_stage_i_wb_exception), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6506 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_187),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_113),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_run), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6507 (
-	.A_N(soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs[3]),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs[2]),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6508 (
-	.A_N(soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs[1]),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs[0]),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6509 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_load_err_q),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_store_err_q),
-	.X(soc_top_u_top_u_core_id_stage_i_controller_i_n_215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_u_top_u_core_id_stage_i_controller_i_g6581 (
-	.A(soc_top_u_top_u_core_fp_flush),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_109),
-	.X(soc_top_u_top_u_core_instr_valid_clear), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6582 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_special_req_all),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_run),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_stall),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6583 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_334),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_333),
-	.C(soc_top_u_top_u_core_id_stage_i_controller_i_n_3969_BAR),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_special_req_all), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6584 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_130),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_338),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_127),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6587 (
-	.A(soc_top_u_top_u_core_fpu_busy_idu),
-	.B(soc_top_u_top_u_core_id_stage_i_stall_id),
-	.C(soc_top_u_top_u_core_id_stage_i_stall_wb),
-	.X(soc_top_u_top_u_core_id_stage_i_controller_i_stall), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6588 (
-	.A(soc_top_u_top_u_core_id_stage_i_csr_pipe_flush),
-	.B(soc_top_u_top_u_core_instr_valid_id),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8336 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_89),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_88),
-	.C(soc_top_u_top_u_core_id_stage_i_controller_i_n_124),
-	.D(soc_top_u_top_u_core_id_stage_i_controller_i_n_118),
-	.Y(soc_top_u_top_u_core_pc_set), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8337 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_339),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_90),
-	.C(soc_top_u_top_u_core_id_stage_i_controller_i_n_71),
-	.D(soc_top_u_top_u_core_id_stage_i_controller_i_n_80),
-	.Y(soc_top_u_top_u_core_exc_cause[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8338 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_91),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_339),
-	.C(soc_top_u_top_u_core_debug_cause[0]),
-	.Y(soc_top_u_top_u_core_fp_flush), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8339 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_107),
-	.Y(soc_top_u_top_u_core_csr_mtval[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8340 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_106),
-	.Y(soc_top_u_top_u_core_csr_mtval[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8341 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_105),
-	.Y(soc_top_u_top_u_core_csr_mtval[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8342 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_104),
-	.Y(soc_top_u_top_u_core_csr_mtval[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8343 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_103),
-	.Y(soc_top_u_top_u_core_csr_mtval[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8344 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_102),
-	.Y(soc_top_u_top_u_core_csr_mtval[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8345 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_101),
-	.Y(soc_top_u_top_u_core_csr_mtval[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8346 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_100),
-	.Y(soc_top_u_top_u_core_csr_mtval[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8347 (
-	.A1(soc_top_u_top_u_core_instr_rdata_c_id[5]),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_87),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[5]),
-	.C1(soc_top_u_top_u_core_csr_save_wb),
-	.C2(soc_top_u_top_u_core_lsu_addr_last[5]),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8348 (
-	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[8]),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.B1(soc_top_u_top_u_core_csr_save_wb),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[8]),
-	.C1(soc_top_u_top_u_core_id_stage_i_controller_i_n_87),
-	.C2(soc_top_u_top_u_core_instr_rdata_c_id[8]),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8349 (
-	.A1(soc_top_u_top_u_core_instr_rdata_c_id[7]),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_87),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[7]),
-	.C1(soc_top_u_top_u_core_csr_save_wb),
-	.C2(soc_top_u_top_u_core_lsu_addr_last[7]),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8350 (
-	.A1(soc_top_u_top_u_core_instr_rdata_c_id[6]),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_87),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[6]),
-	.C1(soc_top_u_top_u_core_csr_save_wb),
-	.C2(soc_top_u_top_u_core_lsu_addr_last[6]),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8351 (
-	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[9]),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.B1(soc_top_u_top_u_core_csr_save_wb),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[9]),
-	.C1(soc_top_u_top_u_core_id_stage_i_controller_i_n_87),
-	.C2(soc_top_u_top_u_core_instr_rdata_c_id[9]),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8352 (
-	.A1(soc_top_u_top_u_core_instr_rdata_c_id[4]),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_87),
-	.B1(soc_top_u_top_u_core_csr_save_wb),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[4]),
-	.C1(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.C2(soc_top_u_top_u_core_instr_rdata_alu_id[4]),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8353 (
-	.A1(soc_top_u_top_u_core_instr_rdata_c_id[3]),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_87),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[3]),
-	.C1(soc_top_u_top_u_core_csr_save_wb),
-	.C2(soc_top_u_top_u_core_lsu_addr_last[3]),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8354 (
-	.A1(soc_top_u_top_u_core_instr_rdata_c_id[2]),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_87),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[2]),
-	.C1(soc_top_u_top_u_core_csr_save_wb),
-	.C2(soc_top_u_top_u_core_lsu_addr_last[2]),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8355 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_99),
-	.Y(soc_top_u_top_u_core_csr_mtval[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8356 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_98),
-	.Y(soc_top_u_top_u_core_csr_mtval[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8357 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_97),
-	.Y(soc_top_u_top_u_core_csr_mtval[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8358 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_96),
-	.Y(soc_top_u_top_u_core_csr_mtval[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8359 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_95),
-	.Y(soc_top_u_top_u_core_csr_mtval[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8360 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_94),
-	.Y(soc_top_u_top_u_core_csr_mtval[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8361 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_93),
-	.Y(soc_top_u_top_u_core_csr_mtval[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8362 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_92),
-	.Y(soc_top_u_top_u_core_csr_mtval[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8363 (
-	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[13]),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.B1(soc_top_u_top_u_core_csr_save_wb),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[13]),
-	.C1(soc_top_u_top_u_core_id_stage_i_controller_i_n_87),
-	.C2(soc_top_u_top_u_core_instr_rdata_c_id[13]),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_99), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8364 (
-	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[0]),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_87),
-	.B2(soc_top_u_top_u_core_instr_rdata_c_id[0]),
-	.C1(soc_top_u_top_u_core_csr_save_wb),
-	.C2(soc_top_u_top_u_core_lsu_addr_last[0]),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_98), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8365 (
-	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[15]),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.B1(soc_top_u_top_u_core_csr_save_wb),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[15]),
-	.C1(soc_top_u_top_u_core_id_stage_i_controller_i_n_87),
-	.C2(soc_top_u_top_u_core_instr_rdata_c_id[15]),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_97), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8366 (
-	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[14]),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.B1(soc_top_u_top_u_core_csr_save_wb),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[14]),
-	.C1(soc_top_u_top_u_core_id_stage_i_controller_i_n_87),
-	.C2(soc_top_u_top_u_core_instr_rdata_c_id[14]),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_96), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8367 (
-	.A1(soc_top_u_top_u_core_instr_rdata_c_id[1]),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_87),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[1]),
-	.C1(soc_top_u_top_u_core_csr_save_wb),
-	.C2(soc_top_u_top_u_core_lsu_addr_last[1]),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_95), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8368 (
-	.A1(soc_top_u_top_u_core_instr_rdata_c_id[12]),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_87),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[12]),
-	.C1(soc_top_u_top_u_core_csr_save_wb),
-	.C2(soc_top_u_top_u_core_lsu_addr_last[12]),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_94), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8369 (
-	.A1(soc_top_u_top_u_core_instr_rdata_c_id[11]),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_87),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[11]),
-	.C1(soc_top_u_top_u_core_csr_save_wb),
-	.C2(soc_top_u_top_u_core_lsu_addr_last[11]),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_93), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8370 (
-	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[10]),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.B1(soc_top_u_top_u_core_csr_save_wb),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[10]),
-	.C1(soc_top_u_top_u_core_id_stage_i_controller_i_n_87),
-	.C2(soc_top_u_top_u_core_instr_rdata_c_id[10]),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_92), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8371 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
-	.B1(soc_top_u_top_u_core_csr_save_wb),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[25]),
-	.X(soc_top_u_top_u_core_csr_mtval[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8372 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_84),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_88),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_71),
-	.Y(soc_top_u_top_u_core_exc_cause[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8373 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_215),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_88),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_79),
-	.Y(soc_top_u_top_u_core_csr_save_id), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8374 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
-	.B1(soc_top_u_top_u_core_csr_save_wb),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[30]),
-	.X(soc_top_u_top_u_core_csr_mtval[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8375 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
-	.B1(soc_top_u_top_u_core_csr_save_wb),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[29]),
-	.X(soc_top_u_top_u_core_csr_mtval[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8376 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
-	.B1(soc_top_u_top_u_core_csr_save_wb),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[27]),
-	.X(soc_top_u_top_u_core_csr_mtval[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8377 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
-	.B1(soc_top_u_top_u_core_csr_save_wb),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[28]),
-	.X(soc_top_u_top_u_core_csr_mtval[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8378 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
-	.B1(soc_top_u_top_u_core_csr_save_wb),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[26]),
-	.X(soc_top_u_top_u_core_csr_mtval[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8379 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_336),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_81),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_65),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_91), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8380 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.A2(soc_top_u_top_u_core_rf_raddr_b[4]),
-	.B1(soc_top_u_top_u_core_csr_save_wb),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[24]),
-	.X(soc_top_u_top_u_core_csr_mtval[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8381 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.A2(soc_top_u_top_u_core_rf_raddr_b[3]),
-	.B1(soc_top_u_top_u_core_csr_save_wb),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[23]),
-	.X(soc_top_u_top_u_core_csr_mtval[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8382 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.A2(soc_top_u_top_u_core_rf_raddr_b[2]),
-	.B1(soc_top_u_top_u_core_csr_save_wb),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[22]),
-	.X(soc_top_u_top_u_core_csr_mtval[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8383 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.A2(soc_top_u_top_u_core_rf_raddr_b[1]),
-	.B1(soc_top_u_top_u_core_csr_save_wb),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[21]),
-	.X(soc_top_u_top_u_core_csr_mtval[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8384 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.A2(soc_top_u_top_u_core_rf_raddr_b[0]),
-	.B1(soc_top_u_top_u_core_csr_save_wb),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[20]),
-	.X(soc_top_u_top_u_core_csr_mtval[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8385 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[19]),
-	.B1(soc_top_u_top_u_core_csr_save_wb),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[19]),
-	.X(soc_top_u_top_u_core_csr_mtval[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8386 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
-	.B1(soc_top_u_top_u_core_csr_save_wb),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[18]),
-	.X(soc_top_u_top_u_core_csr_mtval[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8387 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[17]),
-	.B1(soc_top_u_top_u_core_csr_save_wb),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[17]),
-	.X(soc_top_u_top_u_core_csr_mtval[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8388 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[16]),
-	.B1(soc_top_u_top_u_core_csr_save_wb),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[16]),
-	.X(soc_top_u_top_u_core_csr_mtval[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8389 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_86),
-	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[31]),
-	.B1(soc_top_u_top_u_core_csr_save_wb),
-	.B2(soc_top_u_top_u_core_lsu_addr_last[31]),
-	.X(soc_top_u_top_u_core_csr_mtval[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8390 (
-	.A_N(soc_top_u_top_u_core_csr_save_if),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_88),
-	.C(soc_top_u_top_u_core_id_stage_i_controller_i_n_79),
-	.Y(soc_top_u_top_u_core_csr_save_cause), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8391 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_illegal_insn_q),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_76),
-	.A3(soc_top_u_top_u_core_id_stage_i_controller_i_n_48),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_73),
-	.Y(soc_top_u_top_u_core_exc_cause[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8392 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_1),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_store_err_q),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_82),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_90), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4b_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8393 (
-	.A_N(soc_top_u_top_u_core_csr_save_if),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_58),
-	.C(soc_top_u_top_u_core_id_stage_i_controller_i_n_72),
-	.D(soc_top_u_top_u_core_id_stage_i_controller_i_n_119),
-	.X(soc_top_u_top_u_core_id_stage_i_controller_i_n_89), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8395 (
-	.A(soc_top_u_top_u_core_debug_cause[0]),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_79),
-	.Y(soc_top_u_top_u_core_debug_csr_save), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8396 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_122),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_64),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_88), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8397 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_80),
-	.B_N(soc_top_u_top_u_core_instr_is_compressed_id),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_87), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8398 (
-	.A(soc_top_u_top_u_core_instr_is_compressed_id),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_80),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_86), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8399 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_215),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_63),
-	.C(soc_top_u_top_u_core_id_stage_i_controller_i_n_44),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_84), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8401 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_50),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_76),
-	.C(soc_top_u_top_u_core_id_stage_i_controller_i_n_48),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_82), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8402 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_44),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_64),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_127),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_81), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8403 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_186),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_64),
-	.C(soc_top_u_top_u_core_id_stage_i_controller_i_n_70),
-	.X(soc_top_u_top_u_core_pc_mux_id[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8405 (
-	.A(soc_top_u_top_u_core_trigger_match),
-	.B(soc_top_u_top_u_core_debug_cause[0]),
-	.Y(soc_top_u_top_u_core_debug_cause[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8406 (
-	.A(soc_top_u_top_u_core_debug_cause[0]),
-	.B_N(soc_top_u_top_u_core_trigger_match),
-	.Y(soc_top_u_top_u_core_debug_cause[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8407 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_run),
-	.B(soc_top_u_top_u_core_csr_restore_mret_id),
-	.X(soc_top_u_top_u_core_pc_mux_id[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8408 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_44),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_77),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8409 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_75),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_illegal_insn_q),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_80), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8412 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_62),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_run),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_197),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_78), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8413 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_45),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_125),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_74),
-	.Y(soc_top_u_top_u_core_exc_pc_mux_id[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8414 (
-	.A(soc_top_u_top_u_core_debug_cause[0]),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_67),
-	.Y(soc_top_u_top_u_core_csr_save_if), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8415 (
-	.A(soc_top_u_top_u_core_debug_mode),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_66),
-	.C(soc_top_u_top_u_core_id_stage_i_controller_i_n_118),
-	.X(soc_top_u_top_u_core_id_stage_i_controller_i_n_79), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8416 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_75),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_76), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8417 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_186),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_74), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8418 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_68),
-	.B(\soc_top_u_top_u_core_irqs[irq_external] ),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_73), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8419 (
-	.A_N(soc_top_u_top_u_core_id_stage_i_controller_i_n_123),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_131),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_72), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8420 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_66),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_45),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_77), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8421 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_123),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_52),
-	.Y(soc_top_u_top_u_core_csr_restore_mret_id), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8422 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_128),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_116),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8423 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_215),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_125),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_75), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8424 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_65),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_118),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8425 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_71),
-	.Y(soc_top_u_top_u_core_exc_cause[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8427 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_127),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_52),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_121),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_70), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8428 (
-	.A_N(\soc_top_u_top_u_core_irqs[irq_external] ),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_68),
-	.C(\soc_top_u_top_u_core_irqs[irq_timer] ),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_69), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8429 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_61),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_52),
-	.B1_N(soc_top_u_top_u_core_id_stage_i_controller_i_n_50),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_illegal_umode), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8430 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_117),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_124),
-	.C(soc_top_u_top_u_core_id_stage_i_controller_i_n_60),
-	.X(soc_top_u_top_u_core_instr_req_int), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8431 (
-	.A1(\soc_top_u_top_u_core_irqs[irq_external] ),
-	.A2(\soc_top_u_top_u_core_irqs[irq_timer] ),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_68),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_71), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8433 (
-	.A1(soc_top_u_top_u_core_debug_single_step),
-	.A2(soc_top_u_top_u_core_trigger_match),
-	.B1_N(soc_top_u_top_u_core_id_stage_i_controller_i_n_65),
-	.Y(soc_top_u_top_u_core_debug_cause[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8434 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_68),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_67), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 soc_top_u_top_u_core_id_stage_i_controller_i_g8436 (
-	.A(soc_top_u_top_u_core_debug_mode),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_57),
-	.X(soc_top_u_top_u_core_id_stage_i_controller_i_n_128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8437 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_121),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_116),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_68), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8438 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_1),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_54),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8439 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_46),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_127),
-	.Y(soc_top_u_top_u_core_csr_save_wb), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8440 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_66),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_ebreak_into_debug), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8441 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_64),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8442 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_illegal_insn_q),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_50),
-	.C(soc_top_u_top_u_core_id_stage_i_controller_i_n_48),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_63), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4b_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8443 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_131),
-	.B(soc_top_u_top_u_core_lsu_store_err),
-	.C(soc_top_u_top_u_core_lsu_load_err),
-	.D_N(soc_top_u_top_u_core_id_stage_i_controller_i_n_129),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_3969_BAR), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8444 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_49),
-	.A2(soc_top_u_top_u_core_debug_ebreakm),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_59),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_66), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8445 (
-	.A_N(soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs[2]),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_51),
-	.C(soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs[3]),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_65), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8446 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_54),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_127),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_64), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8447 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_62),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_id_stage_i_controller_i_g8448 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_1),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8449 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_55),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_48),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8450 (
-	.A_N(soc_top_u_top_u_core_id_stage_i_controller_i_n_129),
-	.B(soc_top_u_top_u_core_csr_mstatus_tw),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_61), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8451 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_187),
-	.B_N(soc_top_u_top_u_core_id_stage_i_controller_i_n_51),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_62), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8452 (
-	.A_N(soc_top_u_top_u_core_id_stage_i_controller_i_n_113),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_53),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8453 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_53),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_51),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8454 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_56),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_52),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8455 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_53),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs[1]),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8457 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs[1]),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs[2]),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs[3]),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_60), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8458 (
-	.A(soc_top_u_top_u_core_priv_mode_id[1]),
-	.B(soc_top_u_top_u_core_priv_mode_id[0]),
-	.C_N(soc_top_u_top_u_core_debug_ebreaku),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_59), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8459 (
-	.A1(\soc_top_u_top_u_core_id_stage_i_g_branch_set_flop.branch_set_q ),
-	.A2(soc_top_u_top_u_core_id_stage_i_jump_set),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_run),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_58), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8460 (
-	.A1(soc_top_u_top_u_core_instr_valid_id),
-	.A2(soc_top_u_top_u_core_debug_single_step),
-	.B1(soc_top_u_top_u_core_trigger_match),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_57), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8461 (
-	.A(soc_top_u_top_u_core_id_stage_i_dret_insn_dec),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_45),
-	.C(soc_top_u_top_u_core_instr_valid_id),
-	.X(soc_top_u_top_u_core_id_stage_i_controller_i_n_133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8462 (
-	.A(soc_top_u_top_u_core_irq_pending),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_45),
-	.C(soc_top_u_top_u_core_csr_mstatus_mie),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8463 (
-	.A_N(soc_top_u_top_u_core_id_stage_i_controller_i_n_187),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs[0]),
-	.C(soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs[1]),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8464 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_47),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs[3]),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8467 (
-	.A(soc_top_u_top_u_core_id_stage_i_dret_insn_dec),
-	.B(soc_top_u_top_u_core_instr_valid_id),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_56), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8468 (
-	.A(soc_top_u_top_u_core_id_stage_i_ebrk_insn),
-	.B(soc_top_u_top_u_core_instr_valid_id),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_55), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8469 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_exc_req_q),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_215),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_54), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8470 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs[3]),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs[2]),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_53), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8471 (
-	.A(soc_top_u_top_u_core_id_stage_i_mret_insn_dec),
-	.B(soc_top_u_top_u_core_instr_valid_id),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_52), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8472 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_50),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_49), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8474 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs[2]),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_113),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_47), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8475 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs[1]),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs[0]),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_51), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8476 (
-	.A(soc_top_u_top_u_core_id_stage_i_wfi_insn_dec),
-	.B(soc_top_u_top_u_core_instr_valid_id),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8477 (
-	.A(soc_top_u_top_u_core_priv_mode_id[1]),
-	.B(soc_top_u_top_u_core_priv_mode_id[0]),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_50), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8478 (
-	.A(soc_top_u_top_u_core_id_stage_i_ecall_insn_dec),
-	.B(soc_top_u_top_u_core_instr_valid_id),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_48), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8480 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_215),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_46), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_id_stage_i_controller_i_g2 (
-	.A_N(soc_top_u_top_u_core_csr_save_wb),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_69),
-	.Y(soc_top_u_top_u_core_exc_cause[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_2 soc_top_u_top_u_core_id_stage_i_controller_i_g8482 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_123),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_56),
-	.C_N(soc_top_u_top_u_core_id_stage_i_controller_i_n_52),
-	.X(soc_top_u_top_u_core_id_stage_i_controller_i_n_120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4b_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8483 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_55),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_illegal_insn_q),
-	.C(soc_top_u_top_u_core_id_stage_i_controller_i_n_215),
-	.D_N(soc_top_u_top_u_core_id_stage_i_controller_i_n_48),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_44), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8484 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_187),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs[0]),
-	.C_N(soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs[1]),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs_reg[2]  (
-	.CLK(CTS_96),
-	.D(soc_top_u_top_u_core_id_stage_i_controller_i_n_42),
-	.Q(soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs[2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6917 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_22),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_337),
-	.C(soc_top_u_top_u_core_id_stage_i_controller_i_n_36),
-	.D(soc_top_u_top_u_core_id_stage_i_controller_i_n_31),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_42), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6918 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_22),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_39),
-	.C(soc_top_u_top_u_core_id_stage_i_controller_i_n_29),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_41), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs_reg[1]  (
-	.CLK(CTS_96),
-	.D(soc_top_u_top_u_core_id_stage_i_controller_i_n_40),
-	.Q(soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6920 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_127),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_340),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_29),
-	.C1(soc_top_u_top_u_core_id_stage_i_controller_i_n_34),
-	.D1(soc_top_u_top_u_core_id_stage_i_controller_i_n_31),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_40), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6921 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_30),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_1),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_26),
-	.B2(soc_top_u_top_u_core_id_stage_i_controller_i_n_128),
-	.C1(soc_top_u_top_u_core_id_stage_i_controller_i_n_38),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_39), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs_reg[3]  (
-	.CLK(CTS_100),
-	.D(soc_top_u_top_u_core_id_stage_i_controller_i_n_35),
-	.Q(soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs[3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6923 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_5),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_32),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_124),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_38), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6925 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_30),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_17),
-	.B1_N(soc_top_u_top_u_core_id_stage_i_controller_i_n_119),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_36), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6926 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_125),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_122),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_127),
-	.B2(soc_top_u_top_u_core_id_stage_i_controller_i_n_27),
-	.C1(soc_top_u_top_u_core_id_stage_i_controller_i_n_28),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_35), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6927 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_0),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_20),
-	.B1_N(soc_top_u_top_u_core_id_stage_i_controller_i_n_116),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_34), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6929 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_24),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_run),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_0),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_32), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6930 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_128),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_11),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_run),
-	.C1(soc_top_u_top_u_core_id_stage_i_controller_i_n_23),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6931 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_15),
-	.B_N(soc_top_u_top_u_core_id_stage_i_controller_i_n_340),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6932 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_19),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_115),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_128),
-	.X(soc_top_u_top_u_core_id_stage_i_controller_i_n_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6933 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs[0]),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_117),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_25),
-	.X(soc_top_u_top_u_core_id_stage_i_controller_i_n_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6936 (
-	.A_N(soc_top_u_top_u_core_id_stage_i_controller_i_n_12),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_16),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6938 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_23),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6939 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_123),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_131),
-	.C(soc_top_u_top_u_core_id_stage_i_controller_i_n_15),
-	.D(soc_top_u_top_u_core_id_stage_i_controller_i_n_333),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6940 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_special_req_all),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_9),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_18),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6941 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_186),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_14),
-	.C_N(soc_top_u_top_u_core_id_stage_i_controller_i_n_121),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6943 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_2),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_197),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_special_req_all),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6944 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_127),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_3),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_123),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6945 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_10),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_run),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6946 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_4),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_116),
-	.B1_N(soc_top_u_top_u_core_id_stage_i_controller_i_n_0),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6947 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_8),
-	.B(soc_top_u_top_u_core_debug_cause[0]),
-	.C(soc_top_u_top_u_core_id_stage_i_controller_i_n_118),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6948 (
-	.A(soc_top_u_top_u_core_debug_single_step),
-	.B(soc_top_u_top_u_core_debug_mode),
-	.C(soc_top_u_top_u_core_irq_pending),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6949 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_44),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_ebreak_into_debug),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_128),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 soc_top_u_top_u_core_id_stage_i_controller_i_load_err_q_reg (
-	.CLK(CTS_95),
-	.D(soc_top_u_top_u_core_lsu_load_err),
-	.Q(soc_top_u_top_u_core_id_stage_i_controller_i_load_err_q),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 soc_top_u_top_u_core_id_stage_i_controller_i_illegal_insn_q_reg (
-	.CLK(CTS_95),
-	.D(soc_top_u_top_u_core_id_stage_i_controller_i_n_338),
-	.Q(soc_top_u_top_u_core_id_stage_i_controller_i_illegal_insn_q),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 soc_top_u_top_u_core_id_stage_i_controller_i_exc_req_q_reg (
-	.CLK(CTS_95),
-	.D(soc_top_u_top_u_core_id_stage_i_controller_i_n_6),
-	.Q(soc_top_u_top_u_core_id_stage_i_controller_i_exc_req_q),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 soc_top_u_top_u_core_id_stage_i_controller_i_store_err_q_reg (
-	.CLK(CTS_95),
-	.D(soc_top_u_top_u_core_lsu_store_err),
-	.Q(soc_top_u_top_u_core_id_stage_i_controller_i_store_err_q),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6954 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_10),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6955 (
-	.A(soc_top_u_top_u_core_ready_wb),
-	.B(soc_top_u_top_u_core_id_stage_i_wb_exception),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6956 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_120),
-	.B(soc_top_u_top_u_core_debug_mode),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6957 (
-	.A_N(soc_top_u_top_u_core_id_stage_i_controller_i_n_117),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs[0]),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6958 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_stall),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_special_req_all),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6961 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_334),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6966 (
-	.A(soc_top_u_top_u_core_id_in_ready),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6967 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_122),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g6968 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_stall),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8485 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_115),
-	.B_N(soc_top_u_top_u_core_id_stage_i_controller_i_n_128),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8486 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_15),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8487 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_19),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8488 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_74),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_117),
-	.Y(soc_top_u_top_u_core_id_stage_i_controller_i_n_336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8489 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_16),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_n_12),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_32),
-	.X(soc_top_u_top_u_core_id_stage_i_controller_i_n_337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 soc_top_u_top_u_core_id_stage_i_controller_i_debug_mode_q_reg (
-	.CLK(CTS_95),
-	.D(soc_top_u_top_u_core_id_stage_i_controller_i_n_13),
-	.Q(soc_top_u_top_u_core_debug_mode),
-	.Q_N(soc_top_u_top_u_core_id_stage_i_controller_i_n_45),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs_reg[0]  (
-	.CLK(CTS_96),
-	.D(soc_top_u_top_u_core_id_stage_i_controller_i_n_41),
-	.Q(soc_top_u_top_u_core_id_stage_i_controller_i_ctrl_fsm_cs[0]),
-	.Q_N(soc_top_u_top_u_core_id_stage_i_controller_i_n_5),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31a_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8494 (
-	.A1(soc_top_u_top_u_core_id_stage_i_controller_i_n_133),
-	.A2(soc_top_u_top_u_core_id_stage_i_controller_i_illegal_umode),
-	.A3(soc_top_u_top_u_core_id_stage_i_n_993),
-	.B1(soc_top_u_top_u_core_id_stage_i_controller_i_n_127),
-	.X(soc_top_u_top_u_core_id_stage_i_controller_i_n_338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8495 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_77),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_125),
-	.C_N(soc_top_u_top_u_core_id_stage_i_controller_i_n_44),
-	.X(soc_top_u_top_u_core_id_stage_i_controller_i_n_339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4b_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8496 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_129),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_131),
-	.C(soc_top_u_top_u_core_id_stage_i_controller_i_n_15),
-	.D_N(soc_top_u_top_u_core_id_stage_i_controller_i_n_54),
-	.X(soc_top_u_top_u_core_id_stage_i_controller_i_n_340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4_1 soc_top_u_top_u_core_id_stage_i_controller_i_g8497 (
-	.A(soc_top_u_top_u_core_id_stage_i_controller_i_n_78),
-	.B(soc_top_u_top_u_core_id_stage_i_controller_i_n_117),
-	.C(soc_top_u_top_u_core_id_stage_i_controller_i_n_109),
-	.D(soc_top_u_top_u_core_id_stage_i_controller_i_n_127),
-	.X(soc_top_u_top_u_core_id_in_ready), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog_r_Bit_Index_reg[0]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_uart_rx_prog_n_130),
-	.Q(soc_top_u_uart_rx_prog_r_Bit_Index[0]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog_r_Bit_Index_reg[1]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_uart_rx_prog_n_143),
-	.Q(soc_top_u_uart_rx_prog_r_Bit_Index[1]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_rx_prog_r_Clock_Count_reg[0]  (
-	.CLK(CTS_1),
-	.D(soc_top_u_uart_rx_prog_n_166),
-	.Q(soc_top_u_uart_rx_prog_r_Clock_Count[0]),
-	.RESET_B(wb_rst_i),
-	.SCD(soc_top_u_uart_rx_prog_n_171),
-	.SCE(soc_top_u_uart_rx_prog_r_Clock_Count[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog_r_Clock_Count_reg[1]  (
-	.CLK(CTS_1),
-	.D(soc_top_u_uart_rx_prog_n_186),
-	.Q(soc_top_u_uart_rx_prog_r_Clock_Count[1]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog_r_Clock_Count_reg[2]  (
-	.CLK(CTS_1),
-	.D(soc_top_u_uart_rx_prog_n_189),
-	.Q(soc_top_u_uart_rx_prog_r_Clock_Count[2]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog_r_Clock_Count_reg[3]  (
-	.CLK(CTS_1),
-	.D(soc_top_u_uart_rx_prog_n_185),
-	.Q(soc_top_u_uart_rx_prog_r_Clock_Count[3]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog_r_Clock_Count_reg[4]  (
-	.CLK(CTS_1),
-	.D(soc_top_u_uart_rx_prog_n_184),
-	.Q(soc_top_u_uart_rx_prog_r_Clock_Count[4]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog_r_Clock_Count_reg[5]  (
-	.CLK(CTS_1),
-	.D(soc_top_u_uart_rx_prog_n_183),
-	.Q(soc_top_u_uart_rx_prog_r_Clock_Count[5]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog_r_Clock_Count_reg[6]  (
-	.CLK(CTS_1),
-	.D(soc_top_u_uart_rx_prog_n_182),
-	.Q(soc_top_u_uart_rx_prog_r_Clock_Count[6]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog_r_Clock_Count_reg[7]  (
-	.CLK(CTS_1),
-	.D(soc_top_u_uart_rx_prog_n_181),
-	.Q(soc_top_u_uart_rx_prog_r_Clock_Count[7]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog_r_Clock_Count_reg[8]  (
-	.CLK(CTS_1),
-	.D(soc_top_u_uart_rx_prog_n_180),
-	.Q(soc_top_u_uart_rx_prog_r_Clock_Count[8]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog_r_Clock_Count_reg[9]  (
-	.CLK(CTS_1),
-	.D(soc_top_u_uart_rx_prog_n_179),
-	.Q(soc_top_u_uart_rx_prog_r_Clock_Count[9]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_rx_prog_r_Clock_Count_reg[10]  (
-	.CLK(CTS_1),
-	.D(soc_top_u_uart_rx_prog_n_170),
-	.Q(soc_top_u_uart_rx_prog_r_Clock_Count[10]),
-	.RESET_B(wb_rst_i),
-	.SCD(soc_top_u_uart_rx_prog_n_176),
-	.SCE(soc_top_u_uart_rx_prog_r_Clock_Count[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_rx_prog_r_Clock_Count_reg[13]  (
-	.CLK(CTS_1),
-	.D(soc_top_u_uart_rx_prog_n_173),
-	.Q(soc_top_u_uart_rx_prog_r_Clock_Count[13]),
-	.RESET_B(wb_rst_i),
-	.SCD(soc_top_u_uart_rx_prog_n_174),
-	.SCE(soc_top_u_uart_rx_prog_r_Clock_Count[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog_r_Rx_Byte_reg[0]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_uart_rx_prog_n_163),
-	.Q(soc_top_rx_byte_i[0]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog_r_Rx_Byte_reg[1]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_uart_rx_prog_n_159),
-	.Q(soc_top_rx_byte_i[1]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog_r_Rx_Byte_reg[2]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_uart_rx_prog_n_160),
-	.Q(soc_top_rx_byte_i[2]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog_r_Rx_Byte_reg[3]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_uart_rx_prog_n_161),
-	.Q(soc_top_rx_byte_i[3]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog_r_Rx_Byte_reg[4]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_uart_rx_prog_n_155),
-	.Q(soc_top_rx_byte_i[4]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog_r_Rx_Byte_reg[5]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_uart_rx_prog_n_156),
-	.Q(soc_top_rx_byte_i[5]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog_r_Rx_Byte_reg[6]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_uart_rx_prog_n_157),
-	.Q(soc_top_rx_byte_i[6]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog_r_Rx_Byte_reg[7]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_uart_rx_prog_n_158),
-	.Q(soc_top_rx_byte_i[7]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 soc_top_u_uart_rx_prog_r_Rx_DV_reg (
-	.CLK(CTS_79),
-	.D(soc_top_u_uart_rx_prog_n_120),
-	.Q(soc_top_rx_dv_i),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 soc_top_u_uart_rx_prog_r_Rx_Data_R_reg (
-	.CLK(CTS_79),
-	.D(soc_top_u_uart_rx_prog_n_13),
-	.Q(soc_top_u_uart_rx_prog_r_Rx_Data_R), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 soc_top_u_uart_rx_prog_r_Rx_Data_reg (
-	.CLK(CTS_79),
-	.D(soc_top_u_uart_rx_prog_n_26),
-	.Q(soc_top_u_uart_rx_prog_r_Rx_Data), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog_r_SM_Main_reg[0]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_uart_rx_prog_n_162),
-	.Q(soc_top_u_uart_rx_prog_r_SM_Main[0]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog_r_SM_Main_reg[1]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_uart_rx_prog_n_167),
-	.Q(soc_top_u_uart_rx_prog_r_SM_Main[1]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog_r_SM_Main_reg[2]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_uart_rx_prog_n_119),
-	.Q(soc_top_u_uart_rx_prog_r_SM_Main[2]),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 soc_top_u_uart_rx_prog_g8277 (
-	.A1(soc_top_u_uart_rx_prog_n_178),
-	.A2(soc_top_u_uart_rx_prog_r_Clock_Count[14]),
-	.A3(soc_top_u_uart_rx_prog_r_Clock_Count[13]),
-	.B1(soc_top_u_uart_rx_prog_n_191),
-	.B2(soc_top_u_uart_rx_prog_r_Clock_Count[15]),
-	.X(soc_top_u_uart_rx_prog_n_195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 soc_top_u_uart_rx_prog_g8281 (
-	.A1(soc_top_u_uart_rx_prog_n_170),
-	.A2(soc_top_u_uart_rx_prog_n_3),
-	.A3(soc_top_u_uart_rx_prog_r_Clock_Count[10]),
-	.B1(soc_top_u_uart_rx_prog_n_188),
-	.B2(soc_top_u_uart_rx_prog_r_Clock_Count[11]),
-	.X(soc_top_u_uart_rx_prog_n_194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_rx_prog_g8282 (
-	.A1(soc_top_u_uart_rx_prog_n_2),
-	.A2(soc_top_u_uart_rx_prog_n_187),
-	.B1(soc_top_u_uart_rx_prog_n_177),
-	.Y(soc_top_u_uart_rx_prog_n_193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 soc_top_u_uart_rx_prog_g8283 (
-	.A1(soc_top_u_uart_rx_prog_n_173),
-	.A2(soc_top_u_uart_rx_prog_n_1),
-	.A3(soc_top_u_uart_rx_prog_r_Clock_Count[13]),
-	.B1(soc_top_u_uart_rx_prog_n_190),
-	.B2(soc_top_u_uart_rx_prog_r_Clock_Count[14]),
-	.X(soc_top_u_uart_rx_prog_n_192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 soc_top_u_uart_rx_prog_g8289 (
-	.A1(soc_top_u_uart_rx_prog_n_166),
-	.A2(soc_top_u_uart_rx_prog_n_1),
-	.B1(soc_top_u_uart_rx_prog_n_190),
-	.X(soc_top_u_uart_rx_prog_n_191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_rx_prog_g8295 (
-	.A1(soc_top_u_uart_rx_prog_n_166),
-	.A2(soc_top_u_uart_rx_prog_n_42),
-	.B1(soc_top_u_uart_rx_prog_n_171),
-	.B2(soc_top_u_uart_rx_prog_r_Clock_Count[2]),
-	.X(soc_top_u_uart_rx_prog_n_189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 soc_top_u_uart_rx_prog_g8296 (
-	.A1(soc_top_u_uart_rx_prog_r_Clock_Count[10]),
-	.A2(soc_top_u_uart_rx_prog_n_165),
-	.B1_N(soc_top_u_uart_rx_prog_n_176),
-	.Y(soc_top_u_uart_rx_prog_n_188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_rx_prog_g8297 (
-	.A1(soc_top_u_uart_rx_prog_n_166),
-	.A2(soc_top_u_uart_rx_prog_n_7),
-	.B1(soc_top_u_uart_rx_prog_n_176),
-	.Y(soc_top_u_uart_rx_prog_n_187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_rx_prog_g8298 (
-	.A1(soc_top_u_uart_rx_prog_n_166),
-	.A2(soc_top_u_uart_rx_prog_n_28),
-	.B1(soc_top_u_uart_rx_prog_n_171),
-	.B2(soc_top_u_uart_rx_prog_r_Clock_Count[1]),
-	.X(soc_top_u_uart_rx_prog_n_186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_rx_prog_g8299 (
-	.A1(soc_top_u_uart_rx_prog_n_166),
-	.A2(soc_top_u_uart_rx_prog_n_61),
-	.B1(soc_top_u_uart_rx_prog_n_171),
-	.B2(soc_top_u_uart_rx_prog_r_Clock_Count[3]),
-	.X(soc_top_u_uart_rx_prog_n_185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_rx_prog_g8300 (
-	.A(soc_top_u_uart_rx_prog_n_172),
-	.B(soc_top_u_uart_rx_prog_n_175),
-	.Y(soc_top_u_uart_rx_prog_n_190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_rx_prog_g8301 (
-	.A1(soc_top_u_uart_rx_prog_n_166),
-	.A2(soc_top_u_uart_rx_prog_n_84),
-	.B1(soc_top_u_uart_rx_prog_n_171),
-	.B2(soc_top_u_uart_rx_prog_r_Clock_Count[4]),
-	.X(soc_top_u_uart_rx_prog_n_184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_rx_prog_g8302 (
-	.A1(soc_top_u_uart_rx_prog_n_166),
-	.A2(soc_top_u_uart_rx_prog_n_99),
-	.B1(soc_top_u_uart_rx_prog_n_171),
-	.B2(soc_top_u_uart_rx_prog_r_Clock_Count[5]),
-	.X(soc_top_u_uart_rx_prog_n_183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_rx_prog_g8303 (
-	.A1(soc_top_u_uart_rx_prog_n_166),
-	.A2(soc_top_u_uart_rx_prog_n_109),
-	.B1(soc_top_u_uart_rx_prog_n_171),
-	.B2(soc_top_u_uart_rx_prog_r_Clock_Count[6]),
-	.X(soc_top_u_uart_rx_prog_n_182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_rx_prog_g8304 (
-	.A1(soc_top_u_uart_rx_prog_n_166),
-	.A2(soc_top_u_uart_rx_prog_n_114),
-	.B1(soc_top_u_uart_rx_prog_n_171),
-	.B2(soc_top_u_uart_rx_prog_r_Clock_Count[7]),
-	.X(soc_top_u_uart_rx_prog_n_181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_rx_prog_g8305 (
-	.A1(soc_top_u_uart_rx_prog_n_166),
-	.A2(soc_top_u_uart_rx_prog_n_127),
-	.B1(soc_top_u_uart_rx_prog_n_171),
-	.B2(soc_top_u_uart_rx_prog_r_Clock_Count[8]),
-	.X(soc_top_u_uart_rx_prog_n_180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_rx_prog_g8306 (
-	.A1(soc_top_u_uart_rx_prog_n_166),
-	.A2(soc_top_u_uart_rx_prog_n_153),
-	.B1(soc_top_u_uart_rx_prog_n_171),
-	.B2(soc_top_u_uart_rx_prog_r_Clock_Count[9]),
-	.X(soc_top_u_uart_rx_prog_n_179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_rx_prog_g8307 (
-	.A(soc_top_u_uart_rx_prog_r_Clock_Count[15]),
-	.B_N(soc_top_u_uart_rx_prog_n_173),
-	.Y(soc_top_u_uart_rx_prog_n_178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 soc_top_u_uart_rx_prog_g8308 (
-	.A_N(soc_top_u_uart_rx_prog_n_7),
-	.B(soc_top_u_uart_rx_prog_n_170),
-	.C(soc_top_u_uart_rx_prog_n_2),
-	.Y(soc_top_u_uart_rx_prog_n_177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_rx_prog_g8309 (
-	.A1(soc_top_u_uart_rx_prog_n_6),
-	.A2(soc_top_u_uart_rx_prog_n_169),
-	.B1(soc_top_u_uart_rx_prog_n_166),
-	.Y(soc_top_u_uart_rx_prog_n_175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_rx_prog_g8310 (
-	.A1(soc_top_u_uart_rx_prog_n_168),
-	.A2(soc_top_u_uart_rx_prog_n_165),
-	.B1(soc_top_u_uart_rx_prog_n_172),
-	.Y(soc_top_u_uart_rx_prog_n_174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_uart_rx_prog_g8311 (
-	.A1(soc_top_u_uart_rx_prog_n_154),
-	.A2(soc_top_u_uart_rx_prog_n_165),
-	.B1(soc_top_u_uart_rx_prog_n_172),
-	.Y(soc_top_u_uart_rx_prog_n_176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_uart_rx_prog_g8313 (
-	.A(soc_top_u_uart_rx_prog_n_172),
-	.Y(soc_top_u_uart_rx_prog_n_171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_rx_prog_g8314 (
-	.A(soc_top_u_uart_rx_prog_n_169),
-	.B(soc_top_u_uart_rx_prog_n_165),
-	.Y(soc_top_u_uart_rx_prog_n_173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 soc_top_u_uart_rx_prog_g8315 (
-	.A(soc_top_u_uart_rx_prog_n_150),
-	.B(soc_top_u_uart_rx_prog_n_20),
-	.C(soc_top_u_uart_rx_prog_n_21),
-	.D(soc_top_u_uart_rx_prog_n_16),
-	.Y(soc_top_u_uart_rx_prog_n_172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_uart_rx_prog_g8322 (
-	.A(soc_top_u_uart_rx_prog_n_169),
-	.Y(soc_top_u_uart_rx_prog_n_168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_rx_prog_g8323 (
-	.A(soc_top_u_uart_rx_prog_n_164),
-	.B(soc_top_u_uart_rx_prog_n_20),
-	.Y(soc_top_u_uart_rx_prog_n_167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_rx_prog_g8326 (
-	.A(soc_top_u_uart_rx_prog_n_165),
-	.B_N(soc_top_u_uart_rx_prog_n_154),
-	.Y(soc_top_u_uart_rx_prog_n_170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 soc_top_u_uart_rx_prog_g8327 (
-	.A_N(soc_top_u_uart_rx_prog_n_7),
-	.B(soc_top_u_uart_rx_prog_n_154),
-	.C(soc_top_u_uart_rx_prog_r_Clock_Count[12]),
-	.Y(soc_top_u_uart_rx_prog_n_169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_uart_rx_prog_g8329 (
-	.A(soc_top_u_uart_rx_prog_n_166),
-	.Y(soc_top_u_uart_rx_prog_n_165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 soc_top_u_uart_rx_prog_g8330 (
-	.A1(soc_top_u_uart_rx_prog_n_133),
-	.A2(soc_top_u_uart_rx_prog_n_22),
-	.A3(soc_top_u_uart_rx_prog_n_32),
-	.B1(soc_top_u_uart_rx_prog_n_118),
-	.Y(soc_top_u_uart_rx_prog_n_164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_rx_prog_g8331 (
-	.A1(soc_top_u_uart_rx_prog_n_17),
-	.A2(soc_top_u_uart_rx_prog_n_144),
-	.B1(soc_top_u_uart_rx_prog_r_Bit_Index[2]),
-	.B2(soc_top_u_uart_rx_prog_n_140),
-	.Y(soc_top_u_uart_rx_prog_n_163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 soc_top_u_uart_rx_prog_g8332 (
-	.A1(soc_top_u_uart_rx_prog_r_Rx_Data),
-	.A2(soc_top_u_uart_rx_prog_n_16),
-	.B1(soc_top_u_uart_rx_prog_n_134),
-	.C1(soc_top_u_uart_rx_prog_n_151),
-	.Y(soc_top_u_uart_rx_prog_n_162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 soc_top_u_uart_rx_prog_g8333 (
-	.A1(soc_top_u_uart_rx_prog_n_137),
-	.A2(soc_top_u_uart_rx_prog_n_16),
-	.A3(soc_top_rx_byte_i[3]),
-	.B1(soc_top_u_uart_rx_prog_n_136),
-	.B2(soc_top_u_uart_rx_prog_r_Rx_Data),
-	.X(soc_top_u_uart_rx_prog_n_161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_rx_prog_g8335 (
-	.A1(soc_top_u_uart_rx_prog_n_20),
-	.A2(soc_top_u_uart_rx_prog_n_115),
-	.B1(soc_top_u_uart_rx_prog_n_151),
-	.Y(soc_top_u_uart_rx_prog_n_166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_rx_prog_g8336 (
-	.A1(soc_top_u_uart_rx_prog_n_17),
-	.A2(soc_top_u_uart_rx_prog_n_145),
-	.B1(soc_top_u_uart_rx_prog_r_Bit_Index[2]),
-	.B2(soc_top_u_uart_rx_prog_n_138),
-	.Y(soc_top_u_uart_rx_prog_n_160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_rx_prog_g8337 (
-	.A1(soc_top_u_uart_rx_prog_n_17),
-	.A2(soc_top_u_uart_rx_prog_n_148),
-	.B1(soc_top_u_uart_rx_prog_n_32),
-	.B2(soc_top_u_uart_rx_prog_n_135),
-	.Y(soc_top_u_uart_rx_prog_n_159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_rx_prog_g8338 (
-	.A1(soc_top_u_uart_rx_prog_n_17),
-	.A2(soc_top_u_uart_rx_prog_n_147),
-	.B1(soc_top_u_uart_rx_prog_n_32),
-	.B2(soc_top_u_uart_rx_prog_n_134),
-	.Y(soc_top_u_uart_rx_prog_n_158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_rx_prog_g8339 (
-	.A1(soc_top_u_uart_rx_prog_n_17),
-	.A2(soc_top_u_uart_rx_prog_n_141),
-	.B1(soc_top_u_uart_rx_prog_n_5),
-	.B2(soc_top_u_uart_rx_prog_n_138),
-	.Y(soc_top_u_uart_rx_prog_n_157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_rx_prog_g8340 (
-	.A1(soc_top_u_uart_rx_prog_n_17),
-	.A2(soc_top_u_uart_rx_prog_n_146),
-	.B1(soc_top_u_uart_rx_prog_n_32),
-	.B2(soc_top_u_uart_rx_prog_n_139),
-	.Y(soc_top_u_uart_rx_prog_n_156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_rx_prog_g8341 (
-	.A1(soc_top_u_uart_rx_prog_n_17),
-	.A2(soc_top_u_uart_rx_prog_n_142),
-	.B1(soc_top_u_uart_rx_prog_n_5),
-	.B2(soc_top_u_uart_rx_prog_n_140),
-	.Y(soc_top_u_uart_rx_prog_n_155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_uart_rx_prog_g8342 (
-	.A(soc_top_u_uart_rx_prog_r_Clock_Count[9]),
-	.B(soc_top_u_uart_rx_prog_n_126),
-	.COUT(soc_top_u_uart_rx_prog_n_154),
-	.SUM(soc_top_u_uart_rx_prog_n_153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_uart_rx_prog_g8343 (
-	.A1(soc_top_u_uart_rx_prog_n_5),
-	.A2(soc_top_u_uart_rx_prog_n_131),
-	.B1(soc_top_u_uart_rx_prog_n_137),
-	.Y(soc_top_u_uart_rx_prog_n_152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_rx_prog_g8345 (
-	.A(soc_top_u_uart_rx_prog_n_149),
-	.B(soc_top_u_uart_rx_prog_n_22),
-	.Y(soc_top_u_uart_rx_prog_n_150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_rx_prog_g8346 (
-	.A1(soc_top_u_uart_rx_prog_n_132),
-	.A2(soc_top_u_uart_rx_prog_n_22),
-	.B1(soc_top_u_uart_rx_prog_n_118),
-	.Y(soc_top_u_uart_rx_prog_n_151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_rx_prog_g8347 (
-	.A(soc_top_u_uart_rx_prog_n_133),
-	.B(soc_top_u_uart_rx_prog_r_Rx_Data),
-	.Y(soc_top_u_uart_rx_prog_n_149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_rx_prog_g8348 (
-	.A(soc_top_u_uart_rx_prog_n_135),
-	.B(soc_top_rx_byte_i[1]),
-	.Y(soc_top_u_uart_rx_prog_n_148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_rx_prog_g8349 (
-	.A(soc_top_u_uart_rx_prog_n_134),
-	.B(soc_top_rx_byte_i[7]),
-	.Y(soc_top_u_uart_rx_prog_n_147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_rx_prog_g8350 (
-	.A(soc_top_u_uart_rx_prog_n_139),
-	.B(soc_top_rx_byte_i[5]),
-	.Y(soc_top_u_uart_rx_prog_n_146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_rx_prog_g8352 (
-	.A1(soc_top_u_uart_rx_prog_r_Bit_Index[2]),
-	.A2(soc_top_u_uart_rx_prog_n_128),
-	.B1(soc_top_rx_byte_i[2]),
-	.Y(soc_top_u_uart_rx_prog_n_145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_rx_prog_g8353 (
-	.A1(soc_top_u_uart_rx_prog_r_Bit_Index[2]),
-	.A2(soc_top_u_uart_rx_prog_n_0),
-	.B1(soc_top_rx_byte_i[0]),
-	.Y(soc_top_u_uart_rx_prog_n_144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 soc_top_u_uart_rx_prog_g8354 (
-	.A1(soc_top_u_uart_rx_prog_n_124),
-	.A2(soc_top_u_uart_rx_prog_r_Bit_Index[1]),
-	.B1(soc_top_u_uart_rx_prog_n_129),
-	.X(soc_top_u_uart_rx_prog_n_143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_rx_prog_g8355 (
-	.A1(soc_top_u_uart_rx_prog_n_5),
-	.A2(soc_top_u_uart_rx_prog_n_0),
-	.B1(soc_top_rx_byte_i[4]),
-	.Y(soc_top_u_uart_rx_prog_n_142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_rx_prog_g8356 (
-	.A1(soc_top_u_uart_rx_prog_n_5),
-	.A2(soc_top_u_uart_rx_prog_n_128),
-	.B1(soc_top_rx_byte_i[6]),
-	.Y(soc_top_u_uart_rx_prog_n_141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_uart_rx_prog_g8357 (
-	.A(soc_top_u_uart_rx_prog_n_136),
-	.Y(soc_top_u_uart_rx_prog_n_137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_rx_prog_g8358 (
-	.A_N(soc_top_u_uart_rx_prog_n_0),
-	.B(soc_top_u_uart_rx_prog_r_Rx_Data),
-	.Y(soc_top_u_uart_rx_prog_n_140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_rx_prog_g8359 (
-	.A(soc_top_u_uart_rx_prog_n_129),
-	.B(soc_top_u_uart_rx_prog_r_Bit_Index[2]),
-	.Y(soc_top_u_uart_rx_prog_n_139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_rx_prog_g8360 (
-	.A_N(soc_top_u_uart_rx_prog_n_128),
-	.B(soc_top_u_uart_rx_prog_r_Rx_Data),
-	.Y(soc_top_u_uart_rx_prog_n_138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_rx_prog_g8361 (
-	.A(soc_top_u_uart_rx_prog_r_Bit_Index[2]),
-	.B(soc_top_u_uart_rx_prog_n_125),
-	.Y(soc_top_u_uart_rx_prog_n_136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_uart_rx_prog_g8362 (
-	.A(soc_top_u_uart_rx_prog_n_133),
-	.Y(soc_top_u_uart_rx_prog_n_132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_rx_prog_g8363 (
-	.A(soc_top_u_uart_rx_prog_n_23),
-	.B(soc_top_u_uart_rx_prog_n_124),
-	.Y(soc_top_u_uart_rx_prog_n_131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 soc_top_u_uart_rx_prog_g8364 (
-	.A1(soc_top_u_uart_rx_prog_n_122),
-	.A2(soc_top_u_uart_rx_prog_r_Bit_Index[0]),
-	.B1(soc_top_u_uart_rx_prog_n_121),
-	.X(soc_top_u_uart_rx_prog_n_130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_rx_prog_g8365 (
-	.A(soc_top_u_uart_rx_prog_n_129),
-	.B(soc_top_u_uart_rx_prog_n_5),
-	.Y(soc_top_u_uart_rx_prog_n_135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_rx_prog_g8366 (
-	.A_N(soc_top_u_uart_rx_prog_n_125),
-	.B(soc_top_u_uart_rx_prog_r_Bit_Index[2]),
-	.Y(soc_top_u_uart_rx_prog_n_134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 soc_top_u_uart_rx_prog_g8367 (
-	.A(soc_top_u_uart_rx_prog_n_58),
-	.B(soc_top_u_uart_rx_prog_n_66),
-	.C(soc_top_u_uart_rx_prog_n_103),
-	.D(soc_top_u_uart_rx_prog_n_116),
-	.Y(soc_top_u_uart_rx_prog_n_133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_uart_rx_prog_g8368 (
-	.A(soc_top_u_uart_rx_prog_r_Clock_Count[8]),
-	.B(soc_top_u_uart_rx_prog_n_113),
-	.COUT(soc_top_u_uart_rx_prog_n_126),
-	.SUM(soc_top_u_uart_rx_prog_n_127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_rx_prog_g8370 (
-	.A(soc_top_u_uart_rx_prog_r_Bit_Index[1]),
-	.B(soc_top_u_uart_rx_prog_n_123),
-	.Y(soc_top_u_uart_rx_prog_n_129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_rx_prog_g8371 (
-	.A(soc_top_u_uart_rx_prog_n_121),
-	.B(soc_top_u_uart_rx_prog_r_Bit_Index[1]),
-	.Y(soc_top_u_uart_rx_prog_n_128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_rx_prog_g8372 (
-	.A_N(soc_top_u_uart_rx_prog_n_123),
-	.B(soc_top_u_uart_rx_prog_r_Bit_Index[1]),
-	.Y(soc_top_u_uart_rx_prog_n_125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 soc_top_u_uart_rx_prog_g8373 (
-	.A1(soc_top_u_uart_rx_prog_r_Bit_Index[0]),
-	.A2(soc_top_u_uart_rx_prog_n_20),
-	.B1_N(soc_top_u_uart_rx_prog_n_122),
-	.Y(soc_top_u_uart_rx_prog_n_124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_rx_prog_g8376 (
-	.A(soc_top_u_uart_rx_prog_n_117),
-	.B(soc_top_u_uart_rx_prog_r_Bit_Index[0]),
-	.Y(soc_top_u_uart_rx_prog_n_123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_rx_prog_g8377 (
-	.A_N(soc_top_u_uart_rx_prog_n_119),
-	.B(soc_top_u_uart_rx_prog_n_15),
-	.Y(soc_top_u_uart_rx_prog_n_120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_rx_prog_g8378 (
-	.A(soc_top_u_uart_rx_prog_n_17),
-	.B(soc_top_u_uart_rx_prog_n_117),
-	.Y(soc_top_u_uart_rx_prog_n_122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_rx_prog_g8379 (
-	.A(soc_top_u_uart_rx_prog_r_Bit_Index[0]),
-	.B_N(soc_top_u_uart_rx_prog_n_117),
-	.Y(soc_top_u_uart_rx_prog_n_121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_rx_prog_g8380 (
-	.A(soc_top_u_uart_rx_prog_n_21),
-	.B_N(soc_top_u_uart_rx_prog_n_115),
-	.Y(soc_top_u_uart_rx_prog_n_119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_rx_prog_g8381 (
-	.A(soc_top_u_uart_rx_prog_n_21),
-	.B(soc_top_u_uart_rx_prog_n_115),
-	.Y(soc_top_u_uart_rx_prog_n_118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_rx_prog_g8382 (
-	.A1(soc_top_u_uart_rx_prog_r_Clock_Count[0]),
-	.A2(soc_top_u_uart_rx_prog_n_19),
-	.B1(soc_top_u_uart_rx_prog_n_112),
-	.Y(soc_top_u_uart_rx_prog_n_116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_rx_prog_g8383 (
-	.A(soc_top_u_uart_rx_prog_n_20),
-	.B_N(soc_top_u_uart_rx_prog_n_115),
-	.Y(soc_top_u_uart_rx_prog_n_117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_uart_rx_prog_g8384 (
-	.A(soc_top_u_uart_rx_prog_r_Clock_Count[7]),
-	.B(soc_top_u_uart_rx_prog_n_108),
-	.COUT(soc_top_u_uart_rx_prog_n_113),
-	.SUM(soc_top_u_uart_rx_prog_n_114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_rx_prog_g8385 (
-	.A(soc_top_u_uart_rx_prog_n_66),
-	.B(soc_top_u_uart_rx_prog_n_110),
-	.Y(soc_top_u_uart_rx_prog_n_115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 soc_top_u_uart_rx_prog_g8386 (
-	.A1(soc_top_u_uart_rx_prog_n_50),
-	.A2(soc_top_u_uart_rx_prog_r_Clock_Count[10]),
-	.B1(soc_top_u_uart_rx_prog_n_69),
-	.B2(soc_top_u_uart_rx_prog_r_Clock_Count[9]),
-	.C1(soc_top_u_uart_rx_prog_n_111),
-	.Y(soc_top_u_uart_rx_prog_n_112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 soc_top_u_uart_rx_prog_g8387 (
-	.A1(soc_top_u_uart_rx_prog_r_Clock_Count[10]),
-	.A2(soc_top_u_uart_rx_prog_n_50),
-	.B1(soc_top_u_uart_rx_prog_n_93),
-	.C1(soc_top_u_uart_rx_prog_n_107),
-	.Y(soc_top_u_uart_rx_prog_n_111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 soc_top_u_uart_rx_prog_g8388 (
-	.A(soc_top_u_uart_rx_prog_n_104),
-	.B(soc_top_u_uart_rx_prog_n_105),
-	.C(soc_top_u_uart_rx_prog_n_106),
-	.Y(soc_top_u_uart_rx_prog_n_110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_uart_rx_prog_g8389 (
-	.A(soc_top_u_uart_rx_prog_r_Clock_Count[6]),
-	.B(soc_top_u_uart_rx_prog_n_98),
-	.COUT(soc_top_u_uart_rx_prog_n_108),
-	.SUM(soc_top_u_uart_rx_prog_n_109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 soc_top_u_uart_rx_prog_g8390 (
-	.A1(soc_top_u_uart_rx_prog_n_59),
-	.A2(soc_top_u_uart_rx_prog_r_Clock_Count[12]),
-	.B1(soc_top_u_uart_rx_prog_r_Clock_Count[15]),
-	.C1(soc_top_u_uart_rx_prog_n_75),
-	.D1(soc_top_u_uart_rx_prog_n_101),
-	.Y(soc_top_u_uart_rx_prog_n_107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_rx_prog_g8391 (
-	.A1(soc_top_u_uart_rx_prog_n_97),
-	.A2(soc_top_u_uart_rx_prog_n_102),
-	.B1(soc_top_u_uart_rx_prog_n_63),
-	.B2(soc_top_u_uart_rx_prog_n_94),
-	.Y(soc_top_u_uart_rx_prog_n_106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 soc_top_u_uart_rx_prog_g8392 (
-	.A(soc_top_u_uart_rx_prog_n_64),
-	.B(soc_top_u_uart_rx_prog_n_85),
-	.C(soc_top_u_uart_rx_prog_n_95),
-	.D(soc_top_u_uart_rx_prog_n_102),
-	.Y(soc_top_u_uart_rx_prog_n_105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_rx_prog_g8393 (
-	.A1(soc_top_u_uart_rx_prog_n_87),
-	.A2(soc_top_u_uart_rx_prog_n_100),
-	.B1(soc_top_u_uart_rx_prog_n_4),
-	.B2(soc_top_u_uart_rx_prog_n_88),
-	.Y(soc_top_u_uart_rx_prog_n_104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 soc_top_u_uart_rx_prog_g8394 (
-	.A1(soc_top_u_uart_rx_prog_r_Clock_Count[3]),
-	.A2(soc_top_u_uart_rx_prog_n_47),
-	.B1(soc_top_u_uart_rx_prog_n_43),
-	.C1(soc_top_u_uart_rx_prog_n_96),
-	.Y(soc_top_u_uart_rx_prog_n_103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 soc_top_u_uart_rx_prog_g8395 (
-	.A1(soc_top_u_uart_rx_prog_r_Clock_Count[12]),
-	.A2(soc_top_u_uart_rx_prog_n_76),
-	.B1_N(soc_top_u_uart_rx_prog_n_100),
-	.Y(soc_top_u_uart_rx_prog_n_102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 soc_top_u_uart_rx_prog_g8396 (
-	.A1(soc_top_u_uart_rx_prog_r_Clock_Count[13]),
-	.A2(soc_top_u_uart_rx_prog_n_81),
-	.B1(soc_top_u_uart_rx_prog_n_91),
-	.C1(soc_top_u_uart_rx_prog_n_74),
-	.Y(soc_top_u_uart_rx_prog_n_101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_uart_rx_prog_g8397 (
-	.A(soc_top_u_uart_rx_prog_r_Clock_Count[5]),
-	.B(soc_top_u_uart_rx_prog_n_83),
-	.COUT(soc_top_u_uart_rx_prog_n_98),
-	.SUM(soc_top_u_uart_rx_prog_n_99), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 soc_top_u_uart_rx_prog_g8398 (
-	.A1(soc_top_u_uart_rx_prog_n_71),
-	.A2(soc_top_u_uart_rx_prog_n_69),
-	.A3(soc_top_u_uart_rx_prog_r_Clock_Count[10]),
-	.B1(soc_top_u_uart_rx_prog_n_89),
-	.Y(soc_top_u_uart_rx_prog_n_97), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 soc_top_u_uart_rx_prog_g8399 (
-	.A1(soc_top_u_uart_rx_prog_r_Clock_Count[13]),
-	.A2(soc_top_u_uart_rx_prog_n_59),
-	.B1_N(soc_top_u_uart_rx_prog_n_90),
-	.Y(soc_top_u_uart_rx_prog_n_100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 soc_top_u_uart_rx_prog_g8400 (
-	.A1(soc_top_u_uart_rx_prog_n_30),
-	.A2(soc_top_u_uart_rx_prog_r_Clock_Count[2]),
-	.B1(soc_top_u_uart_rx_prog_n_57),
-	.C1(soc_top_u_uart_rx_prog_n_72),
-	.D1(soc_top_u_uart_rx_prog_n_80),
-	.Y(soc_top_u_uart_rx_prog_n_96), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_rx_prog_g8401 (
-	.A1(soc_top_u_uart_rx_prog_r_Clock_Count[8]),
-	.A2(soc_top_u_uart_rx_prog_n_62),
-	.B1(soc_top_u_uart_rx_prog_n_92),
-	.Y(soc_top_u_uart_rx_prog_n_95), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_rx_prog_g8402 (
-	.A(soc_top_u_uart_rx_prog_r_Clock_Count[15]),
-	.B_N(soc_top_u_uart_rx_prog_n_88),
-	.Y(soc_top_u_uart_rx_prog_n_94), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 soc_top_u_uart_rx_prog_g8403 (
-	.A1(soc_top_u_uart_rx_prog_n_76),
-	.A2(soc_top_u_uart_rx_prog_r_Clock_Count[11]),
-	.B1(soc_top_u_uart_rx_prog_n_45),
-	.B2(soc_top_u_uart_rx_prog_r_Clock_Count[8]),
-	.C1(soc_top_u_uart_rx_prog_n_86),
-	.Y(soc_top_u_uart_rx_prog_n_93), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 soc_top_u_uart_rx_prog_g8404 (
-	.A1(soc_top_u_uart_rx_prog_n_56),
-	.A2(soc_top_u_uart_rx_prog_n_78),
-	.B1(soc_top_u_uart_rx_prog_n_53),
-	.C1(soc_top_u_uart_rx_prog_n_70),
-	.D1(soc_top_u_uart_rx_prog_n_82),
-	.Y(soc_top_u_uart_rx_prog_n_92), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_uart_rx_prog_g8405 (
-	.A1_N(soc_top_u_uart_rx_prog_r_Clock_Count[12]),
-	.A2_N(soc_top_u_uart_rx_prog_n_59),
-	.B1(soc_top_u_uart_rx_prog_r_Clock_Count[13]),
-	.B2(soc_top_u_uart_rx_prog_n_81),
-	.Y(soc_top_u_uart_rx_prog_n_91), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_uart_rx_prog_g8406 (
-	.A1_N(soc_top_u_uart_rx_prog_n_4),
-	.A2_N(soc_top_u_uart_rx_prog_n_63),
-	.B1(soc_top_u_uart_rx_prog_r_Clock_Count[14]),
-	.B2(soc_top_u_uart_rx_prog_n_81),
-	.Y(soc_top_u_uart_rx_prog_n_90), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_uart_rx_prog_g8407 (
-	.A1_N(soc_top_u_uart_rx_prog_r_Clock_Count[11]),
-	.A2_N(soc_top_u_uart_rx_prog_n_50),
-	.B1(soc_top_u_uart_rx_prog_n_79),
-	.B2(soc_top_u_uart_rx_prog_n_85),
-	.Y(soc_top_u_uart_rx_prog_n_89), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_rx_prog_g8408 (
-	.A(soc_top_u_uart_rx_prog_n_81),
-	.B(soc_top_u_uart_rx_prog_r_Clock_Count[14]),
-	.Y(soc_top_u_uart_rx_prog_n_88), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_uart_rx_prog_g8409 (
-	.A1(soc_top_u_uart_rx_prog_n_59),
-	.A2(soc_top_u_uart_rx_prog_r_Clock_Count[13]),
-	.B1(soc_top_u_uart_rx_prog_n_76),
-	.B2(soc_top_u_uart_rx_prog_r_Clock_Count[12]),
-	.Y(soc_top_u_uart_rx_prog_n_87), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_rx_prog_g8410 (
-	.A1(soc_top_u_uart_rx_prog_r_Clock_Count[11]),
-	.A2(soc_top_u_uart_rx_prog_n_76),
-	.B1(soc_top_u_uart_rx_prog_r_Clock_Count[8]),
-	.B2(soc_top_u_uart_rx_prog_n_45),
-	.Y(soc_top_u_uart_rx_prog_n_86), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_uart_rx_prog_g8411 (
-	.A(soc_top_u_uart_rx_prog_r_Clock_Count[4]),
-	.B(soc_top_u_uart_rx_prog_n_60),
-	.COUT(soc_top_u_uart_rx_prog_n_83),
-	.SUM(soc_top_u_uart_rx_prog_n_84), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 soc_top_u_uart_rx_prog_g8412 (
-	.A1(soc_top_u_uart_rx_prog_r_Clock_Count[4]),
-	.A2(soc_top_u_uart_rx_prog_n_47),
-	.B1(soc_top_u_uart_rx_prog_n_68),
-	.C1(soc_top_u_uart_rx_prog_n_77),
-	.Y(soc_top_u_uart_rx_prog_n_82), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_rx_prog_g8413 (
-	.A1(soc_top_u_uart_rx_prog_r_Clock_Count[10]),
-	.A2(soc_top_u_uart_rx_prog_n_69),
-	.B1(soc_top_u_uart_rx_prog_n_71),
-	.Y(soc_top_u_uart_rx_prog_n_85), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 soc_top_u_uart_rx_prog_g8414 (
-	.A1(soc_top_u_uart_rx_prog_n_49),
-	.A2(soc_top_u_uart_rx_prog_r_Clock_Count[5]),
-	.B1(soc_top_u_uart_rx_prog_n_34),
-	.B2(soc_top_u_uart_rx_prog_r_Clock_Count[4]),
-	.C1(soc_top_u_uart_rx_prog_n_73),
-	.X(soc_top_u_uart_rx_prog_n_80), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 soc_top_u_uart_rx_prog_g8415 (
-	.A1(soc_top_u_uart_rx_prog_n_65),
-	.A2(soc_top_u_uart_rx_prog_n_62),
-	.A3(soc_top_u_uart_rx_prog_r_Clock_Count[8]),
-	.B1(soc_top_u_uart_rx_prog_n_45),
-	.B2(soc_top_u_uart_rx_prog_r_Clock_Count[9]),
-	.Y(soc_top_u_uart_rx_prog_n_79), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_rx_prog_g8416 (
-	.A1(soc_top_u_uart_rx_prog_n_52),
-	.A2(la_data_in[14]),
-	.B1(soc_top_u_uart_rx_prog_n_51),
-	.Y(soc_top_u_uart_rx_prog_n_81), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_uart_rx_prog_g8417 (
-	.A(soc_top_u_uart_rx_prog_n_77),
-	.Y(soc_top_u_uart_rx_prog_n_78), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_rx_prog_g8418 (
-	.A(soc_top_u_uart_rx_prog_r_Clock_Count[9]),
-	.B(soc_top_u_uart_rx_prog_n_69),
-	.Y(soc_top_u_uart_rx_prog_n_75), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_rx_prog_g8419 (
-	.A(soc_top_u_uart_rx_prog_n_67),
-	.B(soc_top_u_uart_rx_prog_n_55),
-	.Y(soc_top_u_uart_rx_prog_n_77), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_rx_prog_g8420 (
-	.A1(soc_top_u_uart_rx_prog_n_46),
-	.A2(la_data_in[12]),
-	.B1(soc_top_u_uart_rx_prog_n_44),
-	.Y(soc_top_u_uart_rx_prog_n_76), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_uart_rx_prog_g8421 (
-	.A1_N(soc_top_u_uart_rx_prog_n_1),
-	.A2_N(soc_top_u_uart_rx_prog_n_63),
-	.B1(soc_top_u_uart_rx_prog_n_1),
-	.B2(soc_top_u_uart_rx_prog_n_63),
-	.Y(soc_top_u_uart_rx_prog_n_74), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_uart_rx_prog_g8422 (
-	.A1_N(soc_top_u_uart_rx_prog_r_Clock_Count[7]),
-	.A2_N(soc_top_u_uart_rx_prog_n_62),
-	.B1(soc_top_u_uart_rx_prog_r_Clock_Count[7]),
-	.B2(soc_top_u_uart_rx_prog_n_62),
-	.Y(soc_top_u_uart_rx_prog_n_73), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 soc_top_u_uart_rx_prog_g8423 (
-	.A1(soc_top_u_uart_rx_prog_r_Clock_Count[2]),
-	.A2(soc_top_u_uart_rx_prog_n_30),
-	.B1(soc_top_u_uart_rx_prog_r_Clock_Count[5]),
-	.B2(soc_top_u_uart_rx_prog_n_49),
-	.C1(soc_top_u_uart_rx_prog_n_54),
-	.Y(soc_top_u_uart_rx_prog_n_72), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 soc_top_u_uart_rx_prog_g8424 (
-	.A_N(soc_top_u_uart_rx_prog_n_55),
-	.B(soc_top_u_uart_rx_prog_n_49),
-	.C(soc_top_u_uart_rx_prog_r_Clock_Count[6]),
-	.Y(soc_top_u_uart_rx_prog_n_70), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_rx_prog_g8425 (
-	.A_N(soc_top_u_uart_rx_prog_n_50),
-	.B(soc_top_u_uart_rx_prog_n_3),
-	.Y(soc_top_u_uart_rx_prog_n_71), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_rx_prog_g8426 (
-	.A(soc_top_u_uart_rx_prog_n_30),
-	.B(soc_top_u_uart_rx_prog_n_48),
-	.C(soc_top_u_uart_rx_prog_r_Clock_Count[3]),
-	.X(soc_top_u_uart_rx_prog_n_68), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_rx_prog_g8427 (
-	.A1(soc_top_u_uart_rx_prog_r_Clock_Count[6]),
-	.A2(soc_top_u_uart_rx_prog_n_49),
-	.B1(soc_top_u_uart_rx_prog_r_Clock_Count[5]),
-	.B2(soc_top_u_uart_rx_prog_n_34),
-	.Y(soc_top_u_uart_rx_prog_n_67), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_rx_prog_g8428 (
-	.A1(soc_top_u_uart_rx_prog_n_40),
-	.A2(la_data_in[10]),
-	.B1(soc_top_u_uart_rx_prog_n_38),
-	.Y(soc_top_u_uart_rx_prog_n_69), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_uart_rx_prog_g8429 (
-	.A(soc_top_u_uart_rx_prog_n_64),
-	.Y(soc_top_u_uart_rx_prog_n_65), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_uart_rx_prog_g8430 (
-	.A(soc_top_u_uart_rx_prog_r_Clock_Count[3]),
-	.B(soc_top_u_uart_rx_prog_n_41),
-	.COUT(soc_top_u_uart_rx_prog_n_60),
-	.SUM(soc_top_u_uart_rx_prog_n_61), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_rx_prog_g8431 (
-	.A(la_data_in[15]),
-	.B_N(soc_top_u_uart_rx_prog_n_51),
-	.Y(soc_top_u_uart_rx_prog_n_66), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_rx_prog_g8432 (
-	.A(soc_top_u_uart_rx_prog_r_Clock_Count[9]),
-	.B(soc_top_u_uart_rx_prog_n_45),
-	.Y(soc_top_u_uart_rx_prog_n_64), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_rx_prog_g8433 (
-	.A(soc_top_u_uart_rx_prog_n_51),
-	.B_N(la_data_in[15]),
-	.Y(soc_top_u_uart_rx_prog_n_63), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_rx_prog_g8434 (
-	.A1(soc_top_u_uart_rx_prog_n_36),
-	.A2(la_data_in[8]),
-	.B1(soc_top_u_uart_rx_prog_n_35),
-	.Y(soc_top_u_uart_rx_prog_n_62), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_uart_rx_prog_g8435 (
-	.A1_N(soc_top_u_uart_rx_prog_r_Clock_Count[3]),
-	.A2_N(soc_top_u_uart_rx_prog_n_47),
-	.B1(soc_top_u_uart_rx_prog_r_Clock_Count[1]),
-	.B2(soc_top_u_uart_rx_prog_n_37),
-	.Y(soc_top_u_uart_rx_prog_n_58), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_rx_prog_g8436 (
-	.A1(soc_top_u_uart_rx_prog_r_Clock_Count[6]),
-	.A2(soc_top_u_uart_rx_prog_n_39),
-	.B1(soc_top_u_uart_rx_prog_r_Clock_Count[4]),
-	.B2(soc_top_u_uart_rx_prog_n_34),
-	.Y(soc_top_u_uart_rx_prog_n_57), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_uart_rx_prog_g8437 (
-	.A1(soc_top_u_uart_rx_prog_n_34),
-	.A2(soc_top_u_uart_rx_prog_r_Clock_Count[5]),
-	.B1(soc_top_u_uart_rx_prog_n_47),
-	.B2(soc_top_u_uart_rx_prog_r_Clock_Count[4]),
-	.Y(soc_top_u_uart_rx_prog_n_56), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_uart_rx_prog_g8438 (
-	.A(la_data_in[13]),
-	.B(soc_top_u_uart_rx_prog_n_44),
-	.Y(soc_top_u_uart_rx_prog_n_59), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_rx_prog_g8439 (
-	.A(soc_top_u_uart_rx_prog_n_39),
-	.B(soc_top_u_uart_rx_prog_r_Clock_Count[6]),
-	.Y(soc_top_u_uart_rx_prog_n_54), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_rx_prog_g8440 (
-	.A(soc_top_u_uart_rx_prog_n_39),
-	.B(soc_top_u_uart_rx_prog_r_Clock_Count[7]),
-	.Y(soc_top_u_uart_rx_prog_n_53), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_rx_prog_g8441 (
-	.A_N(la_data_in[13]),
-	.B(soc_top_u_uart_rx_prog_n_44),
-	.Y(soc_top_u_uart_rx_prog_n_52), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_rx_prog_g8442 (
-	.A(soc_top_u_uart_rx_prog_r_Clock_Count[7]),
-	.B(soc_top_u_uart_rx_prog_n_39),
-	.Y(soc_top_u_uart_rx_prog_n_55), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_rx_prog_g8443 (
-	.A(soc_top_u_uart_rx_prog_n_37),
-	.B(soc_top_u_uart_rx_prog_n_33),
-	.C(soc_top_u_uart_rx_prog_r_Clock_Count[2]),
-	.X(soc_top_u_uart_rx_prog_n_48), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 soc_top_u_uart_rx_prog_g8444 (
-	.A(la_data_in[13]),
-	.B(la_data_in[14]),
-	.C_N(soc_top_u_uart_rx_prog_n_44),
-	.Y(soc_top_u_uart_rx_prog_n_51), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_uart_rx_prog_g8445 (
-	.A(la_data_in[11]),
-	.B(soc_top_u_uart_rx_prog_n_38),
-	.Y(soc_top_u_uart_rx_prog_n_50), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_rx_prog_g8446 (
-	.A1(soc_top_u_uart_rx_prog_n_31),
-	.A2(la_data_in[6]),
-	.B1(soc_top_u_uart_rx_prog_n_29),
-	.Y(soc_top_u_uart_rx_prog_n_49), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_rx_prog_g8447 (
-	.A_N(la_data_in[11]),
-	.B(soc_top_u_uart_rx_prog_n_38),
-	.Y(soc_top_u_uart_rx_prog_n_46), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_rx_prog_g8448 (
-	.A1(soc_top_u_uart_rx_prog_n_25),
-	.A2(la_data_in[4]),
-	.B1(soc_top_u_uart_rx_prog_n_24),
-	.Y(soc_top_u_uart_rx_prog_n_47), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_uart_rx_prog_g8449 (
-	.A1(soc_top_u_uart_rx_prog_n_37),
-	.A2(soc_top_u_uart_rx_prog_r_Clock_Count[1]),
-	.B1(soc_top_u_uart_rx_prog_n_19),
-	.B2(soc_top_u_uart_rx_prog_r_Clock_Count[0]),
-	.Y(soc_top_u_uart_rx_prog_n_43), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_uart_rx_prog_g8450 (
-	.A(la_data_in[9]),
-	.B(soc_top_u_uart_rx_prog_n_35),
-	.Y(soc_top_u_uart_rx_prog_n_45), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 soc_top_u_uart_rx_prog_g8451 (
-	.A(la_data_in[11]),
-	.B(la_data_in[12]),
-	.C_N(soc_top_u_uart_rx_prog_n_38),
-	.Y(soc_top_u_uart_rx_prog_n_44), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_uart_rx_prog_g8452 (
-	.A(soc_top_u_uart_rx_prog_r_Clock_Count[2]),
-	.B(soc_top_u_uart_rx_prog_n_27),
-	.COUT(soc_top_u_uart_rx_prog_n_41),
-	.SUM(soc_top_u_uart_rx_prog_n_42), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_rx_prog_g8453 (
-	.A_N(la_data_in[9]),
-	.B(soc_top_u_uart_rx_prog_n_35),
-	.Y(soc_top_u_uart_rx_prog_n_40), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_uart_rx_prog_g8454 (
-	.A(la_data_in[7]),
-	.B(soc_top_u_uart_rx_prog_n_29),
-	.Y(soc_top_u_uart_rx_prog_n_39), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 soc_top_u_uart_rx_prog_g8455 (
-	.A(la_data_in[9]),
-	.B(la_data_in[10]),
-	.C_N(soc_top_u_uart_rx_prog_n_35),
-	.Y(soc_top_u_uart_rx_prog_n_38), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_rx_prog_g8456 (
-	.A_N(la_data_in[7]),
-	.B(soc_top_u_uart_rx_prog_n_29),
-	.Y(soc_top_u_uart_rx_prog_n_36), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_rx_prog_g8457 (
-	.A1(soc_top_u_uart_rx_prog_n_11),
-	.A2(la_data_in[2]),
-	.B1(soc_top_u_uart_rx_prog_n_18),
-	.Y(soc_top_u_uart_rx_prog_n_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_rx_prog_g8458 (
-	.A(soc_top_u_uart_rx_prog_n_12),
-	.B(soc_top_u_uart_rx_prog_n_19),
-	.C(soc_top_u_uart_rx_prog_r_Clock_Count[1]),
-	.X(soc_top_u_uart_rx_prog_n_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 soc_top_u_uart_rx_prog_g8459 (
-	.A(la_data_in[7]),
-	.B(la_data_in[8]),
-	.C_N(soc_top_u_uart_rx_prog_n_29),
-	.Y(soc_top_u_uart_rx_prog_n_35), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_uart_rx_prog_g8460 (
-	.A(la_data_in[5]),
-	.B(soc_top_u_uart_rx_prog_n_24),
-	.Y(soc_top_u_uart_rx_prog_n_34), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_uart_rx_prog_g8461 (
-	.A(soc_top_u_uart_rx_prog_r_Rx_Data),
-	.Y(soc_top_u_uart_rx_prog_n_32), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_rx_prog_g8462 (
-	.A_N(la_data_in[5]),
-	.B(soc_top_u_uart_rx_prog_n_24),
-	.Y(soc_top_u_uart_rx_prog_n_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_uart_rx_prog_g8464 (
-	.A(la_data_in[3]),
-	.B(soc_top_u_uart_rx_prog_n_18),
-	.Y(soc_top_u_uart_rx_prog_n_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 soc_top_u_uart_rx_prog_g8465 (
-	.A(la_data_in[5]),
-	.B(la_data_in[6]),
-	.C_N(soc_top_u_uart_rx_prog_n_24),
-	.Y(soc_top_u_uart_rx_prog_n_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_uart_rx_prog_g8466 (
-	.A(soc_top_u_uart_rx_prog_r_Clock_Count[0]),
-	.B(soc_top_u_uart_rx_prog_r_Clock_Count[1]),
-	.COUT(soc_top_u_uart_rx_prog_n_27),
-	.SUM(soc_top_u_uart_rx_prog_n_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_rx_prog_g8467 (
-	.A_N(soc_top_u_uart_rx_prog_r_Rx_Data_R),
-	.B(wb_rst_i),
-	.Y(soc_top_u_uart_rx_prog_n_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_rx_prog_g8468 (
-	.A_N(la_data_in[3]),
-	.B(soc_top_u_uart_rx_prog_n_18),
-	.Y(soc_top_u_uart_rx_prog_n_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_rx_prog_g8469 (
-	.A(soc_top_u_uart_rx_prog_r_Bit_Index[1]),
-	.B(soc_top_u_uart_rx_prog_n_20),
-	.Y(soc_top_u_uart_rx_prog_n_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 soc_top_u_uart_rx_prog_g8470 (
-	.A(la_data_in[3]),
-	.B(la_data_in[4]),
-	.C_N(soc_top_u_uart_rx_prog_n_18),
-	.Y(soc_top_u_uart_rx_prog_n_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_rx_prog_g8472 (
-	.A(soc_top_u_uart_rx_prog_r_SM_Main[1]),
-	.B(soc_top_u_uart_rx_prog_n_8),
-	.Y(soc_top_u_uart_rx_prog_n_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_rx_prog_g8473 (
-	.A(soc_top_u_uart_rx_prog_n_9),
-	.B(soc_top_u_uart_rx_prog_r_SM_Main[1]),
-	.Y(soc_top_u_uart_rx_prog_n_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_rx_prog_g8474 (
-	.A(soc_top_u_uart_rx_prog_n_14),
-	.B(soc_top_u_uart_rx_prog_r_SM_Main[1]),
-	.Y(soc_top_u_uart_rx_prog_n_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_uart_rx_prog_g8475 (
-	.A(soc_top_u_uart_rx_prog_n_17),
-	.Y(soc_top_u_uart_rx_prog_n_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_rx_prog_g8476 (
-	.A1(soc_top_u_uart_rx_prog_r_SM_Main[0]),
-	.A2(soc_top_u_uart_rx_prog_r_SM_Main[1]),
-	.B1(soc_top_rx_dv_i),
-	.Y(soc_top_u_uart_rx_prog_n_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_rx_prog_g8477 (
-	.A1(la_data_in[1]),
-	.A2(la_data_in[0]),
-	.B1(soc_top_u_uart_rx_prog_n_10),
-	.Y(soc_top_u_uart_rx_prog_n_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 soc_top_u_uart_rx_prog_g8478 (
-	.A(la_data_in[1]),
-	.B(la_data_in[2]),
-	.C(la_data_in[0]),
-	.Y(soc_top_u_uart_rx_prog_n_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_rx_prog_g8479 (
-	.A(soc_top_u_uart_rx_prog_r_SM_Main[1]),
-	.B_N(soc_top_u_uart_rx_prog_n_14),
-	.Y(soc_top_u_uart_rx_prog_n_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_rx_prog_g8480 (
-	.A_N(io_in[5]),
-	.B(wb_rst_i),
-	.Y(soc_top_u_uart_rx_prog_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_rx_prog_g8481 (
-	.A(soc_top_u_uart_rx_prog_r_SM_Main[0]),
-	.B(soc_top_u_uart_rx_prog_r_SM_Main[2]),
-	.Y(soc_top_u_uart_rx_prog_n_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_u_uart_rx_prog_g8482 (
-	.A(soc_top_u_uart_rx_prog_r_Clock_Count[0]),
-	.B(la_data_in[0]),
-	.X(soc_top_u_uart_rx_prog_n_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_uart_rx_prog_g8483 (
-	.A(soc_top_u_uart_rx_prog_n_10),
-	.Y(soc_top_u_uart_rx_prog_n_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_uart_rx_prog_g8484 (
-	.A(soc_top_u_uart_rx_prog_n_8),
-	.Y(soc_top_u_uart_rx_prog_n_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_rx_prog_g8485 (
-	.A(la_data_in[0]),
-	.B(la_data_in[1]),
-	.Y(soc_top_u_uart_rx_prog_n_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_rx_prog_g8486 (
-	.A_N(soc_top_u_uart_rx_prog_r_SM_Main[2]),
-	.B(soc_top_u_uart_rx_prog_r_SM_Main[0]),
-	.Y(soc_top_u_uart_rx_prog_n_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_rx_prog_g8487 (
-	.A(soc_top_u_uart_rx_prog_r_Clock_Count[11]),
-	.B(soc_top_u_uart_rx_prog_r_Clock_Count[10]),
-	.Y(soc_top_u_uart_rx_prog_n_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_uart_rx_prog_g8488 (
-	.A(soc_top_u_uart_rx_prog_r_Clock_Count[13]),
-	.Y(soc_top_u_uart_rx_prog_n_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_rx_prog_g2 (
-	.A_N(soc_top_u_uart_rx_prog_r_Bit_Index[1]),
-	.B(soc_top_u_uart_rx_prog_n_121),
-	.Y(soc_top_u_uart_rx_prog_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_rx_prog_r_Bit_Index_reg[2]  (
-	.CLK(CTS_79),
-	.D(soc_top_u_uart_rx_prog_n_152),
-	.Q(soc_top_u_uart_rx_prog_r_Bit_Index[2]),
-	.Q_N(soc_top_u_uart_rx_prog_n_5),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_rx_prog_r_Clock_Count_reg[15]  (
-	.CLK(CTS_1),
-	.D(soc_top_u_uart_rx_prog_n_195),
-	.Q(soc_top_u_uart_rx_prog_r_Clock_Count[15]),
-	.Q_N(soc_top_u_uart_rx_prog_n_4),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_rx_prog_r_Clock_Count_reg[11]  (
-	.CLK(CTS_1),
-	.D(soc_top_u_uart_rx_prog_n_194),
-	.Q(soc_top_u_uart_rx_prog_r_Clock_Count[11]),
-	.Q_N(soc_top_u_uart_rx_prog_n_3),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_rx_prog_r_Clock_Count_reg[12]  (
-	.CLK(CTS_1),
-	.D(soc_top_u_uart_rx_prog_n_193),
-	.Q(soc_top_u_uart_rx_prog_r_Clock_Count[12]),
-	.Q_N(soc_top_u_uart_rx_prog_n_2),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_rx_prog_r_Clock_Count_reg[14]  (
-	.CLK(CTS_1),
-	.D(soc_top_u_uart_rx_prog_n_192),
-	.Q(soc_top_u_uart_rx_prog_r_Clock_Count[14]),
-	.Q_N(soc_top_u_uart_rx_prog_n_1),
-	.RESET_B(wb_rst_i), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_u_rx_r_Bit_Index_reg[0]  (
-	.CLK(CTS_40),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_121),
-	.Q(soc_top_u_uart_u_uart_core_u_rx_r_Bit_Index[0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_uart_u_uart_core_u_rx_n_128),
-	.SCE(soc_top_u_uart_u_uart_core_u_rx_r_Bit_Index[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx_r_Bit_Index_reg[1]  (
-	.CLK(CTS_41),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_140),
-	.Q(soc_top_u_uart_u_uart_core_u_rx_r_Bit_Index[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_u_rx_r_Bit_Index_reg[2]  (
-	.CLK(CTS_40),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_139),
-	.Q(soc_top_u_uart_u_uart_core_u_rx_n_4),
-	.Q_N(soc_top_u_uart_u_uart_core_u_rx_r_Bit_Index[2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count_reg[0]  (
-	.CLK(CTS_40),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_162),
-	.Q(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_uart_u_uart_core_u_rx_n_164),
-	.SCE(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count_reg[1]  (
-	.CLK(CTS_40),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_177),
-	.Q(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count_reg[2]  (
-	.CLK(CTS_40),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_178),
-	.Q(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count_reg[3]  (
-	.CLK(CTS_40),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_176),
-	.Q(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[3]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count_reg[4]  (
-	.CLK(CTS_40),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_173),
-	.Q(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[4]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count_reg[5]  (
-	.CLK(CTS_40),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_174),
-	.Q(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[5]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count_reg[6]  (
-	.CLK(CTS_40),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_175),
-	.Q(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[6]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count_reg[8]  (
-	.CLK(CTS_40),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_183),
-	.Q(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[8]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count_reg[11]  (
-	.CLK(CTS_40),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_172),
-	.Q(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[11]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count_reg[13]  (
-	.CLK(CTS_40),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_165),
-	.Q(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[13]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.SCD(soc_top_u_uart_u_uart_core_u_rx_n_179),
-	.SCE(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_rx_r_Rx_Byte_reg[0]  (
-	.CLK(CTS_41),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_157),
-	.Q(soc_top_u_uart_u_uart_core_rx[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_rx_r_Rx_Byte_reg[1]  (
-	.CLK(CTS_41),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_158),
-	.Q(soc_top_u_uart_u_uart_core_rx[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_rx_r_Rx_Byte_reg[2]  (
-	.CLK(CTS_41),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_156),
-	.Q(soc_top_u_uart_u_uart_core_rx[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_rx_r_Rx_Byte_reg[3]  (
-	.CLK(CTS_41),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_144),
-	.Q(soc_top_u_uart_u_uart_core_rx[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_rx_r_Rx_Byte_reg[4]  (
-	.CLK(CTS_41),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_153),
-	.Q(soc_top_u_uart_u_uart_core_rx[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_rx_r_Rx_Byte_reg[5]  (
-	.CLK(CTS_40),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_155),
-	.Q(soc_top_u_uart_u_uart_core_rx[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_rx_r_Rx_Byte_reg[6]  (
-	.CLK(CTS_40),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_152),
-	.Q(soc_top_u_uart_u_uart_core_rx[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_rx_r_Rx_Byte_reg[7]  (
-	.CLK(CTS_41),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_143),
-	.Q(soc_top_u_uart_u_uart_core_rx[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 soc_top_u_uart_u_uart_core_u_rx_r_Rx_DV_reg (
-	.CLK(CTS_40),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_2),
-	.Q(soc_top_u_uart_u_uart_core_rx_status),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 soc_top_u_uart_u_uart_core_u_rx_r_Rx_Data_R_reg (
-	.CLK(CTS_79),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_12),
-	.Q(soc_top_u_uart_u_uart_core_u_rx_r_Rx_Data_R), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 soc_top_u_uart_u_uart_core_u_rx_r_Rx_Data_reg (
-	.CLK(CTS_41),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_30),
-	.Q(soc_top_u_uart_u_uart_core_u_rx_r_Rx_Data), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx_r_SM_Main_reg[0]  (
-	.CLK(CTS_40),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_163),
-	.Q(soc_top_u_uart_u_uart_core_u_rx_r_SM_Main[0]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx_r_SM_Main_reg[1]  (
-	.CLK(CTS_40),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_166),
-	.Q(soc_top_u_uart_u_uart_core_u_rx_r_SM_Main[1]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx_r_SM_Main_reg[2]  (
-	.CLK(CTS_40),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_123),
-	.Q(soc_top_u_uart_u_uart_core_u_rx_r_SM_Main[2]),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 soc_top_u_uart_u_uart_core_u_rx_g7831 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_171),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[14]),
-	.A3(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[13]),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_186),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[15]),
-	.X(soc_top_u_uart_u_uart_core_u_rx_n_188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 soc_top_u_uart_u_uart_core_u_rx_g7833 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_165),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_9),
-	.A3(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[13]),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_185),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[14]),
-	.X(soc_top_u_uart_u_uart_core_u_rx_n_187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 soc_top_u_uart_u_uart_core_u_rx_g7837 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[14]),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_161),
-	.B1_N(soc_top_u_uart_u_uart_core_u_rx_n_185),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_u_uart_core_u_rx_g7847 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_11),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_167),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_124),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_n_161),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_u_uart_core_u_rx_g7848 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_169),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[8]),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_162),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_n_0),
-	.X(soc_top_u_uart_u_uart_core_u_rx_n_183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 soc_top_u_uart_u_uart_core_u_rx_g7849 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[12]),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_126),
-	.A3(soc_top_u_uart_u_uart_core_u_rx_n_161),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_7),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_n_170),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 soc_top_u_uart_u_uart_core_u_rx_g7850 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[7]),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_109),
-	.A3(soc_top_u_uart_u_uart_core_u_rx_n_161),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_6),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_n_168),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 soc_top_u_uart_u_uart_core_u_rx_g7851 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[9]),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_118),
-	.A3(soc_top_u_uart_u_uart_core_u_rx_n_161),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_5),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_n_167),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 soc_top_u_uart_u_uart_core_u_rx_g7852 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[13]),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_161),
-	.B1_N(soc_top_u_uart_u_uart_core_u_rx_n_179),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_u_uart_core_u_rx_g7854 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_162),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_47),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_164),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[2]),
-	.X(soc_top_u_uart_u_uart_core_u_rx_n_178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_u_uart_core_u_rx_g7855 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_162),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_32),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_164),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[1]),
-	.X(soc_top_u_uart_u_uart_core_u_rx_n_177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_u_uart_core_u_rx_g7856 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_162),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_66),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_164),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[3]),
-	.X(soc_top_u_uart_u_uart_core_u_rx_n_176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_rx_g7857 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[12]),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_161),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_170),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_u_uart_core_u_rx_g7858 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_162),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_112),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_164),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[6]),
-	.X(soc_top_u_uart_u_uart_core_u_rx_n_175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_u_uart_core_u_rx_g7859 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_162),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_105),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_164),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[5]),
-	.X(soc_top_u_uart_u_uart_core_u_rx_n_174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_u_uart_core_u_rx_g7860 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_162),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_90),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_164),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[4]),
-	.X(soc_top_u_uart_u_uart_core_u_rx_n_173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_u_uart_core_u_rx_g7861 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_162),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_131),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_164),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[11]),
-	.X(soc_top_u_uart_u_uart_core_u_rx_n_172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_u_rx_g7862 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[15]),
-	.B_N(soc_top_u_uart_u_uart_core_u_rx_n_165),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_uart_u_uart_core_u_rx_g7864 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_168),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_u_rx_g7865 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_162),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_126),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_164),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_u_rx_g7866 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_162),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_109),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_164),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_u_rx_g7867 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_162),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_118),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_164),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 soc_top_u_uart_u_uart_core_u_rx_g7868 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_25),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_119),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_r_Rx_Data),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_n_159),
-	.C1(soc_top_u_uart_u_uart_core_u_rx_n_24),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 soc_top_u_uart_u_uart_core_u_rx_g7870 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_7),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_126),
-	.C(soc_top_u_uart_u_uart_core_u_rx_n_161),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4bb_1 soc_top_u_uart_u_uart_core_u_rx_g7871 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_22),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_154),
-	.C_N(soc_top_u_uart_u_uart_core_u_rx_n_25),
-	.D_N(soc_top_u_uart_u_uart_core_u_rx_n_24),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 soc_top_u_uart_u_uart_core_u_rx_g7872 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_r_Bit_Index[2]),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_127),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_r_Rx_Data),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_n_27),
-	.C1(soc_top_u_uart_u_uart_core_u_rx_n_160),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_uart_u_uart_core_u_rx_g7873 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_162),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_rx_g7874 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_24),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_119),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_160),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211o_1 soc_top_u_uart_u_uart_core_u_rx_g7881 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_119),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_r_SM_Main[1]),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_13),
-	.C1(soc_top_u_uart_u_uart_core_u_rx_n_151),
-	.X(soc_top_u_uart_u_uart_core_u_rx_n_160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_u_rx_g7882 (
-	.A_N(soc_top_u_uart_u_uart_core_u_rx_n_13),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_151),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_rx_g7883 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_41),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_138),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_150),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_rx_g7884 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_41),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_137),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_149),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_rx_g7887 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_41),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_136),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_148),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_rx_g7888 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_42),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_138),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_146),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_u_rx_g7889 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_141),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_r_Rx_Data),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_r_SM_Main[2]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_rx_g7890 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_42),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_137),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_147),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_rx_g7891 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_42),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_136),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_145),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_rx_g7892 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_4),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_138),
-	.B1(soc_top_u_uart_u_uart_core_rx[1]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_rx_g7893 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_4),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_137),
-	.B1(soc_top_u_uart_u_uart_core_rx[0]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_u_rx_g7894 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_r_SM_Main[1]),
-	.B_N(soc_top_u_uart_u_uart_core_u_rx_n_141),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_rx_g7897 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_4),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_136),
-	.B1(soc_top_u_uart_u_uart_core_rx[2]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_rx_g7898 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_r_Bit_Index[2]),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_137),
-	.B1(soc_top_u_uart_u_uart_core_rx[4]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_rx_g7899 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_r_Bit_Index[2]),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_138),
-	.B1(soc_top_u_uart_u_uart_core_rx[5]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_rx_g7900 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_r_Bit_Index[2]),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_136),
-	.B1(soc_top_u_uart_u_uart_core_rx[6]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_rx_g7901 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_41),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_133),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_142),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_uart_u_uart_core_u_rx_g7902 (
-	.A1_N(soc_top_u_uart_u_uart_core_rx[7]),
-	.A2_N(soc_top_u_uart_u_uart_core_u_rx_n_3),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_42),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_n_133),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_rx_g7903 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_4),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_133),
-	.B1(soc_top_u_uart_u_uart_core_rx[3]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_u_rx_g7905 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_135),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_1),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_u_uart_core_u_rx_g7906 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_r_Bit_Index[2]),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_132),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_4),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_n_127),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 soc_top_u_uart_u_uart_core_u_rx_g7907 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_48),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_62),
-	.C(soc_top_u_uart_u_uart_core_u_rx_n_88),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_125),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_u_rx_g7909 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_134),
-	.B(soc_top_u_uart_u_uart_core_u_rx_r_Bit_Index[0]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_rx_g7910 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_26),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_128),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_r_Bit_Index[1]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_u_rx_g7911 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_134),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_10),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 soc_top_u_uart_u_uart_core_u_rx_g7912 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_130),
-	.B(soc_top_u_uart_u_uart_core_u_rx_r_Bit_Index[1]),
-	.C(soc_top_u_uart_u_uart_core_u_rx_n_10),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_rx_g7915 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_r_Bit_Index[1]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_129),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_u_rx_g7916 (
-	.A_N(soc_top_u_uart_u_uart_core_u_rx_n_14),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_130),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_u_rx_g7917 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_23),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_14),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_128),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_uart_u_uart_core_u_rx_g7919 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[11]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_122),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_uart_u_uart_core_u_rx_g7920 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_129),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_u_rx_g7922 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_121),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_rx_g7924 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_22),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_121),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 soc_top_u_uart_u_uart_core_u_rx_g7925 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[2]),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_33),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_61),
-	.C1(soc_top_u_uart_u_uart_core_u_rx_n_70),
-	.D1(soc_top_u_uart_u_uart_core_u_rx_n_117),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32a_1 soc_top_u_uart_u_uart_core_u_rx_g7926 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[10]),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_5),
-	.A3(soc_top_u_uart_u_uart_core_u_rx_n_118),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[9]),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_n_11),
-	.X(soc_top_u_uart_u_uart_core_u_rx_n_124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_u_rx_g7927 (
-	.A_N(soc_top_u_uart_u_uart_core_u_rx_n_14),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_121),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_u_rx_g7928 (
-	.A_N(soc_top_u_uart_u_uart_core_u_rx_n_122),
-	.B(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[11]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_rx_g7930 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_25),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_120),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 soc_top_u_uart_u_uart_core_u_rx_g7931 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_11),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_5),
-	.C(soc_top_u_uart_u_uart_core_u_rx_n_118),
-	.X(soc_top_u_uart_u_uart_core_u_rx_n_122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_rx_g7932 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_24),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_120),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_uart_u_uart_core_u_rx_g7933 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_120),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 soc_top_u_uart_u_uart_core_u_rx_g7934 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_100),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_72),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_104),
-	.C1(soc_top_u_uart_u_uart_core_u_rx_n_111),
-	.D1(soc_top_u_uart_u_uart_core_u_rx_n_209),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_u_rx_g7936 (
-	.A_N(soc_top_u_uart_u_uart_core_u_rx_n_116),
-	.B(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[8]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 soc_top_u_uart_u_uart_core_u_rx_g7937 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_67),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[7]),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[15]),
-	.C1(soc_top_u_uart_u_uart_core_u_rx_n_73),
-	.D1(soc_top_u_uart_u_uart_core_u_rx_n_115),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_u_rx_g7938 (
-	.A_N(soc_top_u_uart_u_uart_core_u_rx_n_109),
-	.B(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[7]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 soc_top_u_uart_u_uart_core_u_rx_g7939 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[5]),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_56),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[4]),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_n_37),
-	.C1(soc_top_u_uart_u_uart_core_u_rx_n_113),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111oi_0 soc_top_u_uart_u_uart_core_u_rx_g7941 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_85),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[13]),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_91),
-	.C1(soc_top_u_uart_u_uart_core_u_rx_n_107),
-	.D1(soc_top_u_uart_u_uart_core_u_rx_n_96),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_u_rx_g7942 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[6]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_106),
-	.X(soc_top_u_uart_u_uart_core_u_rx_n_112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_u_uart_core_u_rx_g7943 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_83),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_103),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_8),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_n_95),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 soc_top_u_uart_u_uart_core_u_rx_g7944 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[10]),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_75),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[9]),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_n_50),
-	.C1(soc_top_u_uart_u_uart_core_u_rx_n_102),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_u_rx_g7945 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_106),
-	.B(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[6]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_rx_g7946 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[8]),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_67),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_101),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 soc_top_u_uart_u_uart_core_u_rx_g7947 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[9]),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_75),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_79),
-	.C1(soc_top_u_uart_u_uart_core_u_rx_n_77),
-	.D1(soc_top_u_uart_u_uart_core_u_rx_n_99),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_u_rx_g7948 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[5]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_89),
-	.COUT(soc_top_u_uart_u_uart_core_u_rx_n_106),
-	.SUM(soc_top_u_uart_u_uart_core_u_rx_n_105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_rx_g7949 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_97),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_98),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_uart_u_uart_core_u_rx_g7950 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_102),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 soc_top_u_uart_u_uart_core_u_rx_g7951 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_71),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_60),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_84),
-	.C1(soc_top_u_uart_u_uart_core_u_rx_n_86),
-	.D1(soc_top_u_uart_u_uart_core_u_rx_n_94),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 soc_top_u_uart_u_uart_core_u_rx_g7952 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_92),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_80),
-	.C(soc_top_u_uart_u_uart_core_u_rx_n_98),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_u_rx_g7953 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_95),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_8),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 soc_top_u_uart_u_uart_core_u_rx_g7954 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_78),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[11]),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_50),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[8]),
-	.C1(soc_top_u_uart_u_uart_core_u_rx_n_93),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_99), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 soc_top_u_uart_u_uart_core_u_rx_g7955 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_81),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_78),
-	.A3(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[12]),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_63),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[13]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_97), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 soc_top_u_uart_u_uart_core_u_rx_g7956 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[13]),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_85),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[12]),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_n_63),
-	.C1(soc_top_u_uart_u_uart_core_u_rx_n_87),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_96), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_u_uart_core_u_rx_g7957 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[15]),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_72),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[14]),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_n_85),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_98), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 soc_top_u_uart_u_uart_core_u_rx_g7958 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_82),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_49),
-	.C(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[4]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_94), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_u_rx_g7959 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_85),
-	.B(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[14]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_95), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_u_uart_core_u_rx_g7960 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[11]),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_78),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[8]),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_n_50),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_93), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_u_uart_core_u_rx_g7961 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[12]),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_78),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[11]),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_n_59),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_92), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_uart_u_uart_core_u_rx_g7962 (
-	.A1_N(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[12]),
-	.A2_N(soc_top_u_uart_u_uart_core_u_rx_n_63),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[14]),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_n_72),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_91), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_u_rx_g7963 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[4]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_65),
-	.COUT(soc_top_u_uart_u_uart_core_u_rx_n_89),
-	.SUM(soc_top_u_uart_u_uart_core_u_rx_n_90), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_u_rx_g7964 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_64),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_54),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_88), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_u_rx_g7965 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_72),
-	.B(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[14]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_87), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 soc_top_u_uart_u_uart_core_u_rx_g7966 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[4]),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_49),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_69),
-	.C1(soc_top_u_uart_u_uart_core_u_rx_n_82),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_86), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 soc_top_u_uart_u_uart_core_u_rx_g7967 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_74),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_37),
-	.C(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[5]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_84), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_uart_u_uart_core_u_rx_g7968 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_59),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[11]),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_75),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[10]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_83), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_u_rx_g7969 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_58),
-	.A2(soc_top_u_uart_u_uart_core_control[17]),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_57),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_85), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_uart_u_uart_core_u_rx_g7970 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_80),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_81), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_u_rx_g7971 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_75),
-	.B(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[9]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_79), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 soc_top_u_uart_u_uart_core_u_rx_g7972 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_52),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_68),
-	.C(soc_top_u_uart_u_uart_core_u_rx_n_60),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_82), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_rx_g7973 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[13]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_63),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_80), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_u_rx_g7974 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[10]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_59),
-	.X(soc_top_u_uart_u_uart_core_u_rx_n_77), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_uart_u_uart_core_u_rx_g7975 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_50),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[9]),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_67),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[8]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_76), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_u_rx_g7976 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_51),
-	.A2(soc_top_u_uart_u_uart_core_control[15]),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_53),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_78), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_rx_g7977 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_68),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_60),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_74), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_rx_g7978 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[7]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_67),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_73), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_u_rx_g7979 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_45),
-	.A2(soc_top_u_uart_u_uart_core_control[13]),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_43),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_75), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_uart_u_uart_core_u_rx_g7980 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_44),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[7]),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_56),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[6]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_71), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_uart_u_uart_core_u_rx_g7981 (
-	.A1_N(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[6]),
-	.A2_N(soc_top_u_uart_u_uart_core_u_rx_n_44),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[5]),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_n_56),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_70), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_u_rx_g7982 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_33),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_55),
-	.C(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[3]),
-	.X(soc_top_u_uart_u_uart_core_u_rx_n_69), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_uart_u_uart_core_u_rx_g7983 (
-	.A(soc_top_u_uart_u_uart_core_control[18]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_57),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_72), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_u_rx_g7984 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[3]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_46),
-	.COUT(soc_top_u_uart_u_uart_core_u_rx_n_65),
-	.SUM(soc_top_u_uart_u_uart_core_u_rx_n_66), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_u_rx_g7985 (
-	.A_N(soc_top_u_uart_u_uart_core_control[18]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_57),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_64), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_rx_g7986 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[6]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_56),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_68), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_u_rx_g7987 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_40),
-	.A2(soc_top_u_uart_u_uart_core_control[11]),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_38),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_67), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_uart_u_uart_core_u_rx_g7988 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[3]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_49),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_62), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_uart_u_uart_core_u_rx_g7989 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_44),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[6]),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_37),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[4]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_61), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_uart_u_uart_core_u_rx_g7990 (
-	.A(soc_top_u_uart_u_uart_core_control[16]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_53),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_63), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_u_rx_g7991 (
-	.A_N(soc_top_u_uart_u_uart_core_control[16]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_53),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_58), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_rx_g7992 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[7]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_44),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_60), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_uart_u_uart_core_u_rx_g7993 (
-	.A(soc_top_u_uart_u_uart_core_control[14]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_43),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_59), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_u_rx_g7994 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_39),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_36),
-	.C(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[2]),
-	.X(soc_top_u_uart_u_uart_core_u_rx_n_55), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 soc_top_u_uart_u_uart_core_u_rx_g7995 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[1]),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_39),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_21),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[0]),
-	.C1(soc_top_u_uart_u_uart_core_u_rx_n_33),
-	.C2(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[2]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_54), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 soc_top_u_uart_u_uart_core_u_rx_g7996 (
-	.A(soc_top_u_uart_u_uart_core_control[16]),
-	.B(soc_top_u_uart_u_uart_core_control[17]),
-	.C_N(soc_top_u_uart_u_uart_core_u_rx_n_53),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_57), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_u_rx_g7997 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_35),
-	.A2(soc_top_u_uart_u_uart_core_control[9]),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_34),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_56), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_rx_g7998 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[5]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_37),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_52), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_u_rx_g7999 (
-	.A_N(soc_top_u_uart_u_uart_core_control[14]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_43),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_51), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 soc_top_u_uart_u_uart_core_u_rx_g8000 (
-	.A(soc_top_u_uart_u_uart_core_control[14]),
-	.B(soc_top_u_uart_u_uart_core_control[15]),
-	.C_N(soc_top_u_uart_u_uart_core_u_rx_n_43),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_53), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_u_uart_core_u_rx_g8001 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[1]),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_39),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[0]),
-	.B2(soc_top_u_uart_u_uart_core_u_rx_n_21),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_48), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_uart_u_uart_core_u_rx_g8002 (
-	.A(soc_top_u_uart_u_uart_core_control[12]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_38),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_50), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_u_rx_g8003 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_29),
-	.A2(soc_top_u_uart_u_uart_core_control[7]),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_28),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_49), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_u_rx_g8004 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[2]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_31),
-	.COUT(soc_top_u_uart_u_uart_core_u_rx_n_46),
-	.SUM(soc_top_u_uart_u_uart_core_u_rx_n_47), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_u_rx_g8005 (
-	.A_N(soc_top_u_uart_u_uart_core_control[12]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_38),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_45), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_uart_u_uart_core_u_rx_g8006 (
-	.A(soc_top_u_uart_u_uart_core_control[10]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_34),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_44), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 soc_top_u_uart_u_uart_core_u_rx_g8007 (
-	.A(soc_top_u_uart_u_uart_core_control[12]),
-	.B(soc_top_u_uart_u_uart_core_control[13]),
-	.C_N(soc_top_u_uart_u_uart_core_u_rx_n_38),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_43), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_u_rx_g8008 (
-	.A_N(soc_top_u_uart_u_uart_core_control[10]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_34),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_40), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_u_rx_g8009 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_4),
-	.B(soc_top_u_uart_u_uart_core_u_rx_r_Rx_Data),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_42), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_u_rx_g8010 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_r_Bit_Index[2]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_r_Rx_Data),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_41), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_u_rx_g8011 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_15),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_21),
-	.C(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[1]),
-	.X(soc_top_u_uart_u_uart_core_u_rx_n_36), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_u_rx_g8012 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_17),
-	.A2(soc_top_u_uart_u_uart_core_control[5]),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_20),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_39), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 soc_top_u_uart_u_uart_core_u_rx_g8013 (
-	.A(soc_top_u_uart_u_uart_core_control[10]),
-	.B(soc_top_u_uart_u_uart_core_control[11]),
-	.C_N(soc_top_u_uart_u_uart_core_u_rx_n_34),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_38), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_uart_u_uart_core_u_rx_g8014 (
-	.A(soc_top_u_uart_u_uart_core_control[8]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_28),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_u_rx_g8015 (
-	.A_N(soc_top_u_uart_u_uart_core_control[8]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_28),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_35), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 soc_top_u_uart_u_uart_core_u_rx_g8017 (
-	.A(soc_top_u_uart_u_uart_core_control[8]),
-	.B(soc_top_u_uart_u_uart_core_control[9]),
-	.C_N(soc_top_u_uart_u_uart_core_u_rx_n_28),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_34), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_uart_u_uart_core_u_rx_g8018 (
-	.A(soc_top_u_uart_u_uart_core_control[6]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_20),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_u_rx_g8019 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[0]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[1]),
-	.COUT(soc_top_u_uart_u_uart_core_u_rx_n_31),
-	.SUM(soc_top_u_uart_u_uart_core_u_rx_n_32), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_u_rx_g8020 (
-	.A_N(soc_top_u_uart_u_uart_core_u_rx_r_Rx_Data_R),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_u_rx_g8021 (
-	.A_N(soc_top_u_uart_u_uart_core_control[6]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_20),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_u_rx_g8022 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_22),
-	.B(soc_top_u_uart_u_uart_core_control[1]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_rx_g8023 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_r_Bit_Index[0]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_24),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 soc_top_u_uart_u_uart_core_u_rx_g8024 (
-	.A(soc_top_u_uart_u_uart_core_control[6]),
-	.B(soc_top_u_uart_u_uart_core_control[7]),
-	.C_N(soc_top_u_uart_u_uart_core_u_rx_n_20),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_uart_u_uart_core_u_rx_g8025 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_24),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_u_rx_g8027 (
-	.A_N(soc_top_u_uart_u_uart_core_u_rx_n_13),
-	.B(soc_top_u_uart_u_uart_core_u_rx_r_SM_Main[1]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_u_rx_g8028 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_18),
-	.B(soc_top_u_uart_u_uart_core_u_rx_r_SM_Main[1]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_rx_g8029 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_r_SM_Main[0]),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_r_SM_Main[1]),
-	.B1(soc_top_u_uart_u_uart_core_rx_status),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_u_rx_g8030 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_r_SM_Main[1]),
-	.B_N(soc_top_u_uart_u_uart_core_u_rx_n_18),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_u_rx_g8031 (
-	.A1(soc_top_u_uart_u_uart_core_control[4]),
-	.A2(soc_top_u_uart_u_uart_core_control[3]),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_16),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 soc_top_u_uart_u_uart_core_u_rx_g8032 (
-	.A(soc_top_u_uart_u_uart_core_control[4]),
-	.B(soc_top_u_uart_u_uart_core_control[5]),
-	.C(soc_top_u_uart_u_uart_core_control[3]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_uart_u_uart_core_u_rx_g8033 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_n_16),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_rx_g8034 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_r_SM_Main[0]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_r_SM_Main[2]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_rx_g8035 (
-	.A(soc_top_u_uart_u_uart_core_control[3]),
-	.B(soc_top_u_uart_u_uart_core_control[4]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 soc_top_u_uart_u_uart_core_u_rx_g8036 (
-	.A(soc_top_u_uart_u_uart_core_control[3]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[0]),
-	.X(soc_top_u_uart_u_uart_core_u_rx_n_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_u_rx_g8037 (
-	.A_N(io_in[5]),
-	.B(FE_DBTN6_soc_top_reset_manager_n_13),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_u_rx_g8038 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_r_Bit_Index[1]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_r_Bit_Index[0]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_u_rx_g8039 (
-	.A_N(soc_top_u_uart_u_uart_core_u_rx_r_SM_Main[2]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_r_SM_Main[0]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_uart_u_uart_core_u_rx_g8042 (
-	.A(soc_top_u_uart_u_uart_core_u_rx_r_Bit_Index[0]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_u_rx_g2 (
-	.A_N(soc_top_u_uart_u_uart_core_u_rx_n_133),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_4),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_u_rx_g8050 (
-	.A_N(soc_top_u_uart_u_uart_core_u_rx_n_123),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_19),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 soc_top_u_uart_u_uart_core_u_rx_g8051 (
-	.A_N(soc_top_u_uart_u_uart_core_u_rx_r_Bit_Index[1]),
-	.B(soc_top_u_uart_u_uart_core_u_rx_n_121),
-	.C(soc_top_u_uart_u_uart_core_u_rx_r_Bit_Index[0]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2i_1 soc_top_u_uart_u_uart_core_u_rx_g8052 (
-	.A0(soc_top_u_uart_u_uart_core_u_rx_n_116),
-	.A1(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[7]),
-	.S(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[8]),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_u_rx_g8053 (
-	.A1(soc_top_u_uart_u_uart_core_u_rx_n_76),
-	.A2(soc_top_u_uart_u_uart_core_u_rx_n_108),
-	.B1(soc_top_u_uart_u_uart_core_u_rx_n_110),
-	.Y(soc_top_u_uart_u_uart_core_u_rx_n_209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count_reg[10]  (
-	.CLK(CTS_40),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_184),
-	.Q(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[10]),
-	.Q_N(soc_top_u_uart_u_uart_core_u_rx_n_11),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count_reg[14]  (
-	.CLK(CTS_40),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_187),
-	.Q(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[14]),
-	.Q_N(soc_top_u_uart_u_uart_core_u_rx_n_9),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count_reg[15]  (
-	.CLK(CTS_40),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_188),
-	.Q(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[15]),
-	.Q_N(soc_top_u_uart_u_uart_core_u_rx_n_8),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count_reg[12]  (
-	.CLK(CTS_40),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_182),
-	.Q(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[12]),
-	.Q_N(soc_top_u_uart_u_uart_core_u_rx_n_7),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count_reg[7]  (
-	.CLK(CTS_40),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_181),
-	.Q(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[7]),
-	.Q_N(soc_top_u_uart_u_uart_core_u_rx_n_6),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count_reg[9]  (
-	.CLK(CTS_40),
-	.D(soc_top_u_uart_u_uart_core_u_rx_n_180),
-	.Q(soc_top_u_uart_u_uart_core_u_rx_r_Clock_Count[9]),
-	.Q_N(soc_top_u_uart_u_uart_core_u_rx_n_5),
-	.RESET_B(FE_DBTN6_soc_top_reset_manager_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 soc_top_u_uart_u_uart_core_u_tx_o_TX_Serial_reg (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_78),
-	.Q(io_out[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_Bit_Index_reg[0]  (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_134),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_Bit_Index[0]),
-	.SCD(soc_top_u_uart_u_uart_core_u_tx_n_156),
-	.SCE(soc_top_u_uart_u_uart_core_u_tx_r_Bit_Index[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_Bit_Index_reg[1]  (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_166),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_Bit_Index[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_Bit_Index_reg[2]  (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_165),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_Bit_Index[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count_reg[0]  (
-	.CLK(CTS_40),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_132),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[0]),
-	.SCD(soc_top_u_uart_u_uart_core_u_tx_n_22),
-	.SCE(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count_reg[1]  (
-	.CLK(CTS_40),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_140),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count_reg[2]  (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_160),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count_reg[3]  (
-	.CLK(CTS_40),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_158),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count_reg[4]  (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_145),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count_reg[5]  (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_146),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count_reg[6]  (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_147),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count_reg[7]  (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_139),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count_reg[8]  (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_144),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count_reg[9]  (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_143),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count_reg[10]  (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_142),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count_reg[11]  (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_141),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count_reg[12]  (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_159),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count_reg[13]  (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_137),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[13]),
-	.SCD(soc_top_u_uart_u_uart_core_u_tx_n_157),
-	.SCE(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count_reg[14]  (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_167),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count_reg[15]  (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_169),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_SM_Main_reg[0]  (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_162),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_SM_Main[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_SM_Main_reg[1]  (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_161),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_SM_Main[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_SM_Main_reg[2]  (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_129),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_SM_Main[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_TX_Data_reg[0]  (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_73),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_TX_Data[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_TX_Data_reg[1]  (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_74),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_TX_Data[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_TX_Data_reg[2]  (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_71),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_TX_Data[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_TX_Data_reg[3]  (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_72),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_TX_Data[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_TX_Data_reg[4]  (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_69),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_TX_Data[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_TX_Data_reg[5]  (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_68),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_TX_Data[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_TX_Data_reg[6]  (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_67),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_TX_Data[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx_r_TX_Data_reg[7]  (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_66),
-	.Q(soc_top_u_uart_u_uart_core_u_tx_r_TX_Data[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 soc_top_u_uart_u_uart_core_u_tx_r_TX_Done_reg (
-	.CLK(CTS_89),
-	.D(soc_top_u_uart_u_uart_core_u_tx_n_163),
-	.Q(soc_top_intr_u_tx), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_u_tx_g6748 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_168),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_153),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_tx_g6752 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_132),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_164),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[15]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_u_uart_core_u_tx_g6753 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_164),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[14]),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_149),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[13]),
-	.X(soc_top_u_uart_u_uart_core_u_tx_n_167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_u_uart_core_u_tx_g6759 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_134),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_26),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_156),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_Bit_Index[1]),
-	.X(soc_top_u_uart_u_uart_core_u_tx_n_166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_u_uart_core_u_tx_g6760 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_134),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_41),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_156),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_Bit_Index[2]),
-	.X(soc_top_u_uart_u_uart_core_u_tx_n_165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_tx_g6763 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_155),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 soc_top_u_uart_u_uart_core_u_tx_g6764 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_134),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_20),
-	.A3(soc_top_u_uart_u_uart_core_u_tx_r_Bit_Index[2]),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_148),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_n_18),
-	.X(soc_top_u_uart_u_uart_core_u_tx_n_162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 soc_top_u_uart_u_uart_core_u_tx_g6765 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[13]),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_133),
-	.B1_N(soc_top_u_uart_u_uart_core_u_tx_n_157),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_tx_g6766 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_33),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_127),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_154),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 soc_top_u_uart_u_uart_core_u_tx_g6767 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[2]),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_19),
-	.A3(soc_top_u_uart_u_uart_core_u_tx_n_133),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_12),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_n_150),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 soc_top_u_uart_u_uart_core_u_tx_g6768 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[12]),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_124),
-	.A3(soc_top_u_uart_u_uart_core_u_tx_n_133),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_8),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_n_152),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_u_uart_core_u_tx_g6769 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_132),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_0),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_151),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[3]),
-	.X(soc_top_u_uart_u_uart_core_u_tx_n_158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_uart_u_uart_core_u_tx_g6777 (
-	.A1_N(soc_top_u_uart_u_uart_core_u_tx_n_34),
-	.A2_N(soc_top_u_uart_u_uart_core_u_tx_n_135),
-	.B1(soc_top_intr_u_tx),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_n_23),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 soc_top_u_uart_u_uart_core_u_tx_g6778 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_138),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_18),
-	.C(soc_top_u_uart_u_uart_core_u_tx_n_34),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 soc_top_u_uart_u_uart_core_u_tx_g6779 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_137),
-	.B(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[13]),
-	.C(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[14]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_tx_g6780 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[12]),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_133),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_152),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_tx_g6781 (
-	.A1(soc_top_reset_manager_n_13),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_136),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_21),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_uart_u_uart_core_u_tx_g6785 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_150),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_u_tx_g6786 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[14]),
-	.B_N(soc_top_u_uart_u_uart_core_u_tx_n_137),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_tx_g6787 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_11),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_131),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_40),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_u_uart_core_u_tx_g6788 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_132),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_91),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_22),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[6]),
-	.X(soc_top_u_uart_u_uart_core_u_tx_n_147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_u_uart_core_u_tx_g6789 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_132),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_83),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_22),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[5]),
-	.X(soc_top_u_uart_u_uart_core_u_tx_n_146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_u_tx_g6790 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_132),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_124),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_22),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_u_tx_g6791 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_132),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_19),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_22),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_u_uart_core_u_tx_g6792 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_132),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_52),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_22),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[4]),
-	.X(soc_top_u_uart_u_uart_core_u_tx_n_145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_u_uart_core_u_tx_g6793 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_132),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_112),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_22),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[8]),
-	.X(soc_top_u_uart_u_uart_core_u_tx_n_144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_u_uart_core_u_tx_g6794 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_132),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_117),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_22),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[9]),
-	.X(soc_top_u_uart_u_uart_core_u_tx_n_143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_u_uart_core_u_tx_g6795 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_132),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_122),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_22),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[10]),
-	.X(soc_top_u_uart_u_uart_core_u_tx_n_142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_u_uart_core_u_tx_g6796 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_132),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_125),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_22),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[11]),
-	.X(soc_top_u_uart_u_uart_core_u_tx_n_141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_u_uart_core_u_tx_g6797 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_132),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_1),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_22),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[1]),
-	.X(soc_top_u_uart_u_uart_core_u_tx_n_140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_u_uart_core_u_tx_g6798 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_132),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_104),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_22),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[7]),
-	.X(soc_top_u_uart_u_uart_core_u_tx_n_139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_tx_g6799 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_105),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_128),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_r_SM_Main[0]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_u_tx_g6801 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_128),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_r_SM_Main[1]),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_r_SM_Main[0]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_u_tx_g6802 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_36),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_r_SM_Main[2]),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_130),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 soc_top_u_uart_u_uart_core_u_tx_g6803 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_8),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_124),
-	.C(soc_top_u_uart_u_uart_core_u_tx_n_133),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_uart_u_uart_core_u_tx_g6804 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_133),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 soc_top_u_uart_u_uart_core_u_tx_g6805 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_r_SM_Main[0]),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_33),
-	.C(soc_top_u_uart_u_uart_core_u_tx_n_128),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 soc_top_u_uart_u_uart_core_u_tx_g6806 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_128),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_18),
-	.C(soc_top_u_uart_u_uart_core_u_tx_n_23),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_u_tx_g6807 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_105),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_13),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_128),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 soc_top_u_uart_u_uart_core_u_tx_g6808 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_r_SM_Main[2]),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_13),
-	.C(soc_top_u_uart_u_uart_core_u_tx_n_128),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 soc_top_u_uart_u_uart_core_u_tx_g6809 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_11),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_33),
-	.C(soc_top_u_uart_u_uart_core_u_tx_n_128),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_uart_u_uart_core_u_tx_g6810 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_128),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 soc_top_u_uart_u_uart_core_u_tx_g6811 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_120),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[14]),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_114),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[15]),
-	.C1(soc_top_u_uart_u_uart_core_u_tx_n_126),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 soc_top_u_uart_u_uart_core_u_tx_g6812 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_98),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_119),
-	.A3(soc_top_u_uart_u_uart_core_u_tx_n_121),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_108),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_n_119),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_u_tx_g6813 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[11]),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_123),
-	.X(soc_top_u_uart_u_uart_core_u_tx_n_125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_u_tx_g6814 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_123),
-	.B(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[11]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_u_tx_g6815 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[10]),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_116),
-	.COUT(soc_top_u_uart_u_uart_core_u_tx_n_123),
-	.SUM(soc_top_u_uart_u_uart_core_u_tx_n_122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 soc_top_u_uart_u_uart_core_u_tx_g6816 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[13]),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_96),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[11]),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_n_97),
-	.C1(soc_top_u_uart_u_uart_core_u_tx_n_118),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_u_tx_g6817 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_115),
-	.B_N(soc_top_u_uart_u_uart_core_u_tx_n_110),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_tx_g6818 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_93),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_113),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_101),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 soc_top_u_uart_u_uart_core_u_tx_g6819 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[14]),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_110),
-	.B1_N(soc_top_u_uart_u_uart_core_u_tx_n_115),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_u_tx_g6820 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[9]),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_111),
-	.COUT(soc_top_u_uart_u_uart_core_u_tx_n_116),
-	.SUM(soc_top_u_uart_u_uart_core_u_tx_n_117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_tx_g6821 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[15]),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_114),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_u_tx_g6822 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_107),
-	.A2(soc_top_u_uart_u_uart_core_control[18]),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_105),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 soc_top_u_uart_u_uart_core_u_tx_g6823 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[8]),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_80),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_109),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_n_87),
-	.C1(soc_top_u_uart_u_uart_core_u_tx_n_77),
-	.C2(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[9]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_u_tx_g6824 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[8]),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_103),
-	.COUT(soc_top_u_uart_u_uart_core_u_tx_n_111),
-	.SUM(soc_top_u_uart_u_uart_core_u_tx_n_112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_u_tx_g6825 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_96),
-	.A2(soc_top_u_uart_u_uart_core_control[17]),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_106),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_tx_g6826 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_50),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_102),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_100),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 soc_top_u_uart_u_uart_core_u_tx_g6827 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_88),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_2),
-	.A3(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[12]),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_99),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[13]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_uart_u_uart_core_u_tx_g6828 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_106),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_tx_g6829 (
-	.A(soc_top_u_uart_u_uart_core_control[17]),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_96),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 soc_top_u_uart_u_uart_core_u_tx_g6830 (
-	.A(soc_top_u_uart_u_uart_core_control[18]),
-	.B(soc_top_u_uart_u_uart_core_control[17]),
-	.C(soc_top_u_uart_u_uart_core_u_tx_n_96),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_u_tx_g6831 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[7]),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_90),
-	.COUT(soc_top_u_uart_u_uart_core_u_tx_n_103),
-	.SUM(soc_top_u_uart_u_uart_core_u_tx_n_104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_tx_g6832 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[6]),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_94),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_76),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_uart_u_uart_core_u_tx_g6833 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_97),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[11]),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_85),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[10]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_uart_u_uart_core_u_tx_g6834 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_94),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[6]),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_70),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[7]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_u_tx_g6835 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_86),
-	.A2(soc_top_u_uart_u_uart_core_control[16]),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_95),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_99), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_u_uart_core_u_tx_g6836 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[12]),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_88),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_2),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_n_92),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_98), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_uart_u_uart_core_u_tx_g6837 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_95),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_96), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_u_tx_g6838 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_81),
-	.A2(soc_top_u_uart_u_uart_core_control[14]),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_3),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_97), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_tx_g6839 (
-	.A(soc_top_u_uart_u_uart_core_control[16]),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_86),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_95), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_u_uart_core_u_tx_g6840 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[10]),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_85),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[9]),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_n_77),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_93), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_tx_g6841 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_9),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_57),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_89),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_94), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_uart_u_uart_core_u_tx_g6842 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_86),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_92), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_u_tx_g6843 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[6]),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_82),
-	.COUT(soc_top_u_uart_u_uart_core_u_tx_n_90),
-	.SUM(soc_top_u_uart_u_uart_core_u_tx_n_91), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 soc_top_u_uart_u_uart_core_u_tx_g6844 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_57),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_9),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_79),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_n_7),
-	.C1(soc_top_u_uart_u_uart_core_u_tx_n_84),
-	.X(soc_top_u_uart_u_uart_core_u_tx_n_89), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 soc_top_u_uart_u_uart_core_u_tx_g6845 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_16),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_3),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_86),
-	.X(soc_top_u_uart_u_uart_core_u_tx_n_88), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 soc_top_u_uart_u_uart_core_u_tx_g6846 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[8]),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_80),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[7]),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_n_70),
-	.X(soc_top_u_uart_u_uart_core_u_tx_n_87), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_u_tx_g6847 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_3),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_16),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_86), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_uart_u_uart_core_u_tx_g6848 (
-	.A1_N(soc_top_u_uart_u_uart_core_u_tx_n_7),
-	.A2_N(soc_top_u_uart_u_uart_core_u_tx_n_79),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_65),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_n_42),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_84), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_uart_u_uart_core_u_tx_g6849 (
-	.A(soc_top_u_uart_u_uart_core_control[13]),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_4),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_85), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_u_tx_g6850 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[5]),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_49),
-	.COUT(soc_top_u_uart_u_uart_core_u_tx_n_82),
-	.SUM(soc_top_u_uart_u_uart_core_u_tx_n_83), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_u_tx_g6851 (
-	.A_N(soc_top_u_uart_u_uart_core_control[13]),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_4),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_81), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_u_tx_g6853 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_58),
-	.A2(soc_top_u_uart_u_uart_core_control[11]),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_63),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_80), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_tx_g6856 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[3]),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_48),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_75),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_79), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 soc_top_u_uart_u_uart_core_u_tx_g6857 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_61),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_33),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_34),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_n_17),
-	.C1(soc_top_u_uart_u_uart_core_u_tx_n_30),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_78), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 soc_top_u_uart_u_uart_core_u_tx_g6863 (
-	.A1(soc_top_u_uart_u_uart_core_control[8]),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_42),
-	.B1(soc_top_u_uart_u_uart_core_control[9]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_76), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 soc_top_u_uart_u_uart_core_u_tx_g6864 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_48),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[3]),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_35),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[2]),
-	.C1(soc_top_u_uart_u_uart_core_u_tx_n_47),
-	.X(soc_top_u_uart_u_uart_core_u_tx_n_75), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_u_tx_g6866 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_64),
-	.A2(soc_top_u_uart_u_uart_core_control[12]),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_4),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_77), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_tx_g6870 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_62),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_74), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_tx_g6871 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_59),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_73), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_tx_g6872 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_51),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_72), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_tx_g6873 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_60),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_71), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_tx_g6874 (
-	.A(soc_top_u_uart_u_uart_core_control[12]),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_64),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_tx_g6875 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_56),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_69), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_tx_g6876 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_53),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_68), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_tx_g6877 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_55),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_67), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_tx_g6878 (
-	.A(soc_top_reset_manager_n_13),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_54),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_66), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 soc_top_u_uart_u_uart_core_u_tx_g6879 (
-	.A1(soc_top_u_uart_u_uart_core_control[6]),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_32),
-	.B1(soc_top_u_uart_u_uart_core_control[7]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_65), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_uart_u_uart_core_u_tx_g6880 (
-	.A(soc_top_u_uart_u_uart_core_control[10]),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_50),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_70), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_uart_u_uart_core_u_tx_g6881 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_63),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_64), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_uart_u_uart_core_u_tx_g6882 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_46),
-	.A2(soc_top_u_uart_u_uart_core_tx[1]),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_45),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_TX_Data[1]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_62), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 soc_top_u_uart_u_uart_core_u_tx_g6883 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_37),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_14),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_28),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_n_20),
-	.C1(soc_top_u_uart_u_uart_core_u_tx_n_38),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_61), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_uart_u_uart_core_u_tx_g6884 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_46),
-	.A2(soc_top_u_uart_u_uart_core_tx[2]),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_45),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_TX_Data[2]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_60), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_uart_u_uart_core_u_tx_g6885 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_46),
-	.A2(soc_top_u_uart_u_uart_core_tx[0]),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_45),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_TX_Data[0]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_59), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_u_tx_g6886 (
-	.A_N(soc_top_u_uart_u_uart_core_control[10]),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_50),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_58), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 soc_top_u_uart_u_uart_core_u_tx_g6887 (
-	.A(soc_top_u_uart_u_uart_core_control[10]),
-	.B(soc_top_u_uart_u_uart_core_control[11]),
-	.C_N(soc_top_u_uart_u_uart_core_u_tx_n_50),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_63), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_uart_u_uart_core_u_tx_g6888 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_46),
-	.A2(soc_top_u_uart_u_uart_core_tx[4]),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_45),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_TX_Data[4]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_56), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_uart_u_uart_core_u_tx_g6889 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_46),
-	.A2(soc_top_u_uart_u_uart_core_tx[6]),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_45),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_TX_Data[6]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_55), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_uart_u_uart_core_u_tx_g6890 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_46),
-	.A2(soc_top_u_uart_u_uart_core_tx[7]),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_45),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_TX_Data[7]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_54), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_uart_u_uart_core_u_tx_g6891 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_46),
-	.A2(soc_top_u_uart_u_uart_core_tx[5]),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_45),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_TX_Data[5]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_53), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_uart_u_uart_core_u_tx_g6892 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[4]),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_43),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_52), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_uart_u_uart_core_u_tx_g6893 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_46),
-	.A2(soc_top_u_uart_u_uart_core_tx[3]),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_45),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_TX_Data[3]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_51), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 soc_top_u_uart_u_uart_core_u_tx_g6894 (
-	.A(soc_top_u_uart_u_uart_core_control[8]),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_42),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_57), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_tx_g6895 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_7),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_43),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_49), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 soc_top_u_uart_u_uart_core_u_tx_g6896 (
-	.A(soc_top_u_uart_u_uart_core_control[9]),
-	.B(soc_top_u_uart_u_uart_core_control[8]),
-	.C(soc_top_u_uart_u_uart_core_u_tx_n_42),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_50), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 soc_top_u_uart_u_uart_core_u_tx_g6897 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_29),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_15),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_24),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_n_19),
-	.C1(soc_top_u_uart_u_uart_core_u_tx_n_44),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_47), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_u_tx_g6899 (
-	.A(soc_top_u_uart_u_uart_core_control[6]),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_32),
-	.X(soc_top_u_uart_u_uart_core_u_tx_n_48), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_uart_u_uart_core_u_tx_g6900 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_46),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_45), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_tx_g6901 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[2]),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_35),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_44), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_tx_g6902 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_r_SM_Main[2]),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_40),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_46), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_u_tx_g6903 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_r_Bit_Index[2]),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_20),
-	.X(soc_top_u_uart_u_uart_core_u_tx_n_41), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_u_tx_g6904 (
-	.A_N(soc_top_u_uart_u_uart_core_u_tx_n_39),
-	.B(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[3]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_43), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 soc_top_u_uart_u_uart_core_u_tx_g6905 (
-	.A(soc_top_u_uart_u_uart_core_control[7]),
-	.B(soc_top_u_uart_u_uart_core_control[6]),
-	.C(soc_top_u_uart_u_uart_core_u_tx_n_32),
-	.X(soc_top_u_uart_u_uart_core_u_tx_n_42), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_u_tx_g6906 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_36),
-	.B(soc_top_u_uart_u_uart_core_control[0]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_40), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_u_tx_g6907 (
-	.A_N(soc_top_u_uart_u_uart_core_u_tx_n_19),
-	.B(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[2]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_39), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 soc_top_u_uart_u_uart_core_u_tx_g6908 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_14),
-	.B(soc_top_u_uart_u_uart_core_u_tx_r_Bit_Index[0]),
-	.C(soc_top_u_uart_u_uart_core_u_tx_n_27),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_38), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux4_2 soc_top_u_uart_u_uart_core_u_tx_g6909 (
-	.A0(soc_top_u_uart_u_uart_core_u_tx_r_TX_Data[0]),
-	.A1(soc_top_u_uart_u_uart_core_u_tx_r_TX_Data[1]),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_r_TX_Data[4]),
-	.A3(soc_top_u_uart_u_uart_core_u_tx_r_TX_Data[5]),
-	.S0(soc_top_u_uart_u_uart_core_u_tx_r_Bit_Index[0]),
-	.S1(soc_top_u_uart_u_uart_core_u_tx_r_Bit_Index[2]),
-	.X(soc_top_u_uart_u_uart_core_u_tx_n_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_uart_u_uart_core_u_tx_g6910 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_23),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_36), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_u_tx_g6911 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_25),
-	.A2(soc_top_u_uart_u_uart_core_control[5]),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_31),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_35), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_uart_u_uart_core_u_tx_g6912 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_31),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_32), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_u_tx_g6913 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_17),
-	.B(io_out[6]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_u_tx_g6914 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_r_SM_Main[0]),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_r_SM_Main[1]),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_36),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_34), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_u_tx_g6915 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_18),
-	.B(soc_top_u_uart_u_uart_core_u_tx_r_SM_Main[1]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_tx_g6916 (
-	.A(soc_top_u_uart_u_uart_core_control[5]),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_25),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_uart_u_uart_core_u_tx_g6917 (
-	.A1_N(soc_top_u_uart_u_uart_core_control[4]),
-	.A2_N(soc_top_u_uart_u_uart_core_control[3]),
-	.B1(soc_top_u_uart_u_uart_core_control[3]),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[0]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 soc_top_u_uart_u_uart_core_u_tx_g6918 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_6),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_r_TX_Data[3]),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_r_Bit_Index[2]),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_TX_Data[7]),
-	.X(soc_top_u_uart_u_uart_core_u_tx_n_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 soc_top_u_uart_u_uart_core_u_tx_g6919 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_n_6),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_r_TX_Data[2]),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_r_Bit_Index[2]),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_TX_Data[6]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_u_uart_core_u_tx_g6920 (
-	.A1(soc_top_u_uart_u_uart_core_u_tx_r_Bit_Index[1]),
-	.A2(soc_top_u_uart_u_uart_core_u_tx_n_10),
-	.B1(soc_top_u_uart_u_uart_core_u_tx_n_14),
-	.B2(soc_top_u_uart_u_uart_core_u_tx_r_Bit_Index[0]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_uart_u_uart_core_u_tx_g6922 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_24),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 soc_top_u_uart_u_uart_core_u_tx_g6924 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_21),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_tx_g6925 (
-	.A(soc_top_u_uart_u_uart_core_control[3]),
-	.B(soc_top_u_uart_u_uart_core_control[4]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_u_tx_g6926 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_13),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_11),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_u_tx_g6927 (
-	.A(FE_DBTN6_soc_top_reset_manager_n_13),
-	.B(soc_top_u_uart_u_uart_core_u_tx_r_SM_Main[2]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_uart_u_uart_core_u_tx_g6928 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_18),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_tx_g6930 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_n_14),
-	.B(soc_top_u_uart_u_uart_core_u_tx_n_10),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_u_tx_g6931 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[0]),
-	.B(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[1]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_u_tx_g6932 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_r_SM_Main[2]),
-	.B(soc_top_reset_manager_n_13),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_uart_u_uart_core_u_tx_g6933 (
-	.A(soc_top_u_uart_u_uart_core_control[15]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_uart_u_uart_core_u_tx_g6934 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[1]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_uart_u_uart_core_u_tx_g6935 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_r_Bit_Index[1]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_uart_u_uart_core_u_tx_g6936 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_r_SM_Main[1]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_uart_u_uart_core_u_tx_g6937 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[2]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_uart_u_uart_core_u_tx_g6938 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_r_SM_Main[0]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_uart_u_uart_core_u_tx_g6940 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_r_Bit_Index[0]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_uart_u_uart_core_u_tx_g6941 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[5]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_uart_u_uart_core_u_tx_g6943 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[12]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 soc_top_u_uart_u_uart_core_u_tx_g6944 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[4]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 soc_top_u_uart_u_uart_core_u_tx_g6946 (
-	.A(soc_top_u_uart_u_uart_core_u_tx_r_Bit_Index[2]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 soc_top_u_uart_u_uart_core_u_tx_g2 (
-	.A(soc_top_u_uart_u_uart_core_control[13]),
-	.B(soc_top_u_uart_u_uart_core_control[14]),
-	.C_N(soc_top_u_uart_u_uart_core_u_tx_n_4),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_u_tx_g6948 (
-	.A_N(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[13]),
-	.B(soc_top_u_uart_u_uart_core_control[16]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2i_1 soc_top_u_uart_u_uart_core_u_tx_g6949 (
-	.A0(soc_top_u_uart_u_uart_core_u_tx_n_15),
-	.A1(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[1]),
-	.S(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[0]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2i_1 soc_top_u_uart_u_uart_core_u_tx_g6950 (
-	.A0(soc_top_u_uart_u_uart_core_u_tx_n_39),
-	.A1(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[2]),
-	.S(soc_top_u_uart_u_uart_core_u_tx_r_Clock_Count[3]),
-	.Y(soc_top_u_uart_u_uart_core_u_tx_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-endmodule
-
diff --git a/verilog/gl/user_project_wrapper.v b/verilog/gl/user_project_wrapper.v
deleted file mode 100644
index 19b859c..0000000
--- a/verilog/gl/user_project_wrapper.v
+++ /dev/null
@@ -1,48 +0,0 @@
-/* Generated by Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os) */
-
-module user_project_wrapper(user_clock2, wb_clk_i, wb_rst_i, wbs_ack_o, wbs_cyc_i, wbs_stb_i, wbs_we_i, VPWR, VGND, analog_io, io_in, io_oeb, io_out, la_data_in, la_data_out, la_oenb, user_irq, wbs_adr_i, wbs_dat_i, wbs_dat_o, wbs_sel_i);
-  input VGND;
-  input VPWR;
-  inout [28:0] analog_io;
-  input [37:0] io_in;
-  output [37:0] io_oeb;
-  output [37:0] io_out;
-  input [127:0] la_data_in;
-  output [127:0] la_data_out;
-  input [127:0] la_oenb;
-  input user_clock2;
-  output [2:0] user_irq;
-  input wb_clk_i;
-  input wb_rst_i;
-  output wbs_ack_o;
-  input [31:0] wbs_adr_i;
-  input wbs_cyc_i;
-  input [31:0] wbs_dat_i;
-  output [31:0] wbs_dat_o;
-  input [3:0] wbs_sel_i;
-  input wbs_stb_i;
-  input wbs_we_i;
-  azadi_soc_top_caravel mprj (
-    .analog_io(analog_io),
-    .io_in(io_in),
-    .io_oeb(io_oeb),
-    .io_out(io_out),
-    .la_data_in(la_data_in),
-    .la_data_out(la_data_out),
-    .la_oenb(la_oenb),
-    .user_clock2(user_clock2),
-    .user_irq(user_irq),
-    .vccd1(VPWR),
-    .vssd1(VGND),
-    .wb_clk_i(wb_clk_i),
-    .wb_rst_i(wb_rst_i),
-    .wbs_ack_o(wbs_ack_o),
-    .wbs_adr_i(wbs_adr_i),
-    .wbs_cyc_i(wbs_cyc_i),
-    .wbs_dat_i(wbs_dat_i),
-    .wbs_dat_o(wbs_dat_o),
-    .wbs_sel_i(wbs_sel_i),
-    .wbs_stb_i(wbs_stb_i),
-    .wbs_we_i(wbs_we_i)
-  );
-endmodule
diff --git a/verilog/gl/user_project_wrapper.v.gz b/verilog/gl/user_project_wrapper.v.gz
new file mode 100644
index 0000000..816ab4c
--- /dev/null
+++ b/verilog/gl/user_project_wrapper.v.gz
Binary files differ